CN101258498B - 用于形成光刻工艺的焦点曝光模型的系统和方法 - Google Patents

用于形成光刻工艺的焦点曝光模型的系统和方法 Download PDF

Info

Publication number
CN101258498B
CN101258498B CN2006800295124A CN200680029512A CN101258498B CN 101258498 B CN101258498 B CN 101258498B CN 2006800295124 A CN2006800295124 A CN 2006800295124A CN 200680029512 A CN200680029512 A CN 200680029512A CN 101258498 B CN101258498 B CN 101258498B
Authority
CN
China
Prior art keywords
model
exposure
focus
group
parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2006800295124A
Other languages
English (en)
Other versions
CN101258498A (zh
Inventor
叶军
曹宇
陈洛祁
刘华玉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN101258498A publication Critical patent/CN101258498A/zh
Application granted granted Critical
Publication of CN101258498B publication Critical patent/CN101258498B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Abstract

公开了一种用于形成光刻工艺的焦点曝光模型的系统和方法。所述系统和方法采用沿着参数变化的多个维度的校准数据,尤其是在曝光离焦工艺窗口空间内。所述系统和方法提供一组统一的模型参数值,所述模型参数值导致在名义上的工艺条件下的模拟的更好的精确度和鲁棒性,以及预见在对于不同的设定不需要重新校准的情况下的在遍及整个工艺窗口区段的连续的任何点上的光刻性能。在比现有技术的多模型校准需要更少的测量的情况下,离焦曝光模型提供更有预见性和更有鲁棒性的参数值,所述参数值可以被用于工艺窗口中的任何位置上。

Description

用于形成光刻工艺的焦点曝光模型的系统和方法
交叉引用
本申请要求发明名称为“Methodology ofUnified,Through-ProcessWindow Lithography Modeling”的美国临时专利申请No.60/706,144的优先权,所述相关申请的主题以引用的方式整体并入本文中。
技术领域
本发明主要涉及光学光刻,而更具体地涉及形成光刻工艺的焦点曝光模型。
背景技术
集成电路工业从一开始就通过以更低的成本驱动增长的器件功能而维持了很高的成长速率。如今,上升沿器件仅仅以一部分成本提供曾经占据整个空间的计算机的计算功能。今天的许多低成本的消费类装置包括仅仅在几年前还无法以任何成本实现的功能,例如视频手机、超便携式媒体播放器以及无线或超宽带互联网装置。这种增长的主要能动因素之一是光学光刻工艺能稳定地减小最小特征尺寸的能力,所述最小特征尺寸可以作为集成电路图案的一部分被图案化。这种在每个电路上印刷更多特征的同时使特征尺寸和成本稳步降低的情形通常被称为“摩尔定律”或光刻“路线图(roadmap)”。
光刻工艺涉及在掩模或掩模版上形成母图像,然后将该图案忠实地复制到器件晶片上。在设计规范内母图案被成功复制的次数越多,每个成品器件或“芯片”的成本就越低。直到最近,除掩模水平面的图案可能比晶片水平面的图案大几倍之外,掩模图案已经与晶片水平面的所需图案完全相同。该缩放因子之后在晶片曝光过程中通过曝光工具的缩减比例而被修正。掩模图案典型地通过将光吸收材料在石英或其他透射式衬底上淀积和形成图案而被形成。然后,所述掩模被置于称为“步进机”或“扫描器”的曝光工具中,在所述曝光工具中,具有特定曝光波长的光通过掩模被引导到器件晶片上。光透射通过掩模的透明区段,并在被吸收层覆盖的区段上以所期望的量(通常在90%和100%之间)被衰减。通过掩模的一些区域的光也可以以所需的相位角(典型地为180度的整分数)产生相移。在被曝光工具收集之后,得到的空间图像图案被聚焦到器件晶片上。沉积在晶片表面上的光敏感材料与光相互作用,以在晶片上形成所需的图案,且然后,所述图案被转移到晶片上的下层中,以根据公知的过程形成功能性的电子电路。
近年来,被图案化的特征尺寸已经明显地小于用于转移图案的光的波长。这种趋于“亚波长光刻”的趋势已经导致在光刻工艺中维持足够的工艺裕量变得越来越困难。随着特征尺寸与波长的比例的降低,由掩模和曝光工具形成的空间图像丧失了对比度和锐度。所述比例由k1因子量化,被定义为曝光工具的数值孔径乘以最小特征尺寸,再被波长除。锐度的丧失或图像模糊可以由空间图像在用于在抗蚀剂中形成图像的阈值处的斜率量化,度量称为“边沿斜率”或“归一化图像对数斜率”(经常简写为“NILS”)。在对于经济地生产可变数量的功能性器件能够进行足够控制的情况下,NILS值越小,将图像忠实地复制到大量器件图案上就越困难。成功的“低k1光刻”过程的目标是在k1值降低的情况下也能够维持最高NILS,由此能够实现所得过程的制造能力。
用于在低k1光刻中增加NILS的新方法已经导致在掩模上的母图案,所述母图案与最终的晶片水平面上的图案不完全相同。掩模图案经常在图案尺寸作为图案密度或间距的函数的情况下被调整。其他技术涉及在掩模图案(“衬线”、“锤头”或其他图案)上额外增加或减少拐角,甚至涉及将不会在晶片上复制的几何尺寸被增加。为了提高所需特征的印刷性能,这些非印刷的“辅助特征”可以包括用于改变背景光强(“灰度”)的散布条纹、孔、环、棋盘或“斑马条纹”以及在文献中记载的其他结构。所有这些方法经常被统称为“光学邻近校正”或“OPC”。
掩模也可以通过增加相移区域来变更,所述相移区域可以或不可以被复制到晶片上。大量的相移技术在文献中详细地被描述,包括交替孔径移相器(alternate aperture shifter)、双重曝光掩模过程、多相位跳变以及衰减相移掩模。由所述方法形成的掩模被称为“相移掩模”或“PSM”。所有这些用于在低k1条件下增加NILS的技术(包括OPC、PSM及其他)被统称为“分辨率增强技术”,或“RET”。所有这些经常被应用到各种组合中的掩模上的RET的结果是:在晶片水平面上形成的最终图案不再是掩模水平面图案的简单复制。实际上,观察掩模图案并简单地确定最终晶片图案被重叠成什么样子是不可能的。这极大地增加了在掩模被制作和晶片被曝光之前验证设计数据的正确性的难度,以及验证RET已经被正确地应用且掩模满足其目标规范的难度。
制造高级的掩模组的成本正在稳定地增长。当前,对于高级的器件,成本已经超过每个掩模组一百万美元。此外,反复循环时间一直是重点关注的方面。由此,光刻工艺的计算机模拟,辅助降低成本和反复循环时间,并已成为半导体制造的组成部分。存在多种满足光刻模拟的需要的计算机软件技术。例如,存在基于第一种原理模型的模拟软件,所述模拟软件实现物理和化学过程的细节模拟。然而,这种软件经常运行得极慢并因此被限制在芯片设计的极小区域(几平方微米量级)上。该类软件工具包括Sigma-C(Santa Clara,Calif.,USA)开发的“SOLID-C”以及KLA-Tencor(San Jose,Calif.,USA)开发的“Prolith”。尽管存在更快地执行和提供模拟结果的计算机软件,但是这些软件采用被校准到实验数据的经验模型(例如,Mentor-Graphics in Wilsonville,OR,USA开发的“Calibre”)。即使对于采用经验模型的“快速”模拟,全芯片级的模拟经常需要几十个小时到许多天。在发明名称为“System and Method for Lithography Simulation”的美国专利No.7,003,758中描述了一种新型的、快速的和精确的途径,所述专利的主题以引用的方式整体并入本文,所述途径在此称为“光刻模拟系统”。
如图1A示意性地所示,光刻模拟通常由多个功能步骤构成,设计/仿真过程类似于线性流程100。在步骤110中,形成描述与半导体器件的功能元件(例如扩散层、金属迹线、触点以及场效应管的栅极等)相对应的图案的形状和尺寸。这些图案表示物理形状和尺寸的“设计意图”,所述物理形状和尺寸需要通过光刻工艺被复制到衬底上,以便实现最终器件的一定的电功能和规范。
如上所述,需要对于该设计布局进行多种修改,以在用于印刷所需结构的掩模或掩模版上形成图案。在步骤120中,大量RET方法被用于设计布局,以便接近在实际被印刷的图案中的设计意图。得到的“RET之后”的掩模布局与在步骤110中形成的“RET之前”的设计布局明显不同。RET之前和RET之后的布局可以被以基于多边形的分级数据文件(例如以GDS或OASIS文件格式)提供给模拟系统。
实际的掩模还将不同于几何的、理想化的和基于多边形的掩模布局,这是因为基本的物理限制以及掩模制造过程的缺陷。这些限制和缺陷包括:例如由于掩模刻写工具的空间分辨率有限造成的圆角、可能的线宽偏斜或偏移、以及类似于在投影到晶片衬底上所经历的效应的邻近效应。在步骤130中,在掩模模型中,掩模的真实的物理属性可以被近似到各种复杂度。掩模类型的具体属性(例如衰减、相移设计等)需要被掩模模型捕获。在美国专利No.7,003,758中所述的光刻模拟系统可以例如采用基于图像/像素的灰度表示来描述实际的掩模属性。
光刻模拟的核心部分是光学模型,所述光学模型模拟在曝光工具中的投影和图像形成过程。在步骤140中,生成光学模型。光学模型需要合并照射和投影系统的关键参数:数值孔径和部分相干设定、照射波长、照射器源形状以及可能的系统缺陷(例如光学像差或反射光斑)。投影系统和各种光学效应(例如高数值孔径衍射、标量或矢量、偏振以及多薄膜反射)可以通过传输交叉系数(TCC)建模。TCC可以采用本征系扩展被分解成卷积核。出于计算速度的需要,所述系通常基于本征值的分类被截断,导致有限组核。所保持的核越多,截断引入的误差就越小。在美国专利No.7,003,758中所述的光刻模拟系统允许采用非常大量的卷积核进行光学模拟,而对计算时间没有负面影响,并因此能够获得高精确度的光学模型。见“Optimized Hardware and Software for Fast,Full Chip Simulation,”Y.Caoet al.,Proc.SPIE Vol.5474,407(2005)。尽管在此在步骤130中生成的掩模模型和在步骤140中生成的光学模型都被考虑成独立的模型,但是所述掩模模型也可以在概念上被考虑成集成的光学模型的一部分。
进而,为了预见在步骤160中在衬底上形成的结构的形状和尺寸,抗蚀剂模型被用于模拟投影光与光敏抗蚀剂层的相互作用的效果,以及随后的曝光后烘烤(PEB)和显影过程。可以在第一主要模拟途径之间设置区别,所述第一主要模拟途径试图通过评估抗蚀剂中的三维光分布,以及细微的、物理的或化学的效应(例如层内的分子扩散和反应)预见三维抗蚀剂结构。另一方面,通常可以允许全芯片模拟的所有的“快速”模拟途径将它们自身限制到更经验性的抗蚀剂模型上,所述更经验性的抗蚀剂模型将由模拟器的光学模型部分提供的两维空间图像用作输入。在光学模型和抗蚀剂模型之间的由空间图像150连接的间隔示意性地表示在图1A中。为了简明起见,在此,抗蚀剂模型可以紧跟在对其它过程(例如蚀刻、离子注入或类似的步骤)的建模之后的事实被省略了。
最后,在步骤170中,模拟过程的输出将提供关于晶片上的被印刷的特征的预见形状和尺寸的信息,例如预见的临界尺寸(CD)和等值线。这种预见允许光刻印刷过程的量化评估,以及所述过程是否将产生所希望的结果。
为了提供刚刚所述的预见的能力,多个拟合参数是先验未知的,需要在校准过程中被发现或调整。校准光刻模型的各种方法已经在文献中被描述了。通常,这些校准方法寻求在模拟的测试图案和对应的测试图案之间的最佳的整体匹配,所述对应的测试图案被印刷到实际的晶片上并由度量工具(例如CD-SEM或散射仪工具)测量。
校准的精确度和鲁棒性对于预见所印刷的图案的CD、边沿位移以及线端位移是需要的。经过校准的模型通常被期望用于预见与一维及二维光学以及处理相关的具有足够精度的近似效果。公知地,经验模型的预见能力主要被限制于图案几何空间,所述图案几何空间已经被用于校准过程中的测试或计量结构的形状和尺寸变化所覆盖。当前的实际和趋势是包括越来越多的测试结构变化、以在实际中尽可能宽和密集地覆盖几何空间。典型地,成千的测量点被用于模型校准。然而,通常,模型校准主要在名义上的或“最佳的”光学设定上进行,并因此仅仅覆盖两维几何空间。当任何非几何参数(例如光学参数或光刻工艺参数)被改变时,推断这些所使用的模型是困难的。
另一方面,公知地,光刻工艺通常需要由其工艺窗口评估或更精确地由所有相关的结构的共同工艺窗口评估。所述工艺窗口(PW)的尺寸通常由曝光离焦(E-D)空间中的面积测量,在所述空间上,CD或边沿位移的变化落入可允许的范围内。见“The Exposure-Defocus Forest”,B.J.Lin,Jpn.J.Appl.Phys.33,6756(1994)。工艺窗口分析考虑到:任何实际的制造过程经过真实的参数值(例如曝光剂量和光刻投影系统的焦点设定)的不可避免的变化。在器件设计上的所有结构的公共工艺窗口限定工艺裕量(即对于工艺参数的变化的公差)。
除了在不同的离焦设定下实现独立的、离散的模型校准之外,对于通过在“最佳”设定上校准抗蚀剂模型和朝向剂量和离焦的变化推断来预见OPC模型的通过工艺窗口的行为的一些近来的尝试还没有很成功。见“High accuracy 65nm OPC verification:full process window model vs.critical failure ORC“,A.Borjon et al.,Proc.SPIE Vol.5754,1190(2005)。图1B示出用于覆盖工艺窗口空间的多个位置,在所述工艺窗口空间中,独立的模型校准在每个位置上被实现。在其他的工作中,对于多个焦点曝光矩阵数据组但仅是一维线宽数据的模型校准进行尝试。见“Do we needcomplex resist models for predictive simulation of lithographic processperformance?”,B.Tollkühn et al.,Proc.SPIE Vol.5376,983(2004)。
另外,“集中的”参数模型存在,在所述参数模型中,系统相对于抗蚀剂显影的响应通过人为地改变光学模型参数而被近似,且这种模型仍能够在一个单工艺窗口条件下对于一组测试图案很好地校准。作为另一个示例,公知地,投影系统的球差造成依赖于图案间距的焦点平移。结果,如果在单焦点设定下测量,则通过间距的“OPC”曲线(绘出CD随间距的变化)将经历由于球差的光学效应造成的一定的调制。具有足够大数量的可调整参数的最复杂抗蚀剂模型仍能够复制OPC曲线,并且实际上,在完全相同的焦点设置下通过间距预见所印刷的CD,其中所述焦点设定被用于校准。然而,模型用于推断被校准所覆盖的中间参数空间的外部的任何位置的能力将严重受限。
存在对于光刻建模的精确度和鲁棒性被增加的持续需求。显然,也存在对于模型校准的方法学的需求,所述方法学能够超越几何变化以及关于PW的工艺变化,在多维参数空间中预见性地建模,以便通过模拟验证高级半导体设计的可制造性。
发明内容
用于形成焦点曝光模型的系统和方法针对光刻模拟模型的校准进行介绍。所述系统和方法利用沿着参数变化的多个维度,尤其是在曝光离焦工艺窗口空间以内的校准数据。所述系统和方法提供一组统一的模型参数值,所述模型参数值在名义上的工艺条件下导致更好的模拟精确度和鲁棒性,以及在遍及整个工艺窗口面积的任何点上预见光刻性能的而不需要重新校准的能力。
在一个实施例中,用于形成光刻工艺的焦点曝光模型的方法包括:选择光刻工艺模型,所述模型包括光学模型模块,所述模型具有一组模型参数,所述模型参数包括焦点、曝光,以及一组具有可变数值的拟合参数;将光刻工艺的工艺窗口限定在焦点曝光空间中;为模型选择一组初始拟合参数值;在工艺窗口内选择多个采样位置,所述多个采样位置包括名义上的条件;在保持所述组初始拟合参数值恒定的同时,通过改变焦点和曝光的数值以对应多个采样位置,采用具有所述组初始拟合参数的模型,在工艺窗口内的多个采样位置上生成光刻工艺的模拟结果;在工艺窗口内的所有的多个采样位置上,将模拟结果与光刻工艺的实际结果进行比较,以产生模拟结果和实际结果之间的总差别测量;修改所述组拟合参数值并在所述工艺窗口内的每一个采样位置上生成进一步的模拟结果,以识别优化的拟合参数值,以使得采用优化的拟合参数值所产生的在实际结果和模拟结果之间的总差别测量被最小化,或者在预定的阈值以下;以及将焦点曝光模型限定为包括优化的拟合参数值的模型,所述焦点曝光模型能够模拟在整个工艺窗口内的任何位置上的光刻工艺。
在一个实施例中,用于生成用于预见光刻工艺的能力的单工艺窗口模型的系统包括:用于存储信息的存储区域;输入装置;输出装置;存储在存储区域中的物理模型信息;以及模型校准模块。所述存储区域与所述模型校准模块相通信,以使得所选择的物理模型信息可以被模型校准模块访问。所述输入装置与所述模型校准模块相通信,以使得用于限定工艺窗口的工艺窗口限定信息可以被制成模型校准模块可获得的,以使得在所限定的工艺窗口中的不同测试条件下从晶片的测量获得的离散测量信息可以被所述模型校准模块访问。另外,所述模型校准模块配置用于通过将所述工艺窗口限定信息和离散测量信息用于校准所选择的物理模型信息,生成单工艺窗口模型,以使得在所限定的工艺窗口上的光刻系统的性能可以以两个连续可调的光学参数进行描述。生成单工艺窗口模型包括:将所述离散测量信息与模拟测量进行比较,所述模拟测量通过在保持所述物理模型信息中的所有其他参数不变的同时变化所述两个连续可调的光学参数的情况下,采用所选择的物理模型信息模拟光刻工艺而产生。
附图说明
图1A是现有技术的光刻模拟的方法步骤的流程图;
图1B是根据现有技术的方法学,示出用于多个光刻模拟模型的校准的位置图;
图2A是根据本发明的一个实施例的用于形成光刻工艺的焦点曝光模型的方法步骤的流程图;
图2B是根据本发明的一个实施例的用于生成在工艺窗口中的任意位置上的焦点曝光模型的方法步骤的流程图;
图3A是示出根据本发明的光刻工艺的工艺窗口中的采样位置的区域的一个实施例的图;
图3B是示出根据本发明的光刻工艺的工艺窗口中的采样位置的一个实施例的图;
图4A是示出根据本发明的光刻工艺的工艺窗口中的采样位置的另一个实施例的图;
图4B是示出根据本发明的一个实施例的用于校准焦点曝光模型的采样位置的图表;
图5是示出根据本发明的一个实施例的采用不同的采样方案的焦点曝光模型的校准结果的图表;
图6是归纳在焦点曝光模型校准的一个实施例和现有技术的多模型校准之间的比较的图表;
图7是根据本发明的用于形成焦点曝光模型的系统的一个实施例的框图;
图8是根据本发明的光刻模拟系统的一个实施例的框图。
具体实施方式
公开了基于模型形成和模型校准的系统和方法,这依赖于不仅合并在工艺窗口中心上的名义条件下的数据点,而且依赖于合并在工艺窗口内的离中心一定距离的不同位置上的数据点。在最小值上,在改变至少一种工艺参数(例如离焦参数)的同时收集校准数据,具有经过改变的工艺参数的不同值的所有收集到的校准数据被用于模型拟合参数的校准。光刻工艺的模型包括光学模型模块,并可以视情况包括抗蚀剂模型模块、掩模模型模块以及其他合适的模型模块。为了简化,光刻工艺的模型的模型模块在此被称为模型,例如,光学模型和抗蚀剂模型。
在优选的实施方式中,在改变曝光剂量和离焦参数以形成工艺窗口空间的同时,收集校准数据。所述方法以曝光剂量和离焦的所有值提供测试结构的联合拟合,以便找到单组经过校准的拟合参数,所述拟合参数预见工艺窗口中的任何位置上的光刻印刷过程。校准方法包括:采用包括焦点和曝光参数以及一组拟合参数的光刻工艺模型,模拟在工艺窗口中的一组采样位置中的每一个上的光刻工艺性能,其中,光学模型的焦点和曝光参数在拟合参数(即所有其他的模型参数)未被改变的同时,根据第一原理变化。具有所述经过校准的拟合参数值组的模型在此称为焦点曝光模型(FEM)。焦点是曝光工具的光学参数的设定,有时也被称为离焦。所述术语“焦点”和“离焦”在此交替使用。
在遍及整个工艺窗口的多个位置上被校准的焦点曝光模型与仅在一个名义工艺条件下被校准的模型相比,更贴近地反映物理现实,且更有预见性、更精确和更具鲁棒性。光刻模拟从多维模型校准中获得的益处在于:1)通过依赖于工艺窗口中的特征完备的采样位置之间的插入而获得的在名义或最佳设定下的更好的模型精确度、预见能力和鲁棒性;2)在工艺窗口内的任何插入位置上的预见图案行为的能力;以及3)焦点曝光模型校准,与在工艺窗口中的不同离散位置上的多个独立模型的校准相比,可以以更少的测量总数实现。
从光学模型在实际中精确地捕捉真实的光学效应,而与抗蚀剂相关的参数不随着光学设定(例如通过焦点设定)改变的意义来说,校准焦点曝光模型的方法的关键特征是光学模型和抗蚀剂模型的良好的分离能力。由于被印刷的图案的离焦行为可以被一些物理抗蚀剂效应(例如酸扩散)部分地补偿,而没有包括离焦数据的联合校准,所以在离焦抗蚀剂参数空间中将存在多个均方根最小值。仅仅这些最小值中的一个是物理的和正确的。由于具有离焦的趋势行为与抗蚀剂行为正交,所以遍及所述工艺窗口的联合校准自动地将模型限制到正确的最小点上。因此,避免错误的“参数集中”效应,且即使在名义曝光条件下,得到的经过校准的模型将更精确和更具鲁棒性。换句话说,即使在名义工艺条件下,经过校准的焦点曝光模型将能够比仅仅在名义工艺条件下被校准的模型更好地预见图案行为。
尽管“工艺窗口”最为频繁地被限定在两维曝光离焦空间中,但是在此所述的方法不受限于所述限定。所述限定内在地反映焦点,并且计量的变化通常对光刻工艺性能具有显著的影响。然而,通过采用可以被调整或改变的多个或不同的参数维度生成工艺窗口概念。这种生成将帮助捕捉相对于这些附加的参数变化的工艺裕量,并也可以对模型拟合增加进一步的限制。附加的限制帮助使得经过校准的模型更接近实际,并因此更精确和更有预见性。例如,在现代曝光工具中,许多光学设定在一定程度上是可调的,包括例如照射波长或线宽、透镜设定及由此的光学像差、以及宽范围的照射器调整。类似地,直接与抗蚀剂层属性相关的参数可以被改变或调整。这些参数或类似的参数中的任何一个被包括在模型校准中,以从经过改进的模型的鲁棒性或精确度受益。所述校准过程也可以利用来自生产环境中的一组名义上相同的曝光工具的测试数据。
图2A是根据本发明的一个实施例的用于形成光刻工艺的焦点曝光模型的方法步骤200的流程图。在步骤212中,限定了一组测试图案,所述测试图案将被在测试掩模上制造并采用光刻曝光工具被印刷到测试晶片上。这些测试图案需要覆盖邻近相互作用的全范围,所述邻近相互作用是在考虑中的光刻工艺的特征。具有变化间距(从疏到密)的宽范围的线/间隔图案,以及两维图案(例如具有变化的间隙尺寸的线/间隔末端)应当被包括。线/间隔图案跨过一维空间频率间隔,而线端部的图案覆盖两维效应,尤其是线端后移、收聚等。也能够由“图案曲线”限定两维空间,并相应地构建测试图案,或者采用更复杂的两维测试图案,所述两维测试图案表示在设计中发现的典型的形状,其中光刻模型将被用到所述设计上。
假定如上所述分离光学效应和抗蚀剂效应的重要性,则也能够通过包括对于确定的效应比其他图案类型更敏感的图案类型来增强校准。如果光学效应(例如特定的光学像差或杂散光(反射光斑))被合并入模拟工具的光学模型中,则可能的示例可以是对所述效应尤其敏感的测试图案。公知地,确定的图案类型示出对于光学效应特殊的敏感性,例如,线对对于彗差,以及砖墙图案对于三箔片。这种光学像差或反射光斑的测试图案,能够与对应的过程变量结合,还可以进一步提高模型分离和校准性能。通常,特殊的图案类型可能具体地与特定的模型参数相关。这些图案类型例如可以被敏感性分析识别,且可以在模型优化过程中被给出对应的权重。
考虑模型校准中的光学像差的另一个方法是直接采用由为光学像差测量专门设计的工具独立地测量到的光学像差。光学像差测量工具的一些示例包括由扫描器供应商提供的在扫描器台上的自测量工具(例如ASML提供的ILIAS以及Litel Corp提供的其他工具)。在这种情况下,在模型校准中不需要包括光学像差敏感测试图案。光学像差参数在模型校准过程中不是可调整的待校准参数,而是被固定为光学模型中的已知参数。固定的光学参数的典型示例是源图,即照射器的准确的灰度形状和值,在模型校准过程中,所述源图经常作为已知的不可调整的实体被测量和提供。对于任何已知的光学参数(例如,源图、光学像差和光瞳形状),在模型校准过程中,它们被作为光学模型中的固定的光学参数被处理。
在已经定义了所述组测试图案之后,在步骤214中,工艺窗口被限定,且工艺窗口中的位置针对应校准被选择。所述工艺窗口通过选择工艺条件被限定,所述工艺条件将对应模型校准以及这些变化的范围而改变。对于典型的应用,曝光离焦空间近似地匹配或超过所期望的工艺窗口,并将被采样位置所覆盖。所述覆盖如图3A所示,其示出在曝光离焦空间300中的采样位置的五个区域312、314、316、318和320。图3B示出在曝光离焦(E-D)空间320中的所期望的工艺窗口322以及五个采样位置324、326、328、330和332的一个实施例,所述五个采样位置包括工艺窗口322的中心332中的名义上的或最佳的条件以及在接近其边界的四个附加采样位置324、326、328和330。在实际中,可以采用多于或少于五个的采样位置。
对于每个采样位置324-332,定义在步骤212中的所述测试图案组被用于生成拟合参数。良好的拟合可以以减少的图案类型的数量在一些采样位置上获得。整组测试图案(典型地在几千量级上)可以在工艺窗口322的中心332上被测量,而数量被明显减少的图案(例如全部的百分之10-20)被用在工艺窗口322的外围上的采样位置324-330上。因此,焦点曝光模型校准所需的测试图案测量的总数明显小于多模型校准,所述多模型校准对于每个独立的采样位置分别完成,这通常被其他已有的校准方法所要求。
正如之前所述,如图3A和3B所示的曝光离焦空间通常被用于多维校准的基础,但是替代的和附加的参数维度也可以以等价的方法被采用。
回到图2A,在步骤216中,所定义的测试图案和工艺条件组被分析用于确保相关的参数空间被足够好地覆盖。步骤216的实现可能涉及:测试图案的两维频率空间分析;采用名义上的、效果最佳的或缺省的模拟参数对评估出的工艺窗口进行分析;以及其他方法。如果所定义的测试图案和工艺条件不提供参数空间的足够覆盖,则在步骤218中,定义工艺窗口中的附加的测试图案或位置。然后,所述方法回到步骤216。尽管步骤216的分析可以被考虑为图案和参数选择的组成部分,但是在图2A中作为分立的步骤示出,以突出以上考虑的重要性。在文献中示出,将更多的测试图案增加给校准不会自动地提高精确度,除非附加的数据点提供相关的参数空间的更完整的覆盖。
如果所定义的测试图案和工艺条件提供参数空间的充分覆盖,则所述方法继续步骤220。在步骤220中,对于在光学投影光刻(其为半导体制造中的现有技术)中的应用,测试掩模采用与将在由经过校准的焦点曝光模型描述的光刻工艺中被使用的掩模技术和制造方法相同的掩模技术和制造方法而被制造。测试掩模包括整组之前定义的测试图案。例如,依赖于在考虑中的光刻工艺,所述测试掩模可以是二元掩模、衰减相移掩模、或者交替相移或无铬相移掩模。对于未来的光刻,光学无掩模技术将被引入,例如采用空间光调制器替代固定的、不可变的样板掩模版。焦点曝光模型校准将对于这些技术是同等可应用的和有益的,其中,掩模制造的步骤可以被考虑成虚拟的。在光学无掩模光刻(OML)中,对应所选择的测试图案(由对于OML系统任选的光栅化算法计算)的空间光调制器的设定被用于替代测试掩模。
在步骤222中,采用测试掩模,测试晶片被印刷在曝光工具中,所述曝光工具表示在考虑中的光刻工艺,而且将相同的抗蚀剂参数和工艺条件用作器件制造过程。所述印刷工艺将包括:将抗蚀剂层应用到晶片上,其中所述晶片通常具有至少一个附加的抗反射层;曝光前烘烤步骤;通过将图像从测试掩模投影到涂覆有抗蚀剂的晶片上而在扫描器或步进机中的曝光;曝光后烘烤步骤;以及抗蚀剂显影。如果蚀刻晶片的附加步骤也是模拟模型的一部分,则印刷工艺也可以包括蚀刻晶片的附加步骤。测试图案的印刷采用之前定义为工艺窗口中的采样位置的所有工艺设定来实现。针对所有的采样位置印刷测试图案可以通过阶梯式地改变在单个衬底上的重复曝光之间的参数或者通过分别曝光多个测试晶片来实现。
在步骤224中,在被充分地处理过的晶片上的测试图案被采用合适的度量工具测量,以生成实际的结果。步骤224可以包括:采用CD-SEM或CD-AFM的线宽和线端后移测量;两维SEM图像数据的产生和分析;CD的光学散射仪分析;或者可以与从焦点曝光模型导出的预见的图案参数相关联的其他测量。
从所印刷的测试图案导出的实际结果需要被来自光刻工艺的所选择的模型的模拟测试图案匹配。光刻工艺的所述模型包括一个或多个表示光刻工艺的模型模块。所述模型包括至少一个光学模型,并可以视情况包括抗蚀剂模型、掩模模型以及其他任何可应用的合适模型,例如在抗蚀剂模型之后的蚀刻模型。在图2A的方法中,为了表示的简便,所述模型仅仅包括光学模型和抗蚀剂模型。在步骤226中,用于光学模型的初始拟合参数值被选择,且在步骤228中,用于抗蚀剂模型的初始拟合参数值被选择。用于光学模型和抗蚀剂模型的初始拟合参数值,对于拟合参数,可以是名义上的、缺省的或最佳估计值。对于光学模型,拟合参数是光学模型的所有可调整的参数。曝光剂量和离焦不是被考虑为可调整参数,而是将根据第一原理变化,以对应在工艺窗口中所选择的采样位置。在步骤230中,所印刷的测试图案采用光学模型和抗蚀剂模型被模拟。在优选的实施例中,步骤230的模拟采用在美国专利No.7,003,758中公开的系统和方法实现。在一个实施例中,光刻模拟系统800(如下文结合图8所述),被用于实现步骤230。在步骤230中,模拟针对所有的测试图案并针对在步骤212-216中定义的在工艺窗口中的所有位置进行以产生模拟的结果。在模拟过程中,所述模型的曝光剂量和离焦参数根据第一原理进行变化,而所述模型的拟合参数值(包括抗蚀剂模型的所有拟合参数)保持不变。
接着,在步骤232中,然后,模拟结果的图案参数例如通过将模拟的线/间隔或间隙宽度与对应的CD-SEM测量进行比较而与实际的结果进行比较。替代地,“测量”可以在模拟的抗蚀剂(或蚀刻)等值线上进行,所述等值线表示预见的被两维印刷的图案,而对于模拟图案的这些测量对于所印刷的图案的等价测量被比较。所述测量可以涉及标量值,例如CD或线端部后移、边沿位移误差,或者对应的两维形状的更复杂的评价。CD测量出于说明的目的,被用于下文中的讨论中,且任何其他的图案参数的测量可以以类似的方式使用,并处于本发明的保护范围内。
为了量化模拟结果和实际结果之间的一致程度,在步骤232中,对于工艺窗口中的每个采样位置计算所印刷的测试图案和模拟测试图案之间的差别测量。在一个实施例中,所述差别测量由价值函数表示,所述价值函数可以被计算用于以如下文中式1所定义的均方根(RMS)来反映模拟的数值和测量到的数值之间的“距离”。在式1中,RMS(k)是在价值函数的第k次迭代之后的模拟CD值和测量到的CD值之间的“距离”,M是工艺窗口中的采样位置的总数,N是在工艺窗口中的每个采样位置上待测量的测试图案的数量,CDmeas(Ei,Fi,TPj)是以在工艺窗口(Ei,Fi)中的第i个采样位置上的焦点和曝光值制作的第i个测试图案(TP)上的实际CD测量,其中E是曝光剂量值而F是焦点值,且CDsimu(Ei,Fi,TPj
Figure S2006800295124D00141
)是在工艺窗口中的第i个采样位置上使用焦点和曝光值的对应测试图案的模拟CD测量,其中
Figure S2006800295124D00142
是一组拟合参数 V → k = ( v 1 k , v 2 k , . . . . . . . . . . . , v L k ) , 其中L是光学模型和抗蚀剂模型的拟合参数的总数,k表示在第k次迭代之后的经过调整的拟合参数。价值函数的定义可以包括针对各种数据点或其他调整的不同的权重因子,Wi,j
RMS ( k ) = 1 M × N Σ i = 1 M Σ j = 1 N W i , j [ ( CD meas ( E i , E j , TP j ) - CD simu ( E i , E j , TP j , V → k ) ] 2 (式1)
由式1计算的价值函数值被称为模拟结果和实际结果之间的RMS差,且在一个实施例中,被用作步骤232中的差别测量。价值函数的幅度是模拟结果和实际结果之间的拟合质量的测量,而校准过程的目标是通过改变可调的拟合参数来优化焦点曝光模型,以最小化所述价值函数(例如,如式2所示的RMS(k))。
MIN=Minimize(RMS(k)),k=1,2,...........  (式2)
在步骤234中,确定是否计算得到的差别测量在预定的阈值以下。替代地,寻求差别测量的全局最小值。如果差别测量没有被最小化或这不在预定的阈值以下,则所述方法在步骤236中继续,在所述步骤236中,光学模型模块和抗蚀剂模型模块的拟合参数值以一定的顺序被调整或调谐。然后,所述方法回到步骤230,以对于光学模型和抗蚀剂模型,采用经过调整的拟合参数值模拟所印刷的测试图案。然后,在步骤232中,在新的模拟测试图案和所印刷的测试图案之间的差别测量被计算,而在步骤234中,所述差别测量被评估。步骤236、230、232和234被重复,直到当前的差别测量被最小化或处于预定的阈值以下为止。
然后,在步骤238中,光学模型和抗蚀剂模型的当前拟合参数值被指定为对于经过校准的焦点曝光模型的拟合参数值。然后,经过校准的焦点曝光模型可以被用于模拟在工艺窗口内的任何位置上的光刻工艺。
焦点曝光模型的校准的关键特征是:包括在测试图案的模拟过程中沿着过程参数的多个维度的数据点,典型地包括在曝光离焦工艺窗口空间中的多个工艺设定,同时在校准过程中,对应的限制被置于拟合参数值上。这简单地意味着,仅仅在光学模型中的已经实际被在采样位置之间的测试晶片印刷过程中调整的工艺条件被允许用于根据第一原理在采样位置上的测试图案的模拟中改变,例如图2A的方法中的焦点曝光剂量,且模型的所有其他拟合参数在工艺窗口中的采样位置之间保持恒定。因此,一组通用的模型参数值从校准过程中得出,所述校准过程可以被用于在工艺窗口中的初始采样区域的合理邻近区域内以任何曝光剂量设定生成“新”模型(即预见性的图案),而不仅仅是在用于校准的准确位置上。即使光刻工艺仅以名义上的条件被模拟,当不仅采用在工艺窗口的中心上收集到的数据,而且采用在离工艺窗口的中心一定距离的多个位置上收集到的数据校准焦点曝光模型时,也获得最好的性能。
图2B是根据本发明的一个实施例的用于在工艺窗口中的任意位置上生成模型的方法步骤的流程图。在步骤252中,根据以上结合图2A所述的方法校准焦点曝光模型。在步骤254中,选择在工艺窗口中的光刻工艺将被模拟的位置。所选择的位置可以是工艺窗口中的任何位置,即所选择的位置可以但不需要成为在焦点曝光模型的校准过程中所采用的采样位置中的一个。然后,在步骤256中,通过将该组值用于经过改变的模型参数(例如,曝光和焦点)生成模型,其中所述模型参数与对于根据第一原理被校准的焦点曝光模型在工艺窗口中所选择的位置相对应,而所有其他的经过校准的模型参数(即拟合参数)被保持在FEM的最终拟合值上。然后,所述模型可以被用于在工艺窗口中的所选择位置上模拟光刻工艺的性能。
实现了用于65nm的光刻工艺的焦点曝光模型的示例性校准。对于所述65nm过程的模型校准,定义了总共一组近似2000个一维和二维测试图案。为校准而选择在工艺窗口以内的十一个位置。这些位置在图4A中示意性地示出。测试晶片针对工艺窗口中的这十一个位置被印刷。如图4B所示,这些位置包括+/-100nm和+/-150nm的焦点偏移以及离名义值的+/-2.41%到+/-4.82%的曝光变化。多个校准运转针对于如图4B所示的十一个位置的不同子集进行。在少于十一个的位置用于校准的情况下,剩余的数据通过根据所测量到的测试参数值确定模拟的偏差来用于模型验证。整组大约2000个测试图案在名义条件下(工艺窗口的中心)被使用,而在所有其他的采样位置上仅仅包括300个测试图案(即测试图案的15%)。所有测量都是标量CD测量,而模型的精确度通过模拟的CD值和测量到的CD值之间的RMS偏差量化。
图5示出校准运转的结果。第二列给出在工艺窗口中用于校准的采样位置的图形表示;来自所有剩余位置的数据被用于模型验证。在第二列的每个单元中所示的点对应于图4B所示的等价位置。校准和验证位置的数量分别在图5的列3和4中给出。列5列出在所有位置和所有图案上的以nm为单位的总RMS,而在任何单个采样位置上的最大RMS在最后一列中给出。所述数量表示:尽管当采用来自所有11个采样位置的数据获得最佳的总体拟合时,即使在将采样位置降低到沿着离焦方向仅仅3个以后,也仅仅存在对于拟合质量的少量降低。因此,用于使用在工艺窗口中的仅仅三个采样位置上收集到的数据校准焦点曝光模型的优选最佳实现是:名义上的条件、在名义曝光中的正离焦条件、以及在名义上的曝光中的负离焦条件。另外,除去仅仅曝光的情况之外,在仅仅具有曝光变化的采样位置被选择的情况下,所有其他的结果表示模型的精确度对于在工艺窗口中的采样位置的准确选择不敏感。用于形成经过校准的焦点曝光模型的方法甚至允许被校准数据覆盖的参数范围以外的适度推断。
在仅有曝光的情况下,其中没有离焦数据被包括在校准中,并且仅仅剂量有小的变化,则参数拟合过程导致错误的光学参数。所述结果不太令人吃惊。如之前所讨论的,离焦效果可以被抗蚀剂参数(例如,扩散常数)模仿,而在没有通过焦点数据的情况下,拟合没有被充分地限定用于生成精确的模型参数值。因此,用于为模型校准选择非名义上的采样位置的准则是包括用于获得拟合参数的精确最终值的至少一个离开名义焦点的采样位置。
本发明的方法提供对于在工艺窗口中的不同离散点独立地校准模型的当前实际上的明显益处。图6提供在两个校准途径(即分别为焦点曝光模型的校准和多个离散模型的校准)之间的比较。在图6中,假定每个采样位置需要N个测量,且除去名义上的采样位置之外的额外采样位置的数量是x。因此,在现有技术的多个离散模型中,所有(1+x)个位置所需要测量的总数为仅为(1+x)N个。相反,因为如上所述的焦点曝光模型在额外的(即非名义上的)采样位置上需要仅仅15%的测量,所以对于全部(1+x)各位置所需要的测量总数仅为(1+0.15x)N。另外,尽管现有技术的多个离散测量需要在(1+x)个位置中的每个位置上的独立校准,但是焦点曝光模型需要在所同时考虑的工艺窗口中的所有位置上的测量的仅仅一个校准。另外,不像现有技术的多个离散模型,焦点曝光模型具有独立的公共掩模、光学和抗蚀剂模型。进而,焦点曝光模型能够在由图2B所示的采样位置限定的整个边界内生成非限制的附加模型(即,在工艺窗口内的非限制数量的位置上产生精确的预见),而现有技术的多个离散模型可能仅仅在已经被实施了独立校准的(1+x)个位置上是精确的。
图7是根据本发明的用于形成光刻工艺的焦点曝光模型的系统700的一个实施例的框图。系统700包括,但不限于:输入装置712、模型校准模块714、输出装置716和存储区段718。存储区段718包括但不限于物理模型信息720。物理模型信息720包括但不限于光学模型信息722和抗蚀剂模型信息724。光学模型信息722包括光学模型以及对于每个光学模型参数的一组可能的值,而抗蚀剂模型信息724包括抗蚀剂模型以及对于每个抗蚀剂模型参数的一组可能的值。模型校准模块714经由输入装置712接收工艺窗口限定信息和被印刷的测试图案测量。模型校准模块714采用工艺窗口限定信息和被印刷的测试图案测量,结合光学模型信息722和抗蚀剂模型信息724,以生成经过校准的焦点曝光模型。经过校准的焦点曝光模型能够在由至少两个连续可调的光学参数所描述的工艺窗口上描述光刻工艺的性能。
图8是根据本发明的光刻模拟系统800的一个实施例的图。系统800包括但不限于至少一个通用型计算系统,所述通用型计算系统包括但不限于应用处理系统814a和前端处理系统814b。应用处理系统814a合适地配置用于处理系统800的总体操作的工作管理。尤其,在一个实施例中,应用处理系统814a包括应用处理装置836和应用SCSI RAID 838a。应用处理装置836适合编程用于提供系统800的各种部件的操作的管理。在这个方面,例如应用处理装置836可以被编程用于对用于加速器系统816的各种部件的设计数据库进行分区,由此详述由加速器系统816的部件实现的独立的工作、功能或处理。应用SCSI RAID硬盘阵列838a提供对于应用处理装置836所使用的程序和数据(例如,设计数据库)的存储。
前端处理系统8 14b包括前端处理装置840,所述前端处理装置840适合编程用于经由例如客户端计算机(未示出)处理或实现与用户或操作者(即“外部世界”)互动,所述客户端计算机为工作建立和/或结果审查/分析而提供对系统800的操作者或用户访问。由于前端SCSI RAID838b被用于存储许多模拟工作的结果和图像,所以与前端处理装置840相关的前端SCSI RAID硬盘阵列838b应当是高容量存储装置。前端处理系统814b也与应用处理系统814a进行通讯,以从应用SCSI RAID 838a获取数据,或将数据提供给应用SCSI RAID 838a(例如,设计数据库),前端处理系统814b向应用处理系统814a发送指令,以根据用户或操作者的指令开始工作。
应用处理系统814a和前端处理系统814b与加速器系统816相连(例如通过高速转换器(例如吉比特以太网(gigabit-Ethernet)转换器842a和842b))。转换器842a和842b可以是由Dell Computer(Austin,Tex.,USA)制造和提供的Dell 5224电源连接。Dell 5224电源连接的实现和操作在使用说明书中、技术/杂志文章以及数据页中进行了详细描述,所有这些资料在此以引用的方式并入本文中。
在一个实施例中,所有或几乎所有光刻模拟的实际的计算上的繁重任务都可以由加速器系统816,且尤其是至少一个加速器部件816a-n执行。该结构允许通过改变加速器硬件部件816a-n的数量,实现可升级的计算容量。另外,所述结构也能够实现或增强系统800的总体缺陷公差。例如,如果给定的加速器硬件部件816a-n失效,则其工作可以被重新分派给其他的加速器硬件部件816a-n,且以这种方式,系统800维持其操作条件/状态。
尤其,加速器系统816可以包括至少一个加速器部件816a-n,其中每个具有微处理器844a-n(包括至少一个微处理器)中的一个、至少一个加速器子系统846a-n、以及与相关的微处理器系统844a-n连接的本地的或常驻存储器存储848a-n。硬件加速能力的程度或量可以由微处理器子系统844a-n平衡,依赖于待实现的计算的程度或量。
在一个实施例中,微处理器子系统844a-n中的每一个都包括由Intel(Santa Clara,Calif.,USA)制造的Xeon微处理器。加速器子系统846a-n中的每个包括多个特定用途集成电路(ASIC)、专用DSP集成电路和/或可编程门阵列(例如,现场可编程门阵列(“FPGA”))。实际上,每个加速器子系统846a-n可以包括多个加速器子系统,例如,加速度子系统846a可以包括所有的加速器子系统846al-6ax,如图8所示。以这种方式,当充分应用时,每个加速器子系统846a-n包括几乎二十五个Xeon微处理器的计算能力。
总线850a-n促使在微处理器子系统和相关的加速器子系统846a-n之间进行高速通讯。总线850a-n上的通信协议和技术可以是PCI、PCIX、或其他高速通信协议和技术。实际上,任何高速技术,无论是目前公知的或是以后开发的,都可以在总线850a-n上实现。注意到,在一个实施例中,可以采用由International Business Machines Corporation(Armonk,N.Y.,USA)提供的21P100BGC PCI-X桥(64位/133MHz)实现总线接口。21P100BGC的实现和操作在使用说明书中、技术/杂志文章以及数据页中进行了详细描述,所有这些资料在此以引用的方式并入本文中。
以上,本发明已经参照具体的实施例进行了描述。然而,应当理解,在不背离所附的权利要求所提出的较宽的精神和保护范围的条件下,可以由此对上述本发明进行各种修改和改变。相应地,前面的描述和附图是说明性的,而不是限制性的。

Claims (53)

1.一种用于形成光刻工艺的焦点曝光模型的方法,包括:
选择光刻工艺模型,所述模型包括光学模型模块,所述模型包括一组模型参数,所述模型参数包括焦点、曝光以及一组具有可变数值的拟合参数;
将光刻工艺的工艺窗口限定在焦点曝光空间中;
为所述模型选择一组初始拟合参数值;
在工艺窗口内选择多个采样位置,所述多个采样位置包括名义上的条件,并成为在工艺窗口内的所有可能的工艺条件的子集;
在保持所述初始拟合参数值恒定的同时,通过以变化的与工艺窗口内的多个采样位置相对应的焦点和曝光数值模拟光刻工艺,采用具有所述初始拟合参数组的模型,在工艺窗口内的多个采样位置中的每个上生成光刻工艺的模拟结果;
将在工艺窗口内的多个采样位置中的每一个位置处的光刻工艺的模拟结果与实际结果进行比较,以在所有的多个采样位置上产生模拟结果和实际结果之间的总差别测量,其中所述总差别测量是均方根差;
修改所述拟合参数数值组,并在所述工艺窗口内的多个采样位置中的每一个上生成附加的模拟结果,以识别优化的拟合参数值,以使得采用优化的拟合参数值所产生的在实际结果和模拟结果之间的总差别测量被最小化,或者在预定的阈值以下;以及
将焦点曝光模型限定为包括优化的拟合参数值的模型,所述焦点曝光模型能够模拟在整个工艺窗口内的任何位置上的光刻工艺。
2.根据权利要求1所述的方法,其中,所述焦点曝光模型被用于模拟在工艺窗口内的单个位置处的光刻工艺。
3.根据权利要求1所述的方法,其中,所述焦点曝光模型被用于根据第一原理在不改变优化拟合参数值的情况下,通过将与工艺窗口内的不是多个采样位置中的一个位置相对应的焦点和曝光值应用于焦点曝光模型,而模拟在工艺窗口内的所述位置处的光刻工艺。
4.根据权利要求1所述的方法,其中,所述模型参数组还包括除去焦点和曝光之外的至少一个第一原理参数。
5.根据权利要求4所述的方法,其中,所述至少一个第一原理参数包括照射源、数值孔径和光学像差中的至少一个。
6.根据权利要求1所述的方法,其中,所述光刻工艺的模型还包括抗蚀剂模型模块。
7.根据权利要求1所述的方法,其中,所述光刻工艺的模型还包括掩模模型模块。
8.根据权利要求1所述的方法,其中,所述多个采样位置包括仅仅以名义上的曝光和焦点值变化的条件下的采样位置。
9.根据权利要求1所述的方法,其中,所述多个采样位置包括仅仅名义上的条件、在名义上的曝光条件下的正离焦条件、以及在名义上的曝光条件下的负离焦条件。
10.根据权利要求1所述的方法,还包括:
为测试掩模选择一组测试图案,其中所述测试图案组覆盖邻近相互作用的全范围,所述邻近相互作用是光刻工艺的特征;
将所述测试图案组印刷到晶片上,以形成一组测试结构;以及
采用所述测试结构组产生实际的结果。
11.根据权利要求1所述的方法,其中,所述模拟结果和实际结果是临界尺寸测量。
12.根据权利要求1所述的方法,其中,所述均方根差包括针对各种数据点或其他调整的不同的权重因子。
13.一种用于形成光刻工艺的焦点曝光模型的方法,包括:
在光刻工艺的预定工艺窗口内选择一组工艺条件,所述工艺条件组是在所述工艺窗口内的所有可能的工艺条件的子集,其中每个工艺条件是曝光值和离焦值;
选择光刻工艺模型,所述模型包括光学模型模块,所述模型具有一组模型参数,所述模型参数包括焦点、曝光以及一组具有可变数值的拟合参数;
采用模型模拟在所述工艺条件组中的每一个工艺条件下的光刻工艺,以产生模拟结果,其中所述焦点和曝光参数的值被改变为与所述工艺条件组相对应,且所述拟合参数值被保持恒定;以及
通过将在所有所述工艺条件组下的光刻工艺的模拟结果和实际结果进行比较校准所述模型,以产生能够在预定的工艺窗口内的所有可能的工艺条件下模拟光刻工艺的单一焦点曝光模型。
14.根据权利要求13所述的方法,其中,所述焦点曝光模型被用于在预定的工艺窗口内的不是所述工艺条件组中的一个工艺条件下模拟光刻工艺。
15.根据权利要求13所述的方法,其中,所述模型参数组还包括除去焦点和曝光之外的至少一个第一原理参数。
16.根据权利要求15所述的方法,其中,所述至少一个第一原理参数包括照射源、数值孔径和光学像差中的至少一个。
17.根据权利要求13所述的方法,其中,所述光刻工艺的模型还包括抗蚀剂模型模块。
18.根据权利要求13所述的方法,其中,所述光刻工艺的模型还包括掩模模型模块。
19.根据权利要求13所述的方法,其中,所述工艺条件组包括仅仅在名义上的曝光和变化的焦点值下的工艺条件。
20.根据权利要求13所述的方法,其中,所述工艺条件组包括仅仅名义上的条件、在名义上的曝光条件下的正离焦条件、以及在名义上的曝光条件下的负离焦条件。
21.根据权利要求13所述的方法,还包括:
为测试掩模选择一组测试图案,其中所述测试图案组覆盖邻近相互作用的全范围,所述邻近相互作用是光刻工艺的特征;
将所述测试图案组印刷到晶片上,以形成一组测试结构;以及
采用所述测试结构组产生实际的结果。
22.根据权利要求13所述的方法,其中,所述模拟结果和实际结果是临界尺寸测量。
23.一种用于形成光刻工艺的焦点曝光模型的方法,所述模型能够模拟在整个工艺窗口上的光刻工艺,所述方法包括:
采用在一组工艺条件中的每一个工艺条件下的光刻工艺,获得被印刷在晶片上的一组测试结构的测量,所述工艺条件组成为在曝光离焦空间中的工艺窗口内的所有可能的工艺条件的子集;
采用光刻工艺的模型在所述工艺条件组中的每一个工艺条件下模拟光刻工艺,以形成模拟结果,所述模型包括模型参数,所述模型参数包括焦点、曝光以及具有可变数值的一组拟合参数;
确定拟合参数的优化值,所述优化值产生与在所有所述工艺条件组下的所述测试结构组的测量形成最佳拟合的模拟结果;以及
将所述焦点曝光模型限定为具有拟合参数的优化值的模型。
24.根据权利要求23所述的方法,其中,所述焦点曝光模型被用于根据第一原理在不改变优化拟合参数值的情况下,通过将与工艺窗口内的工艺条件相对应的焦点和曝光值应用到焦点曝光模型上,而模拟在工艺窗口内的不是所述工艺条件组中的一个工艺条件下的光刻工艺。
25.根据权利要求23所述的方法,其中,所述模型参数包括除去焦点和曝光之外的至少一个第一原理参数。
26.根据权利要求25所述的方法,其中,所述至少一个第一原理参数包括照射源、数值孔径和光学像差中的至少一个。
27.根据权利要求23所述的方法,其中,所述光刻工艺的模型包括抗蚀剂模型模块。
28.根据权利要求23所述的方法,其中,所述光刻工艺的模型包括掩模模型模块。
29.根据权利要求23所述的方法,其中,所述工艺条件组包括仅仅在名义上的曝光和可变的焦点值下的工艺条件。
30.根据权利要求23所述的方法,其中,所述工艺条件组包括仅仅名义上的条件、在名义上的曝光条件下的正离焦条件、以及在名义上的曝光条件下的负离焦条件。
31.根据权利要求23所述的方法,还包括:
为测试掩模选择一组测试图案,其中所述测试图案组覆盖邻近相互作用的全范围,所述邻近相互作用是光刻工艺的特征;以及
将所述测试图案组印刷到晶片上,以产生一组测试结构。
32.根据权利要求23所述的方法,其中,所述测试结构组和模拟结果的测量是临界尺寸测量。
33.一种用于生成用于预见光刻工艺的能力的单工艺窗口模型的系统,包括:
用于存储信息的存储区段;
输入装置;
输出装置;
存储在存储区段中的物理模型信息;以及
模型校准模块;
所述存储区段与所述模型校准模块相通信,以使得所选择的物理模型信息能够被模型校准模块访问;
所述输入装置与所述模型校准模块相通信,以使得用于限定工艺窗口的工艺窗口限定信息能够被制成对于模型校准模块是可获得的,并使得在所限定的工艺窗口中的不同测试条件下从晶片的测量获得的离散测量信息能够被所述模型校准模块访问,以及
所述模型校准模块被配置用于通过将所述工艺窗口限定信息和离散的测量信息用于校准所选择的物理模型信息,生成单工艺窗口模型,以使得在所限定的工艺窗口上的光刻工艺的性能能够以两个连续可调的光学参数进行描述,
其中,生成单工艺窗口模型包括:将所述离散测量信息与模拟测量进行比较,所述模拟测量通过在保持所述物理模型信息中的所有其他参数不变的同时变化所述两个连续可调的光学参数的情况下,采用所选择的物理模型信息模拟光刻工艺而产生。
34.根据权利要求33所述的系统,其中,所述两个连续可调的光学参数是焦点和曝光。
35.根据权利要求34所述的系统,其中,所述模拟测量采用仅仅在名义上的曝光和可变的焦点值下的两个连续可调的光学参数值而被产生。
36.根据权利要求34所述的系统,其中,所述模拟测量采用仅仅在名义上的条件下、在名义上的曝光条件下的正离焦条件下以及在名义上的曝光条件下的负离焦条件下的两个连续可调的光学参数值而被产生。
37.根据权利要求33所述的方法,其中,所述物理模型信息包括照射源、数值孔径和光学像差中的至少一个。
38.根据权利要求33所述的方法,其中,所述物理模型信息包括抗蚀剂模型模块。
39.根据权利要求33所述的方法,其中,所述物理模型信息包括掩模模型模块。
40.一种用于形成光刻工艺的模型的方法,包括:
在光刻工艺的预定工艺窗口内选择一组工艺条件,所述工艺条件组成为在所述工艺窗口内的所有可能的工艺条件的子集,其中每个工艺条件是对应至少一个参数的值;
选择光刻工艺模型,所述模型具有一组模型参数,所述模型参数包括工艺条件的至少一个参数以及一组拟合参数;
采用模型模拟在所述工艺条件组中的每一个工艺条件下的光刻工艺,以产生模拟结果,其中所述至少一个参数的值被改变为与所述工艺条件组相对应,同时,所述拟合参数值被保持恒定;以及
通过将在所有所述工艺条件组下的光刻工艺的模拟结果和实际结果进行比较来校准所述模型,以产生能够在预定的工艺窗口内的所有可能的工艺条件下模拟光刻工艺的单模型。
41.根据权利要求40所述的方法,其中,所述至少一个参数是光学参数。
42.根据权利要求41所述的方法,其中,所述光学参数是焦点。
43.根据权利要求41所述的方法,其中,所述光学参数是光刻曝光工具的数值孔径。
44.根据权利要求40所述的方法,其中,所述至少一个参数是抗蚀剂参数。
45.根据权利要求40所述的方法,其中,所述至少一个参数包括光学参数和抗蚀剂参数。
46.一种用于形成在名义上的条件下使用的光刻工艺的单模型的方法,包括:
在光刻工艺的预定工艺窗口内选择一组工艺条件,所述工艺条件组成为在所述预定的工艺窗口内的所有可能的工艺条件的子集,所述工艺条件组包括名义上的条件,其中每个工艺条件是对应至少一个参数的值;
选择光刻工艺的模型,所述模型具有模型参数,所述模型参数包括工艺条件的至少一个参数以及一组拟合参数;
采用模型模拟在所述工艺条件组中的每一个工艺条件下的光刻工艺,以产生模拟结果,其中所述至少一个参数的值被改变为与所述工艺条件组相对应,同时,所述拟合参数值被保持恒定;以及
通过将在所有所述工艺条件组下的光刻工艺的模拟结果和实际结果之间的差别最小化来校准所述模型,以产生单模型,
其中,所述单模型被用于为在名义上的条件下的光刻工艺建模。
47.根据权利要求46所述的方法,其中,所述至少一个参数是焦点。
48.根据权利要求46所述的方法,其中,所述至少一个参数包括照射源、数值孔径和光学像差中的至少一个。
49.根据权利要求46所述的方法,还包括:
为测试掩模选择一组测试图案;
将所述测试图案组印刷到晶片上,以形成一组测试结构;以及
采用所述测试结构组以产生实际结果。
50.根据权利要求46所述的方法,其中,所述校准模型的步骤还包括:
将在所有所述工艺条件组下的光刻工艺的模拟结果与实际结果进行比较,以产生所述模拟结果和实际结果之间的总差别测量;
以所述工艺条件组修改拟合参数值并生成附加的模拟结果,以识别优化的拟合参数值,以使得采用优化的拟合参数值所产生模拟结果和实际结果之间的总差别测量被最小化或者处于预定的阈值之下;以及
将所述单模型限定为包括优化的拟合参数值的模型。
51.根据权利要求46所述的方法,其中,所述总差别测量是均方根差。
52.根据权利要求46所述的方法,其中,所述工艺条件组包括仅仅在以名义上的曝光和变化的焦点值下的工艺条件。
53.根据权利要求46所述的方法,其中,所述工艺条件组包括仅仅名义上的条件、在名义上的曝光条件下的正离焦条件、以及在名义上的曝光条件下的负离焦条件。
CN2006800295124A 2005-08-08 2006-08-02 用于形成光刻工艺的焦点曝光模型的系统和方法 Active CN101258498B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US70614405P 2005-08-08 2005-08-08
US60/706,144 2005-08-08
PCT/US2006/030364 WO2007019269A2 (en) 2005-08-08 2006-08-02 System and method for creating a focus-exposure model of a lithography process

Publications (2)

Publication Number Publication Date
CN101258498A CN101258498A (zh) 2008-09-03
CN101258498B true CN101258498B (zh) 2011-04-13

Family

ID=37727903

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800295124A Active CN101258498B (zh) 2005-08-08 2006-08-02 用于形成光刻工艺的焦点曝光模型的系统和方法

Country Status (6)

Country Link
US (3) US7747978B2 (zh)
EP (1) EP1920369A2 (zh)
JP (2) JP4806020B2 (zh)
KR (1) KR100958714B1 (zh)
CN (1) CN101258498B (zh)
WO (1) WO2007019269A2 (zh)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US7646906B2 (en) * 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
KR100958714B1 (ko) * 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
CN101305320B (zh) * 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7962868B2 (en) * 2005-10-28 2011-06-14 Freescale Semiconductor, Inc. Method for forming a semiconductor device using optical proximity correction for the optical lithography
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
EP1804119A1 (en) * 2005-12-27 2007-07-04 Interuniversitair Microelektronica Centrum Method for manufacturing attenuated phase- shift masks and devices obtained therefrom
US7493589B2 (en) 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US8370773B2 (en) * 2006-08-16 2013-02-05 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit using inverse lithography technology
US7642020B2 (en) * 2006-08-17 2010-01-05 International Business Machines Corporation Method for separating optical and resist effects in process models
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
US7716627B1 (en) 2006-09-28 2010-05-11 Guada, Inc. Solution-dependent regularization method for quantizing continuous-tone lithography masks
WO2008077100A2 (en) * 2006-12-19 2008-06-26 Kla-Tencor Corporation Systems and methods for creating inspection recipes
WO2008086282A2 (en) * 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
KR101769258B1 (ko) * 2007-01-18 2017-08-17 가부시키가이샤 니콘 스캐너 기반의 광 근접 보정 시스템 및 이용 방법
US20080180696A1 (en) * 2007-01-30 2008-07-31 Sony Corporation Process window for EUV lithography
JP4328811B2 (ja) 2007-02-27 2009-09-09 キヤノン株式会社 レジストパターン形状予測方法、プログラム及びコンピュータ
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7913196B2 (en) * 2007-05-23 2011-03-22 United Microelectronics Corp. Method of verifying a layout pattern
US8001492B2 (en) * 2007-06-28 2011-08-16 Linden Design Technologies, Inc. Evaluation method for interconnects interacted with integrated-circuit manufacture
US7796804B2 (en) * 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) * 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
JP5425779B2 (ja) * 2007-08-20 2014-02-26 ケーエルエー−テンカー・コーポレーション 実際の欠陥が潜在的にシステム的な欠陥であるか、または潜在的にランダムな欠陥であるかを判断する、コンピューターに実装された方法
US7999920B2 (en) * 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
US7707539B2 (en) * 2007-09-28 2010-04-27 Synopsys, Inc. Facilitating process model accuracy by modeling mask corner rounding effects
US7805699B2 (en) * 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP4568341B2 (ja) * 2008-03-19 2010-10-27 株式会社東芝 シミュレーションモデル作成方法、マスクデータ作成方法、及び半導体装置の製造方法
JP2009231767A (ja) * 2008-03-25 2009-10-08 Toshiba Corp リソグラフィープロセスウィンドー解析方法およびその解析プログラム
DE102008015806B4 (de) * 2008-03-27 2015-07-16 Infineon Technologies Ag Verfahren zum Kalibrieren eines Simulations- oder Entwurfsverfahrens, zum Entwerfen oder Herstellen einer Maske oder zum Herstellen eines Bauelements
US8139844B2 (en) * 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US7974819B2 (en) * 2008-05-13 2011-07-05 Aptina Imaging Corporation Methods and systems for intensity modeling including polarization
JP2011521475A (ja) * 2008-05-21 2011-07-21 ケーエルエー−テンカー・コーポレーション ツール及びプロセスの効果を分離する基板マトリクス
US8015513B2 (en) * 2008-05-30 2011-09-06 Texas Instruments Incorporated OPC models generated from 2D high frequency test patterns
KR101928938B1 (ko) 2008-06-03 2018-12-13 에이에스엠엘 네델란즈 비.브이. 모델-기반 공정 시뮬레이션 시스템들 및 방법들
JP2009302206A (ja) * 2008-06-11 2009-12-24 Canon Inc 露光パラメータの決定方法、露光パラメータを決定するためのプログラム、露光方法及びデバイス製造方法
US8542340B2 (en) 2008-07-07 2013-09-24 Asml Netherlands B.V. Illumination optimization
US7966583B2 (en) * 2008-07-08 2011-06-21 Synopsys, Inc. Method and apparatus for determining the effect of process variations
US9659670B2 (en) 2008-07-28 2017-05-23 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
KR100990880B1 (ko) 2008-11-12 2010-11-01 주식회사 동부하이텍 핫 스팟 라이브러리 생성 방법
US8516401B2 (en) * 2008-11-19 2013-08-20 Mentor Graphics Corporation Mask model calibration technologies involving etch effect and exposure effect
US8136054B2 (en) * 2009-01-29 2012-03-13 Synopsys, Inc. Compact abbe's kernel generation using principal component analysis
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) * 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US8255838B2 (en) * 2010-01-15 2012-08-28 Synopsys, Inc. Etch-aware OPC model calibration by using an etch bias filter
US8607168B2 (en) * 2010-02-16 2013-12-10 Mentor Graphics Corporation Contour alignment for model calibration
US9620426B2 (en) * 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
US8276102B2 (en) * 2010-03-05 2012-09-25 International Business Machines Corporation Spatial correlation-based estimation of yield of integrated circuits
US8285030B2 (en) * 2010-03-15 2012-10-09 Synopsys, Inc. Determining calibration parameters for a lithographic process
US8234601B2 (en) * 2010-05-14 2012-07-31 International Business Machines Corporation Test pattern for contour calibration in OPC model build
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
NL2008041A (en) * 2011-01-28 2012-07-31 Asml Netherlands Bv Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus.
KR20120090362A (ko) * 2011-02-07 2012-08-17 삼성전자주식회사 마스크 레이아웃 보정 방법 및 장치
US8443309B2 (en) * 2011-03-04 2013-05-14 International Business Machines Corporation Multifeature test pattern for optical proximity correction model verification
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
JP2013004672A (ja) * 2011-06-15 2013-01-07 Toshiba Corp シミュレーションモデル作成方法
US8572518B2 (en) 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
JP5988569B2 (ja) * 2011-12-07 2016-09-07 キヤノン株式会社 決定方法、決定装置およびプログラム
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
CN103472672B (zh) * 2012-06-06 2016-01-06 中芯国际集成电路制造(上海)有限公司 校正光学邻近校正模型的方法
US9424372B1 (en) * 2012-06-11 2016-08-23 D2S, Inc. System and method for data path handling, shot count minimization, and proximity effects correction related to mask writing process
US8631359B1 (en) * 2012-08-07 2014-01-14 Synopsys, Inc. System and technique for modeling resist profile change sensitivity at different heights
JP5917337B2 (ja) 2012-08-24 2016-05-11 株式会社東芝 パターンデータ作成方法
US9291920B2 (en) 2012-09-06 2016-03-22 Kla-Tencor Corporation Focus recipe determination for a lithographic scanner
US8739076B2 (en) * 2012-09-11 2014-05-27 Synopsys, Inc. Method and apparatus for process window modeling
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US8741511B1 (en) 2012-12-19 2014-06-03 Globalfoundries Singapore Pte. Ltd. Determination of lithography tool process condition
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US10274839B2 (en) * 2013-03-11 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Two-dimensional marks
US8782572B1 (en) 2013-03-13 2014-07-15 United Microelectronics Corp. Method of optical proximity correction
US10242142B2 (en) 2013-03-14 2019-03-26 Coventor, Inc. Predictive 3-D virtual fabrication system and method
US9317632B2 (en) * 2013-03-14 2016-04-19 Coventor, Inc. System and method for modeling epitaxial growth in a 3-D virtual fabrication environment
WO2014146724A1 (en) 2013-03-22 2014-09-25 Eth Zurich Laser ablation cell
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US8910089B1 (en) * 2013-06-19 2014-12-09 International Business Machines Corporation Printing process calibration and correction
US9383661B2 (en) * 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
WO2016012316A1 (en) * 2014-07-21 2016-01-28 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
KR102238708B1 (ko) 2014-08-19 2021-04-12 삼성전자주식회사 리소그래피 공정의 초점 이동 체크 방법 및 이를 이용한 전사 패턴 오류 분석 방법
KR102021450B1 (ko) * 2014-09-22 2019-11-04 에이에스엠엘 네델란즈 비.브이. 공정 윈도우 식별자
US10866523B2 (en) 2015-06-16 2020-12-15 Asml Netherlands B.V. Process window tracker
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
WO2017055086A1 (en) * 2015-09-30 2017-04-06 Asml Netherlands B.V. Metrology method for process window definition
US11126092B2 (en) * 2015-11-13 2021-09-21 Asml Netherlands B.V. Methods for determining an approximate value of a processing parameter at which a characteristic of the patterning process has a target value
US10656531B2 (en) 2015-12-22 2020-05-19 Asml Netherlands B.V. Apparatus and method for process-window characterization
US10762267B2 (en) 2016-05-30 2020-09-01 Coventor, Inc. System and method for electrical behavior modeling in a 3D virtual fabrication environment
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
TWI631415B (zh) * 2016-07-01 2018-08-01 美商格羅方德半導體公司 同時微影及蝕刻校正流程之方法
CN106094423B (zh) * 2016-08-22 2019-11-22 上海华力微电子有限公司 一种光刻工艺优化方法
JP6884855B2 (ja) * 2016-10-21 2021-06-09 エーエスエムエル ネザーランズ ビー.ブイ. パターニングプロセスに対する補正を決定する方法、デバイス製造方法、リソグラフィ装置のための制御システム、及び、リソグラフィ装置
US11183434B2 (en) * 2016-12-28 2021-11-23 Asml Netherlands B.V. Methods of guiding process models and inspection in a manufacturing process
WO2018138123A1 (en) * 2017-01-26 2018-08-02 Asml Netherlands B.V. Methods of tuning process models
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
KR102540941B1 (ko) 2017-06-18 2023-06-05 코벤터, 인크. 가상 반도체 디바이스 제조 환경에서 키 파라미터 식별, 프로세스 모델 캘리브레이션 및 가변성 분석을 위한 시스템 및 방법
WO2019048506A1 (en) 2017-09-08 2019-03-14 Asml Netherlands B.V. METHODS OF LEARNING OPTICAL CORRECTION OF PROXIMITY ERROR ASSISTED BY AUTOMATIC APPRENTICESHIP
EP3627228A1 (en) * 2017-09-28 2020-03-25 ASML Netherlands B.V. Lithographic method
CN111213090B (zh) 2017-10-11 2024-04-09 Asml荷兰有限公司 图案化过程的优化流程
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
KR102585064B1 (ko) 2017-12-22 2023-10-05 에이에스엠엘 네델란즈 비.브이. 결함 확률에 기초한 프로세스 윈도우
CN116482939A (zh) 2017-12-22 2023-07-25 Asml荷兰有限公司 涉及光学像差的图案化过程改进
US10990003B2 (en) 2018-02-18 2021-04-27 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
US11232249B2 (en) 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
CN111868634B (zh) * 2018-03-20 2023-06-09 Asml荷兰有限公司 用于加速抗蚀剂和蚀刻模型校准的实时调节方法
US10572697B2 (en) * 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
KR20200130870A (ko) 2018-04-10 2020-11-20 램 리써치 코포레이션 피처들을 특징화하기 위한 머신 러닝의 광학 계측
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
KR102498694B1 (ko) 2018-05-07 2023-02-10 에이에스엠엘 네델란즈 비.브이. 전산 리소그래피 마스크 모델과 관련된 전자계를 결정하는 방법
US20210208507A1 (en) 2018-06-04 2021-07-08 Asml Netherlands B.V. Method for improving a process for a patterning process
WO2019238372A1 (en) * 2018-06-15 2019-12-19 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
WO2020011513A1 (en) 2018-07-12 2020-01-16 Asml Netherlands B.V. Utilize pattern recognition to improve sem contour measurement accuracy and stability automatically
KR20210033496A (ko) 2018-08-15 2021-03-26 에이에스엠엘 네델란즈 비.브이. 원시 이미지들로부터 고품질 평균 sem 이미지들의 자동 선택 시 기계 학습 활용
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
WO2020078844A1 (en) 2018-10-19 2020-04-23 Asml Netherlands B.V. Method to create the ideal source spectra with source and mask optimization
WO2020094387A1 (en) 2018-11-05 2020-05-14 Asml Holding N.V. A method to manufacture nano ridges in hard ceramic coatings
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
EP3657257A1 (en) * 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
CN113168556A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于降低机器学习模型预测中的不确定性的方法
CN113168085A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
WO2020135946A1 (en) 2018-12-28 2020-07-02 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
NL2024815A (en) 2019-02-19 2020-08-27 Asml Holding Nv Laser roughening: engineering the roughness of the burl top
US20220113632A1 (en) 2019-02-27 2022-04-14 Asml Netherlands B.V. Gauge selection for model calibration
CN113544590A (zh) 2019-03-03 2021-10-22 Asml荷兰有限公司 用于使用缩窄带宽进行成像的方法和设备
CN113544592A (zh) 2019-03-08 2021-10-22 Asml荷兰有限公司 用于衍射图案引导的源掩模优化的方法和设备
CN113614638A (zh) 2019-03-21 2021-11-05 Asml荷兰有限公司 用于机器学习辅助的光学邻近效应误差校正的训练方法
CN113678064B (zh) 2019-04-09 2023-12-08 Asml荷兰有限公司 用于在设施位置之间调整预测模型的系统和方法
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
JP7305792B2 (ja) 2019-04-30 2023-07-10 エーエスエムエル ネザーランズ ビー.ブイ. フォトリソグラフィ結像の方法及び装置
US20220276563A1 (en) 2019-07-10 2022-09-01 Asml Netherlands B.V. Prediction data selection for model calibration to reduce model prediction uncertainty
CN114503035A (zh) 2019-08-08 2022-05-13 Asml荷兰有限公司 用于光刻成像的方法和设备
US20220327364A1 (en) 2019-08-30 2022-10-13 Asml Netherlands B.V. Semiconductor device geometry method and system
KR20220038501A (ko) * 2019-09-06 2022-03-28 에이에스엠엘 네델란즈 비.브이. 매개변수화된 모델 예측에서의 확실성을 증가시키는 방법
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
US20220404712A1 (en) 2019-11-01 2022-12-22 Asml Netherlands B.V Machine learning based image generation for model base alignments
US20220390832A1 (en) 2019-11-19 2022-12-08 Asml Holding N.V. Optimization using a non-uniform illumination intensity profile
US20230010700A1 (en) 2019-12-02 2023-01-12 Cymer, Llc Method and system for enhancing target features of a pattern imaged onto a substrate
WO2021140020A2 (en) 2020-01-07 2021-07-15 Asml Netherlands B.V. High brightness low energy spread pulsed electron source
EP3848953A1 (en) 2020-01-07 2021-07-14 ASML Netherlands B.V. High brightness electron source
WO2021160522A1 (en) 2020-02-12 2021-08-19 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
KR20220127925A (ko) 2020-02-21 2022-09-20 에이에스엠엘 네델란즈 비.브이. 결함 기반의 프로세스 윈도우에 기초하여 시뮬레이션 프로세스를 캘리브레이팅하기 위한 방법
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
US20230107556A1 (en) 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
CN115516381A (zh) 2020-05-09 2022-12-23 Asml荷兰有限公司 确定衬底上的图案的部分的指标
US20230185187A1 (en) 2020-06-02 2023-06-15 Asml Netherlands B.V. Verifying freeform curvilinear features of a mask design
KR20230005381A (ko) 2020-06-03 2023-01-09 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 및 이에 대한 패턴을 생성하는 시스템, 제품, 및 방법
EP4165471A1 (en) 2020-06-10 2023-04-19 ASML Netherlands B.V. Aberration impact systems, models, and manufacturing processes
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
US20230298158A1 (en) 2020-08-19 2023-09-21 Asml Netherlands B.V. Apparatus and method for selecting high quality images from raw images automatically
WO2022037921A1 (en) 2020-08-19 2022-02-24 Asml Netherlands B.V. Systems, products, and methods for image-based pattern selection
CN116490824A (zh) 2020-09-25 2023-07-25 Asml荷兰有限公司 图案化过程的扫描仪生产率和成像质量的优化
WO2022069420A1 (en) 2020-09-30 2022-04-07 Asml Netherlands B.V. Vacuum system for mitigating damage due to a vacuum pump malfunction
WO2022083977A1 (en) 2020-10-23 2022-04-28 Asml Netherlands B.V. Method for generating mask pattern
CN116648672A (zh) 2020-12-18 2023-08-25 Asml荷兰有限公司 用于确定掩模图案和训练机器学习模型的方法
CN116635785A (zh) 2020-12-21 2023-08-22 Asml荷兰有限公司 用于图案区域的基于特征的单元提取
US20240119582A1 (en) 2021-02-23 2024-04-11 Asml Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
KR20230154852A (ko) 2021-03-08 2023-11-09 에이에스엠엘 네델란즈 비.브이. 반도체 제조 관련 프로세스의 패턴 선택 방법
CN117480449A (zh) 2021-06-18 2024-01-30 Asml荷兰有限公司 使用机器学习模型产生辅助特征的计算机可读介质
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
KR20240029778A (ko) 2021-07-06 2024-03-06 에이에스엠엘 네델란즈 비.브이. 이미지 예측에서 기계 학습 모델을 개선하기 위한 국부적 이미지 예측 에러 결정
KR20240031314A (ko) 2021-07-13 2024-03-07 에이에스엠엘 네델란즈 비.브이. 소스 마스크 최적화 및 타겟 최적화를 위한 패턴 선택
CN117651914A (zh) 2021-07-21 2024-03-05 Asml荷兰有限公司 用于光学器件列的热稳定安装的系统和方法
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
CN117795424A (zh) 2021-08-10 2024-03-29 Asml荷兰有限公司 匹配量测标记和器件图案的像差灵敏度
WO2023030807A1 (en) 2021-09-02 2023-03-09 Asml Netherlands B.V. Method of evaluating selected set of patterns
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
CN113985705B (zh) * 2021-10-18 2022-11-11 中国科学院微电子研究所 一种快速实现光刻系统精密校准的光学方法及装置
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6884984B2 (en) * 2002-06-07 2005-04-26 Brion Technologies, Inc. System and method for lithography process monitoring and control

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3339174B2 (ja) * 1993-11-08 2002-10-28 ソニー株式会社 フォトマスクの製造方法、露光方法及び半導体装置の製造方法
JP2000232057A (ja) * 1999-02-10 2000-08-22 Hitachi Ltd レジストパターンのシミュレーション方法およびパターン形成方法
JP2005513757A (ja) * 2001-06-26 2005-05-12 ケーエルエー−テンカー・コーポレーション リソグラフィのフォーカスおよび露光を決定する方法
KR100576752B1 (ko) * 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. 2차원 피처모델 캘리브레이션 및 최적화 방법
JP2003164797A (ja) * 2001-11-30 2003-06-10 Japan Science & Technology Corp 高分子溶液膜の塗布・乾燥方法
US6749972B2 (en) * 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
JP3971937B2 (ja) * 2002-02-18 2007-09-05 株式会社日立ハイテクノロジーズ 露光条件監視方法およびその装置並びに半導体デバイスの製造方法
US6954911B2 (en) * 2002-05-01 2005-10-11 Synopsys, Inc. Method and system for simulating resist and etch edges
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US20030229875A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
JP2004157160A (ja) * 2002-11-01 2004-06-03 Sony Corp プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法
EP1581837A2 (en) * 2002-12-30 2005-10-05 Koninklijke Philips Electronics N.V. A method of determining best process setting for optimum process window optimizing process performance determining optimum process window for a lithographic process
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP4364524B2 (ja) * 2003-02-20 2009-11-18 株式会社日立製作所 パターン検査方法
JP2005099765A (ja) * 2003-08-28 2005-04-14 Toshiba Corp プロセス近接効果の予測モデルの作成方法、工程の管理方法、半導体装置の製造方法、フォトマスクの製造方法およびプログラム
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4166166B2 (ja) * 2004-02-02 2008-10-15 株式会社東芝 露光投影像予測システム及び露光投影像予測方法
US7042551B2 (en) * 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7470492B2 (en) * 2004-10-29 2008-12-30 Intel Corporation Process window-based correction for photolithography masks
US7444615B2 (en) * 2005-05-31 2008-10-28 Invarium, Inc. Calibration on wafer sweet spots
US7224437B2 (en) * 2005-05-31 2007-05-29 Invarium, Inc Method for measuring and verifying stepper illumination
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100958714B1 (ko) * 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6884984B2 (en) * 2002-06-07 2005-04-26 Brion Technologies, Inc. System and method for lithography process monitoring and control

Also Published As

Publication number Publication date
JP4806020B2 (ja) 2011-11-02
US20070031745A1 (en) 2007-02-08
US8245160B2 (en) 2012-08-14
WO2007019269A2 (en) 2007-02-15
US7747978B2 (en) 2010-06-29
JP2011205118A (ja) 2011-10-13
KR100958714B1 (ko) 2010-05-18
KR20080043335A (ko) 2008-05-16
JP2009505400A (ja) 2009-02-05
US8065636B2 (en) 2011-11-22
EP1920369A2 (en) 2008-05-14
JP5960953B2 (ja) 2016-08-02
WO2007019269A3 (en) 2007-11-08
US20120017183A1 (en) 2012-01-19
US20100229147A1 (en) 2010-09-09
CN101258498A (zh) 2008-09-03

Similar Documents

Publication Publication Date Title
CN101258498B (zh) 用于形成光刻工艺的焦点曝光模型的系统和方法
JP6824999B2 (ja) パターニングプロセスパラメータを決定する方法及び装置
US20210064811A1 (en) Three-dimensional mask model for photolithography simulation
KR101096145B1 (ko) 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
TWI686677B (zh) 用於判定圖案化製程之參數之方法
US20150186557A1 (en) Methods and systems for parameter-sensitive and orthogonal gauge design for lithography calibration
TW201702754A (zh) 用於檢測及度量衡的方法與設備
TW201940984A (zh) 用於判定敏感度參數之調整之方法與裝置
US9779186B2 (en) Methods for performing model-based lithography guided layout design
US20160299438A1 (en) Method and apparatus for inspection and metrology
WO2016096361A1 (en) Method and apparatus for using patterning device topography induced phase
WO2016096338A1 (en) Method and apparatus for using patterning device topography induced phase
WO2016096346A1 (en) Method and apparatus for using patterning device topography induced phase
TW202230205A (zh) 用於圖案區域之基於特徵的單元擷取
TW201931019A (zh) 在度量衡中的資料之估計
Lee et al. Virtual OPC at hyper NA lithography
Suh Virtual OPC at hyper NA lithography

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: ASML NETHERLANDS CO., LTD.

Free format text: FORMER OWNER: RUICHU TECHNOLOGY COMPANY

Effective date: 20100519

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA, U.S.A. TO: WEIDEHUOWEN, HOLLAND

TA01 Transfer of patent application right

Effective date of registration: 20100519

Address after: Holland Weide Eindhoven

Applicant after: ASML Holland Co., Ltd.

Address before: American California

Applicant before: Brion Technologies Inc.

C14 Grant of patent or utility model
GR01 Patent grant