KR101043016B1 - 리소그래피 공정 윈도우 시뮬레이션을 위한 시스템 및 방법들 - Google Patents

리소그래피 공정 윈도우 시뮬레이션을 위한 시스템 및 방법들 Download PDF

Info

Publication number
KR101043016B1
KR101043016B1 KR1020080122593A KR20080122593A KR101043016B1 KR 101043016 B1 KR101043016 B1 KR 101043016B1 KR 1020080122593 A KR1020080122593 A KR 1020080122593A KR 20080122593 A KR20080122593 A KR 20080122593A KR 101043016 B1 KR101043016 B1 KR 101043016B1
Authority
KR
South Korea
Prior art keywords
focus
image
exposure
function
variations
Prior art date
Application number
KR1020080122593A
Other languages
English (en)
Other versions
KR20090059058A (ko
Inventor
준 예
유 카오
한윙 펭
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20090059058A publication Critical patent/KR20090059058A/ko
Application granted granted Critical
Publication of KR101043016B1 publication Critical patent/KR101043016B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation

Abstract

복수의 피처들을 갖는 타겟 디자인을 이미징하는데 이용된 리소그래피 공정의 이미징 성능을 효율적으로 시뮬레이션하는 방법이 개시된다. 상기 방법은 시뮬레이션된 이미지를 생성하는 함수를 결정하는 단계- 상기 함수는 리소그래피 공정과 연계된 공정 변동들을 설명함 -; 및 함수를 이용하여 시뮬레이션된 이미지를 생성하는 단계- 상기 시뮬레이션된 이미지는 리소그래피 공정에 대한 타겟 디자인의 이미징 결과를 나타냄 -를 포함한다. 하나의 주어진 실시예에서, 포커스 및 도즈(노광) 변동을 갖는 에어리얼 이미지들을 시뮬레이션하는 함수는 다음과 같이 정의되고:
Figure 112008083747731-pat00001
이때, I0는 공칭 포커스 및 노광에서의 이미지 세기를 나타내며, f0는 공칭 포커스를 나타내고, f 및 ε는 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내며, 파라미터 "a" 및 "b"는 포커스 변화에 대한 1 차 및 2 차 미분 이미지들을 나타낸다.

Description

리소그래피 공정 윈도우 시뮬레이션을 위한 시스템 및 방법들{METHODS AND SYSTEM FOR LITHOGRAPHY PROCESS WINDOW SIMULATION}
본 발명의 기술 분야는 일반적으로 리소그래피 공정과 연계된 이미징 결과들의 시뮬레이션을 수행하는 방법 및 프로그램 제품에 관한 것으로, 특히 공정 윈도우(process window)에 걸쳐 파라미터 변동들을 설명하는 계산에 관한 효율적인 시뮬레이션 공정에 관한 것이다.
리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 대응하는 회로 패턴을 포함할 수 있으며, 이 패턴은 방사선-감응재(레지스트)층으로 코팅된 기판(실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 이미징될 수 있다. 일반적으로, 단일 웨이퍼는 투영 시스템을 통해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 일 형태의 리소그래피 투영 장치에서는 전체 마스크 패턴을 타겟부 상으로 한번에 노광함으로써 각각의 타겟부가 조사되며; 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔 하에서 주어진 기준 방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하는 한편, 동시에 이 방향과 평행하게(같은 방향으로 평행하게) 또는 역-평행하게(반대 방향으로 평행하게) 기판 테이블을 스캐닝함으로써 각각의 타겟부가 조사된다. 일반적으로, 투영 시스템이 배율 인자(M)(일반적으로 < 1)를 갖기 때문에, 기판 테이블이 스캐닝되는 속력(V)은 마스크 테이블이 스캐닝되는 속력의 인자(M) 배가 될 것이다. 본 명세서에 서술된 바와 같은 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되고 있는 US 6,046,792로부터 얻을 수 있다.
리소그래피 투영 장치를 사용하는 제조 공정에서, 마스크 패턴은 방사선-감응재(레지스트)층에 의해 전체 또는 부분적으로 덮여 있는 기판 상에 이미징된다. 이러한 이미징 단계에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 과정들을 거칠 수 있다. 노광 이후, 기판은 노광후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 이미징된 피처들의 측정/검사와 같은 다른 과정들을 거칠 수 있다. 이러한 일련의 과정들은 디바이스, 예컨대 IC의 개별층을 패터닝하는 기초로서 사용된다. 그 후, 이러한 패터닝된 층은 그 모두가 개별층을 마무리하도록 의도된, 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 폴리싱 등과 같은 다양한 공정들을 거칠 수 있다. 여러 층이 요구되는 경우에는, 각각의 새로운 층에 대해 전체 과정 또는 그 변형이 반복되어야 할 것이다. 최후에는, 디바이스들의 어레이가 기판(웨이퍼) 상에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소 잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어에 장착되며 핀 등에 연결될 수 있다.
간명함을 위해, 투영 시스템은 이후에 "렌즈"라고 언급될 수 있다; 하지만, 이 용어는 예를 들어 굴절 광학기, 반사 광학기 및 카타디옵트릭(catadioptric) 시스템을 포함하는 다양한 타입의 투영 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, 방사선 시스템은 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 설계 유형들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있으며, 이러한 구성요소들은 아래에서 집합적으로 또는 개별적으로 "렌즈"라고 언급될 수 있다. 또한, 리소그래피 장치는 2 이상의 기판 테이블 (및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가 테이블들이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비 작업 단계가 수행될 수 있다. 트윈 스테이지(twin stage) 리소그래피 장치는, 예를 들어 본 명세서에서 인용참조되고 있는 US 5,969,441에서 설명된다.
앞서 언급된 포토리소그래피 마스크는 실리콘 웨이퍼 상에 집적화될 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 생성하는데 사용되는 패턴들은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 마스크를 생성하기 위해 사전설정된 설계 규칙의 세트를 따른다. 이러한 규칙들은 처리 및 설계 제한에 의해 설정된다. 예를 들어, 설계 규칙은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 캐패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 설계 규칙 제한들은 통상적으로 "임계 치수(CD)"라고도 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 설계된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목적들 중 하나는 원래 회로 설계를 (마스크를 통해) 웨이퍼 상에 충실하게 재현(reproduce)하는 것이다.
유의되는 바와 같이, 마이크로리소그래피는 반도체 집적 회로들의 제조에 있어서 핵심 단계이며, 이 때 반도체 웨이퍼 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 반도체 디바이스들의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이, MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성시 사용된다.
반도체 제조 공정이 계속해서 진보함에 따라, 통상적으로 '무어의 법칙'이라 칭하는 추세를 따라 회로 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 10 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 전연(leading-edge) 디바이스들의 임계 층들은 딥-자외선 레이저 광소스로부터의 조명을 이용하여 기판 상에 마스크 이미지를 투영하는 스캐너로서 알려진 광학 리소그래피 투영 시스템을 이용하여 제조되어, 100 nm 미만, 즉 투영 광의 파장의 절반보다 적은 치수들을 갖는 개별적인 회로 피처들을 형성한다.
이 공정- 이때, 광학 투영 시스템의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트됨 -은 통상적으로 분해능 공식 CD = k1 x λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택된 방사선의 파장(현재 대부분의 경우 248 nm 또는 193 nm)이며, NA는 투영 광학기의 개구수(numerical aperture)이고, CD는 '임계 치수'- 일반적으로, 프린트되는 최소 피처 크기 -이며, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 웨이퍼 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정 단계들이 투영 시스템뿐만 아니라 마스크에도 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤(customized) 조명 방식, 위상 시프팅 마스크들의 사용, 마스크 레이아웃 내의 광 근접성 보정, 또는 일반적으로 '분해능 향상 기술들'(RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다.
중요한 일 예시로서, 광 근접성 보정(OPC, 때로는 '광학 및 공정 보정'이라고도 칭함)은 웨이퍼 상에 프린트된 피처의 최종 크기 및 배치가 단순히 마스크 상의 대응하는 피처의 크기 및 배치의 함수가 아니라는 사실을 설명한다. '마스크' 및 '레티클'이라는 용어들은 본 명세서에서 교환가능하게 이용된다는 것을 유의한다. 전형적인 회로 설계들에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재나 부재에 의 해 어느 정도 영향을 받을 것이다. 이 근접 효과들은 한 피처에서 다른 피처로 커플링(couple)된 미세한 양의 광으로부터 일어난다. 이와 유사하게, 근접 효과들은 일반적으로 리소그래피 노광을 따르는 노광후 베이크(PEB), 레지스트 현상, 및 에칭시의 확산 및 다른 화학적 영향들로부터 일어날 수 있다.
피처들이 주어진 타겟 회로 디자인의 요건들에 따라 반도체 기판 상에 생성된다는 것을 보장하기 위하여, 정교한 수치 모델들을 이용하여 근접 효과들이 예측되어야 하고, 고성능(high-end) 디바이스들의 성공적인 제조가 가능해지기 전에 보정들 또는 전치-왜곡(pre-distortion)들이 적용되어야 한다. 논문 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design"(C. Spence, Proc. SPIE, Vol.5751, pp 1 내지 14, 2005 년)은 통상적인 '모델-기반' 광 근접성 보정 공정들의 개요를 제공한다. 전형적인 고성능 디자인에서는, 타겟 디자인에 충분히 가까운 패턴들을 프린트하기 위해 거의 모든 피처 에지가 약간의 수정을 필요로 한다. 이 수정들은 에지 위치 또는 라인 폭의 시프팅 또는 바이어싱(biasing)뿐만 아니라, 자신은 프린트되지 않고 연계된 주요 피처의 특성들에 영향을 미치도록 의도되는 '어시스트' 피처들의 적용을 포함할 수 있다.
타겟 디자인에 대한 모델-기반 OPC의 적용은, 칩 디자인에 전형적으로 존재하는 수많은 피처들을 고려하는 계산 수단들 및 우수한 공정 모델들을 필요로 한다. 하지만, OPC를 적용하는 것은 일반적으로 '정밀 과학'이 아니라, 레이아웃 상의 모든 가능한 결점을 항상 해결하지는 않는 실험적인 반복 공정이다. 그러므로, 마스크 세트의 제조로 만들어지는 디자인 결함들의 가능성을 최소화하기 위해, OPC 후 디자인들, 즉 OPC 및 여하한의 다른 RET들에 의해 모든 패턴 수정들을 적용한 이후의 마스크 레이아웃들이 디자인 검사, 즉 캘리브레이션된 수치 공정 모델들을 이용한 풀-칩(full-chip) 시뮬레이션에 의해 입증되어야 한다. 이는 고성능 마스크 세트들을 구성하는 막대한 비용- 이는 수백만 달러 범위에서 운영됨 -에 의해서뿐만 아니라, 일단 제조되면 실제 마스크들을 재가공하거나 수리함으로써 소요 시간에 대한 영향에 의해 좌우된다.
OPC 및 풀-칩 RET 검증은 모두, 예를 들어 USP App. Ser. No. 10/815,573 및 "Optimized Hardware and Software For Fast, Full Chip Simulation"(Y. Cao 등, Proc. SPIE, Vol.5754, 405, 2005 년)이라는 제목의 논문에서 설명되는 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
리소그래피 패터닝 공정의 풀-칩 수치 시뮬레이션은 단일 공정 조건, 전형적으로 최적 포커스 및 최적 노광 도즈 또는 최적 '공칭' 조건에서 증명되지만, 디자인의 제조가능성(manufacturability)은 실제 제조시 불가피한 공정 조건들에서의 작은 변동들에 대향하여 패턴 충실도(fidelity)의 충분한 공차를 필요로 한다는 것이 잘 알려져 있다. 이 공차는 통상적으로 CD 또는 에지 배치 변동들이 사전정의된 범위(즉, 오차 공차), 예를 들어 공칭 라인 폭의 ±10 % 내에 있는 노광-디포커스 공간 내의 폭 및 높이(또는 '위도')로서 정의된 공정 윈도우로 표현된다. 실제로, 실제 범위 요건은 그 기능 및 임계성에 따라 상이한 피처 타입들에 대해 다를 수 있다. 또한, 공정 윈도우 개념은 노광 도즈 및 디포커스 이외의 다른 기초 파라미터들로 확장될 수 있다.
주어진 디자인의 제조가능성은, 일반적으로 단일 층에 있는 모든 피처들의 공통 공정 윈도우에 의존한다. 현재 기술 수준의 OPC 적용 및 디자인 검사 방법들은 공칭 조건들에서 디자인을 최적화하고 검증할 수 있지만, 최근 끊임없이 감소하는 공차 및 CD 요건들로 인해 장래의 공정 노드들에서 제조가능성을 보장하기 위해서는 공정 윈도우 의식(process window aware) OPC 모델들이 요구될 것이라는 것이 관찰되었다.
현재, 충분한 정확성 및 적용 범위를 갖는 주어진 디자인의 공정 윈도우를 준비(map out)하기 위해서는, N 개의 파라미터 세팅들(예를 들어, 디포커스 및 노광 도즈)에서의 시뮬레이션들이 요구되며, 이때 N은 약 12 이상일 수 있다. 결과적으로, 다양한 세팅들에서의 이 반복된 시뮬레이션들이 OPC 적용 및 검증 흐름의 구성으로 바로 통합되는 경우 N-배 곱(fold multiplication)의 계산 시간이 필요하며, 이는 전형적으로 풀-칩 리소그래피 시뮬레이션들의 다수 반복을 수반할 것이다. 하지만, 이러한 계산 시간의 증가는 주어진 타겟 회로를 유효하게 하고, 및/또는 디자인하려는 경우에 너무 크다(prohibitive).
이러한 것으로서, OPC 및 RET 검증을 위해 사용될 수 있고, 일반적으로 알려진 종래 시스템들에 의해 수행되는 바와 같은 다양한 조건들에서의 반복된 시뮬레이션의 이러한 '억지(brute-force)' 접근법보다 계산이 더 효율적인 공정-윈도우의 변동들을 설명하는 시뮬레이션 방법들 및 시스템들이 필요하다.
또한, 유일하고 특정한 파라미터 세팅들에서만이 아닌, 공정 윈도우를 가로질러 유효하고, 견고(robust)하며, 정확한 모델들을 제공하는 리소그래피 모델들에 대한 캘리브레이션 절차들이 요구된다.
따라서, 본 발명은 시뮬레이션 공정에서 사용되는 공정 윈도우의 변동들을 고려하는 효율적인 계산 기법을 허용하고, 종래 기술들의 앞서 말한 결함들을 극복하는 방법에 관한 것이다.
특히, 본 발명은 복수의 피처들을 갖는 타겟 디자인을 이미징하는데 이용되는 리소그래피 공정의 이미징 성능을 시뮬레이션하는 방법에 관한 것이다. 상기 방법은 시뮬레이션된 이미지를 생성하는 함수를 결정하는 단계- 상기 함수는 리소그래피 공정과 연계된 공정 변동들을 설명함 -; 및 함수를 이용하여 시뮬레이션된 이미지를 생성하는 단계- 상기 시뮬레이션된 이미지는 리소그래피 공정에 대한 타겟 디자인의 이미징 결과를 나타냄 -를 포함한다. 주어진 일 실시예에서, 상기 함수는 다음과 같이 정의된다:
Figure 112008083747731-pat00002
이때, I0는 공칭 포커스에서의 이미지 세기를 나타내고, f0는 공칭 포커스를 나타내며, f는 시뮬레이션된 이미지가 계산되는 실제 포커스 레벨을 나타내고, 파라미터들 "a" 및 "b"는 1 차 및 2 차 미분 이미지(derivative image)들을 나타낸다.
본 발명은 종래 방법들보다 상당히 많은 장점들을 제공한다. 가장 중요하게 는, 본 발명은 계산에 관한 효율적인 시뮬레이션 공정에 공정 윈도우의 변동들(예를 들어, 포커스 변동들 및 노광 도즈 변동들)에 대한 고려들을 제공하고, 일반적으로 알려진 종래 방법들에 의해 시행되는 다양한 조건들에서의 반복된 시뮬레이션의 '억지' 접근법을 수행할 필요성을 제거한다. 실로, 아래에서 더 유의되는 바와 같이, 시뮬레이션을 위해 N 개의 공정 윈도우 조건들을 고려하는 경우, 본 방법의 계산 시간은 약 2T인 반면, 종래 방법은 약 NT를 필요로 할 것이며, 이때 T는 하나의 공정 윈도우 조건을 시뮬레이션하는데 필요한 계산 시간을 나타낸다.
또한, 본 발명의 방법은 모델 캘리브레이션; 리소그래피 디자인 검사; 공통 공정 윈도우들의 평가에 기초한 산출량 추정; 핫 스폿(hot spot)들(또는 문제의 스폿들)의 확인 및 공정 윈도우 의식 OPC를 이용한 이러한 핫 스폿들의 보정; 및 (예를 들어, 리소그래피 공정에서 주어진 리소그래피 층에 대한 공통 공정 윈도우의 중심을 결정(center)하기 위한) 모델-기반 공정 제어 보정들과 같은 다른 적용들에도 용이하게 적용되며, 이에 제한되지는 않는다.
본 명세서에서는, IC의 제조에 있어서 본 발명의 특정 사용예에 대하여 언급되지만, 본 발명은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이, 박막 자기 헤드 등의 제조시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "마스크", "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어로 대체되는 것으로 간주되어야 한다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는데 사용된다.
본 명세서에서 채택된 마스크라는 용어는 기판의 타겟부에 생성될 패턴에 대응하는 패터닝된 단면을 입사하는 방사선 빔에 부여하는데 사용될 수 있는 일반적인 패터닝 수단을 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 본 명세서에서 사용될 수도 있다. 전형적인 마스크[투과 또는 반사; 바이너리(binary), 위상-시프트, 하이브리드(hybrid) 등] 이외에, 이러한 다른 패터닝 수단의 예시로 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시로는 점탄성(viscoelastic) 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이 있다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들에서는 입사광을 회절광(diffracted light)으로서 반사시키는 반면, 어드레스되지 않은 영역들에서는 입사광을 비회절광으로서 반사시키는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 광만을 필터링하여 회절광만을 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다. 이러한 거울 어레이들에 관한 더 많은 정보는, 예를 들어 미국 특허 US 5,296,891 및 US 5,523,193으로부터 얻을 수 있으며, 이는 본 명세서에서 인용참조된다.
- 프로그램가능한 LCD 어레이. 이러한 구성의 일 예시는 미국 특허 US 5,229,872에 개시되어 있으며, 이는 본 명세서에서 인용참조된다.
첨부된 개략적인 도면들 및 다음의 상세한 설명을 참조하여, 또 다른 목적들 및 장점들과 함께 본 발명 자체를 더 잘 이해할 수 있다.
본 발명을 설명하기에 앞서, 전반적인 시뮬레이션 및 이미징 공정에 관한 간략한 설명이 제공된다. 도 1은 예시적인 리소그래피 투영 시스템(10)을 도시한다. 주요 구성요소들은 DUV 엑시머 레이저 소스일 수 있는 광 소스(12); (시그마로서 지시된) 부분 간섭(partial coherence)을 정의하고 특정 소스 형상의 광학기를 포함할 수 있는 조명 광학기(14, 16a 및 16b); 마스크 또는 레티클(18); 및 웨이퍼 평면(22) 상에 레티클 패턴의 이미지를 생성하는 투영 광학기(16c)이다. 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(aperture: 20)가 웨이퍼 평면(22) 상에 입사하는 빔 각도의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수를 정의한다[NA = sin(Θmax)].
리소그래피 시뮬레이션 시스템에서, 이 주요 시스템 구성요소들은 예를 들어 도 2에 예시된 바와 같은 별도의 기능적 모듈들에 의해 설명될 수 있다. 도 2를 참조하면, 기능적 모듈들은 타겟 디자인을 정의하는 디자인 레이아웃 모듈(26); 이미징 공정에서 이용될 마스크를 정의하는 마스크 레이아웃 모듈(28); 시뮬레이션 공정시 이용될 마스크 레이아웃의 모델을 정의하는 마스크 모델 모듈(30); 리소그래피 시스템의 광학 구성요소들의 성능을 정의하는 광학 모델 모듈(32); 및 주어진 공정에서 이용되는 레지스트의 성능을 정의하는 레지스트 모델 모듈(34)을 포함한다. 알려진 바와 같이, 시뮬레이션 공정의 결과는 예를 들어 결과 모듈(36)에서의 예측된 윤곽 및 CD를 생성한다.
특히, 조명 및 투영 광학기의 특성들은 NA-시그마(σ) 세팅들뿐만 아니라 여하한의 특정 조명 소스 형상을 포함하지만 이에 제한되지는 않는 광학 모델(32)에서 캡처(capture)된다는 것을 유의한다. 또한, 기판 상에 코팅된 포토레지스트 층의 광학 특성들- 즉, 굴절률, 필름 두께, 전파 및 편광 효과들 -도 광학 모델(32)의 일부로서 캡처될 수 있다. 마스크 모델(30)은 레티클의 디자인 피처들을 캡처하며, 예를 들어 USP App. No. 60/719,837에서 설명된 마스크의 상세한 물리적 특성들의 표현을 포함할 수도 있다. 최종적으로, 레지스트 모델(34)은 예를 들어 기판 웨이퍼 상에 형성되는 레지스트 피처들의 윤곽들을 예측하기 위해, 레지스트 노광, PEB 및 현상시 일어나는 화학적 공정들의 효과들을 설명한다. 시뮬레이션의 목적은, 예를 들어 이후 타겟 디자인에 비교될 수 있는 에지 배치들 및 CD들을 정확히 예측하는 것이다. 타겟 디자인은 일반적으로 OPC 전 마스크 레이아웃으로서 정의되며, GDSⅡ 또는 OASIS와 같은 표준화된 디지털 파일 포맷으로 제공될 것이다.
일반적으로, 광학 모델과 레지스트 모델 간의 연결은 레지스트 층 내의 시뮬레이트된 에어리얼 이미지(aerial image)이며, 이는 기판 상으로의 광의 투영, 레 지스트 경계면(interface)에서의 굴절, 및 레지스트 필름 스택에서의 다수 반사들로부터 발생한다. 광 세기 분포(에어리얼 이미지)는 광자들의 흡수에 의해 잠재적인 '레지스트 이미지'로 바뀌며, 이는 확산 공정들 및 다양한 로딩 효과(loading effect)들에 의해 더 수정된다. 풀-칩 적용들에 충분히 빠른 효율적인 시뮬레이션 방법들은 2-차원 에어리얼(및 레지스트) 이미지에 의한 레지스트 스택 내의 실제적인 3-차원 세기 분포와 비슷하다. 리소그래피 모델의 효율적인 구현은 다음 형식을 이용하여 가능하며, 이때 이미지(본 명세서에서는 스칼라 형태이며, 이는 편광 벡터 효과들을 포함하도록 확장될 수 있음)는 퓨필 평면 내의 신호 진폭들에 걸친 푸리에 합(Fourier sum)으로서 표현된다. 표준 홉킨스 이론(Hopkins theory)에 따르면, 에어리얼 이미지는 다음과 같이 정의될 수 있다:
Figure 112008083747731-pat00003
이때, I(x)는 이미지 평면 내의 x 지점에서의 에어리얼 이미지 세기이며(표기의 간명함을 위해, 단일 변수에 의해 표현된 2-차원 좌표가 이용됨), k는 소스 평면 상의 지점을 나타내고, A(k)는 k 지점으로부터의 소스 진폭이며, k' 및 k"는 퓨필 평면 상의 지점들이고, M은 마스크 이미지의 푸리에 변환이며, P는 퓨필 함수이고, TCCk' ,k" = ∑k A(k)2 P(k + k')P*(k + k")이다. 앞선 유도의 중요한 측면은 가 산 순서(summation order)(k에 걸친 합을 안으로 이동시킴) 및 색인들(k'을 k+k'로 교체하고, k"을 k+k"로 교체함)의 변화이며, 이는 수학식의 3 번째줄에서 각괄호들 내부의 항에 의해 정의된 TCC(Transmission Cross Coefficient)들을 분리시킨다. 이 계수들은 마스크 패턴에 독립적이므로, 광학 요소들 또는 구성의 정보(예를 들어, NA 및 σ 또는 상세한 일루미네이터 프로파일)만을 이용하여 미리 계산될 수 있다. 또한, 주어진 예시(수학식 1)는 스칼라 이미징 모델로부터 도출되지만, 이 형식은 벡터 이미지 모델로 확장될 수도 있으며, 이때 TE 및 TM 편광 성분들은 별도로 합산된다는 것을 유의한다.
또한, TCC 매트릭스를 대각선화(diagonalize)하고 그 최대 고유값(eigenvalue)들에 대응하는 항들을 보유함으로써 결정될 수 있는 제한된 수의 주요한(dominant) TCC 항들만을 이용함으로써 근접한 에어리얼 이미지가 계산될 수 있으며, 즉 다음과 같다.
Figure 112008083747731-pat00004
이때, λi(i=1, …, N)은 N 개의 최대 고유값들을 나타내며, φi(·)는 TCC 매트릭스의 대응하는 고유벡터(eigenvector)를 나타낸다. 수학식 2는 모든 항들이 고유급수 전개(eigenseries expansion) 내에 보유되는 경우, 즉 N이 TCC 매트릭스의 계수(rank)와 같은 경우에 정확하다는 것을 유의한다. 하지만, 실제 적용들에서는 계산 프로세스의 속력을 증가시키도록 보다 작은 N을 선택함으로써 급수를 줄 이는 것이 통상적이다.
따라서, 수학식 1은 다음과 같이 다시 기록될 수 있다:
Figure 112008083747731-pat00005
이때,
Figure 112008083747731-pat00006
및 |·|는 복소수의 매그니튜드(magnitude)를 나타낸다.
충분히 많은 수의 TCC 항들 및 적절한 모델 캘리브레이션 방법론을 이용하는 것은 광학 투영 프로세스를 정확히 설명하게 하고, 리소그래피 시뮬레이션 모델의 광학기 및 레지스트 모델들 또는 부분들로의 '가분성(separability)'을 위해 제공된다. 이상적인 가분 모델에서, NA, 시그마, 디포커스, 수차 등과 같은 모든 광학 효과들은 광학 모델 모듈에서 정확히 캡처되는 한편, 레지스트 효과들만이 레지스트 모델에 의해 시뮬레이션된다. 하지만, 실제로 (일반적으로 너무 느리고, 풀-칩 시뮬레이션들에 대해 시행될 조정가능한 파라미터들을 너무 많이 필요로 하는 제 1 원리 모델들에 대조적으로) 모든 '효율적인' 리소그래피 시뮬레이션 모델들은 어느 정도 경험적이며, 제한된 세트의 파라미터들을 이용할 것이다. 몇몇 경우, 광학 특성 및 레지스트 특성의 소정의 조합된 네트 효과(net effect)를 설명하는 '럼프 드(lumped)' 파라미터들이 존재할 수 있다. 예를 들어, 레지스트의 PEB 동안의 확산 공정들은 레지스트 내에 형성되는 이미지를 번지게 하는(blur) 가우시안 필터(Gaussian filter)에 의해 모델링될 수 있는 한편, 유사한 필터가 미광(stray light)의 효과, 스테이지 진동, 또는 투영 시스템의 고차 수차들의 조합된 효과를 설명할 수도 있다. 럼프드 파라미터들은 적합한 캘리브레이션 포인트들에 가까운 공정 동작을 재현할 수 있지만, 가분 모델들에 비해 열등한 예측력을 가질 것이다. 전형적으로, 가분성은 충분히 상세한 모델 형태- 앞선 예시에서, 예를 들어 광학적 번짐 및 레지스트 확산에 대한 2 개의 독립적인 필터들을 이용함 -뿐만 아니라, 레지스트 효과들과 광학 효과들의 격리를 보장하는 적절한 캘리브레이션 방법론을 필요로 한다.
가분 모델은 일반적으로 대부분의 적용들에 대해 바람직할 수 있지만, 아래에서 설명되는 본 발명의 방법과 연계된 스루-공정 윈도우(through-process window) "PW" 에어리얼 이미지 변동들의 설명은 엄밀한 모델 가분성을 필요로 하지 않는다는 것을 유의한다. 또한, 스루-PW 변동들을 정확히 캡처하기 위해 일반적인 레지스트 모델을 적합하게 하는 방법들이 본 발명의 방법과 관련하여 아래에서 상세히 설명된다.
본 발명은 공정 윈도우를 통한 파라미터 변동들, 즉 노광 도즈 및 디포커스 또는 추가 공정 파라미터들의 변동을 포함(cover)하는 리소그래피 패터닝 성능의 효율적인 시뮬레이션을 제공한다. 이미지-기반 접근법을 이용하여 요약하기 위해, 상기 방법은 포커스 및 노광 도즈 변동들의 함수 또는 일반화된 PW의 추가 좌표로 서 에어리얼 이미지 또는 레지스트 이미지들에 대한 다항식 급수 전개를 제공한다. 이 표현들은 TCC 및 미분(derivative) TCC 매트릭스에 관한 이미지들 및 미분 이미지들을 수반한다. 이 표현들의 선형 조합들은 어떠한 임의의 PW 지점에서 생성된 이미지의 매우 효율적인 평가를 허용한다. 또한, PW를 통한 에지 배치 시프트들 또는 CD 변동들이 제한된 세트의 시뮬레이션된 이미지들의 간단한 선형 조합들로서 분석적 형태로 표현된다. 이미지들의 이 세트는 N 개의 별도의 PW 조건들에서의 이미지들을 계산함으로써 N 배가 되기보다는, NC(공칭 조건)에서의 단일 이미지를 계산하는 계산 시간의 약 2 배의 계산 시간 내에 생성될 수 있다. 일단 이미지들의 이 세트가 알려지면, 디자인 상의 모든 단일 에지 또는 CD의 완전한 스루-PW 동작이 즉시 결정될 수 있다.
또한, 본 발명의 방법들은 모델 캘리브레이션, 리소그래피 디자인 검사, 공통 PW를 평가하는 것에 기초한 산출량 추정, 핫 스폿들의 확인, PW-의식 OPC에 의한 핫 스폿들의 수정 및 정정, 및 예를 들어 리소 층의 공통 PW의 중심을 결정하는 모델-기반 공정 제어 보정과 관련하여 이용될 수도 있다는 것을 유의한다.
상기 방법의 기본 접근법은 일반적인 레지스트 라인의 레지스트 라인 폭(또는 에지 배치)의 스루-포커스 변화들을 고려함으로써 이해될 수 있다. 레지스트 라인의 CD는 전형적으로 최적 포커스에서 최대 또는 최소값을 갖지만, CD는 어느 방향으로든 디포커스에 따라 매끄럽게 변한다는 것이 잘 알려져 있다. 그러므로, 특정 피처의 스루-포커스 CD 변동들은 CD 대 디포커스의 다항식 적합도(fit)에, 예를 들어 충분히 작은 디포커스 범위에 대한 2-차 적합도에 가까워질 수 있다. 하 지만, CD 변화의 방향 및 매그니튜드는 레지스트 임계치[클리어에 대한 도즈(dose to clear)], 특정한 노광 도즈, 피처 형태, 및 근접성 효과들에 매우 의존할 것이다. 따라서, 노광 도즈 및 스루-포커스 CD 변화들은 PW 공간 도처에 CD 또는 에지 배치 변화들의 직접적인 일반적 파라미터화를 방지하는 비선형 방식으로 강하게 커플링된다.
하지만, 에어리얼 이미지는 포커스를 통해 연속적인 변동을 나타낼 것으로도 예상된다. 투영 시스템의 점확산 함수(point spread function)에 의해 특성화되는 이미지 평면 내의 한정된 크기의 스폿에 모든 마스크 지점이 이미징될 수 있다. 이 스폿은 최적 포커스에서의 최소 크기를 가정하지만, 양 및 음의 디포커스와 함께 더 넓은 분포로 계속하여 번질 것이다. 그러므로, 노광 필드 내의 각각의 개별적인 이미지 지점에 대한 2-차 다항식으로서 포커스를 통한 이미지 세기들의 변동을 근사화하는 것이 가능하다:
Figure 112008083747731-pat00007
이때, f0는 공칭 또는 최적 포커스 위치를 나타내고, f는 이미지 I가 계산되는 실제 포커스 레벨을 나타낸다. 2-차 근사화는 충분히 작은 디포커스 범위에도 잘 적용되는 것으로 예상되지만, 필요에 따라 고차 항들(예를 들어, 3 차 및/또는 4 차 항들)을 포함함으로써 근사화의 정확성이 쉽게 개선될 수 있다. 사실상, 수학식 4는 공칭 최적 포커스 평면 주위의 에어리얼 이미지의 테일러(Taylor) 급수 전개의 초기 항들로서 확인될 수도 있다:
Figure 112008083747731-pat00008
이는 원칙적으로 추가 고차 항들을 포함하는 확장에 의해 에어리얼 이미지의 실제 스루-포커스 동작의 임의의 충분한 표현으로 확장될 수 있다. 다항식 기본 함수들의 선택이 포커스를 통한 에어리얼 이미지의 급수 전개를 표현할 단 하나의 가능성이며, 본 발명의 방법들은 이 실시예에 결코 제한되지 않음- 예를 들어, 기본 함수들은 베셀 함수(Bessel Function), 르장드르 함수(Legendre Function), 체비쇼프 함수(Chebyshev Function), 삼각 함수(Trigonometric function) 등과 같은 특수 함수일 수 있음 -을 유의한다. 또한, 공정 윈도우라는 용어는 가장 일반적으로 디포커스 및 노광 도즈에 걸쳐 변동들을 스팬(span)하는 것으로 이해되지만, 공정 윈도우 개념은 NA 및 시그마 등과 같은 추가 또는 대안적인 파라미터 변동들을 포함하도록 일반화되고 확장될 수 있다.
수학식 4 및 수학식 5의 비교는 1-차 및 2-차 미분 이미지들로서 파라미터들 "a" 및 "b"의 물리적 의미를 드러낸다. 이들은 원칙적으로 모든 이미지 지점에 대한 유한차분법(finite difference method)에 의해 미분들로서 직접 결정될 수 있으며, 이미지 변동들을 보간(interpolate)하도록 수학식 4 및 수학식 5로 도입될 수 있다. 대안적으로, 더 넓은 범위에 걸친 실제 스루 포커스 변동과 보간 간의 전반적인 호응(agreement)을 개선하기 위해, 파라미터들(a 및 b)은 에어리얼 이미지들 이 {I1, I2, …, IL}로서 명확하게 계산되는 다수의 포커스 위치들{f1, f2, …, fL}에 걸친 수학식 4의 최소제곱 적합도(least square fit)로부터 얻어질 수 있다. 그 후, 파라미터들 "a" 및 "b"가 최소제곱의 의미로 방정식들의 다음 체계에 대한 해들로서 알려진다[본 명세서에서는 L > 3인 것으로 가정하며, 이 경우 방정식들의 체계가 중복결정(over-determined)됨].
일반성의 손실 없이, 표기의 간결함을 위해 f0 = 0인 것으로 가정된다. 그 경우 고정된 이미지 지점에 대해,
Figure 112008083747731-pat00009
이때, I0는 공칭 조건들(NC)에서의 에어리얼 이미지이며, 즉 f = f0이다. 앞선 세트의 방정식들에 대한 해는 L 개의 다른 포커스 조건들로 언급되는 색인 l을 이용하여 다음의 차분제곱합(sum of squared differences)을 최소화시킨다:
Figure 112008083747731-pat00010
이때, Wl은 디포커스 fl(l = 1, 2, …, L)에 대한 사용자-할당 가중치(user-assigned weight)이다. {Wl, W2, …, WL}를 통하여, 상이한 포커스들에 상이한 가중 치들을 할당하는 것이 가능하다. 예를 들어, 2 차 다항식 근사화가 NC에 더 가까운 PW 지점들에 더 훌륭하게 매칭하게 하기 위하여, NC 가까이에 더 큰 가중치를 할당하고 NC로부터 멀리는 더 작은 가중치를 할당할 수 있으며; 또는 모든 포커스 지점들이 동일한 중요성을 갖도록 요구되는 경우, 간단하게 동일한 가중치들, 즉 Wl = W2 = … = WL = 1을 할당할 수 있다. 공칭 조건에 대한 포커스 및 도즈의 큰 미분들에 대해서, 많은 패턴들의 프린팅이 불안정하게 되고, CD들의 측정들이 신뢰할 수 없게 되며, 이러한 경우에는 이러한 공정 윈도우 조건들에 작은 가중치들을 할당하는 것이 바람직할 수 있다.
수학식 7을 풀기 위해, 최적 적합도가 조건들을 충족할 것임을 유의한다:
Figure 112008083747731-pat00011
수학식 8은 분석적으로 풀려, 아래에 나타낸 {Il}의 선형 조합 또는 가중합으로서 "a" 및 "b"에 대한 즉각적인 표현들을 유도할 수 있다. 이 선형 조합의 계수들은 픽셀 좌표 또는 패턴에 의존하지 않으며, 단지 {fl} 및 {Wl}의 값들에만 의존한다. 이와 같은 것으로서, 이 계수들은 f의 공간 내의 보간을 위해 선형 필터를 형성하는 것으로 이해될 수 있으며, 기본 함수들로서의 다항식들의 특정 선택은 마스크 패턴에 독립적인 계수들의 특정한 값들을 발생시킨다. 특히, {fl} 및 {Wl}의 값들이 결정되면, 특정한 광학 노광 세팅들을 알거나 에어리얼 이미지 시뮬레이 션들을 실제로 수행하지 않고도 이 계수들의 계산이 수행된다.
수학식 8을 푸는 것에 관하여, 수학식 7이 다음과 같이 다시 기록될 수 있다:
Figure 112008083747731-pat00012
이때, l = 1, 2, …, L에 대해 ΔIl = Il - I0이다.
결과로서, 수학식 8은 다음과 같이 전개될 수 있다:
Figure 112008083747731-pat00013
Figure 112008083747731-pat00014
따라서:
Figure 112008083747731-pat00015
이때
Figure 112008083747731-pat00016
다음을 유의한다:
Figure 112008083747731-pat00017
아래에서 분명해짐과 같이, 이 특성은 레지스트 모델 부분에서 유용할 것이다. 앞선 세트의 방정식들은 고차 다항식 적합을 수용하도록 쉽게 일반화될 수 있다.
미분 이미지들 "a" 및 "b"를 도입하는 것의 장점은, 수학식 4를 이용하여 PW 분석에 필요한 각각의 특정 디포커스 세팅에서의 전체 이미지 시뮬레이션(즉, TCC를 이용한 마스크 패턴의 컨볼루션)을 수행하기보다는 디포커스 오프셋 및 간단한 덧셈에 의한 a 및 b 이미지들의 직접 스케일링(straightforward scaling)에 의해 공정 윈도우의 어떠한 지점에서도 에어리얼 이미지가 예측될 수 있다는 것이다. 또한, 노광 도즈의 변화들이 인자(l+ε)에 의한 이미지 세기의 간단한 업스케일링 또는 다운스케일링에 의해 표현될 수 있다:
Figure 112008083747731-pat00018
이때, I(x,f)는 공칭 노광 도즈에서의 에어리얼 이미지인 한편, ε는 도즈의 상대 변화이다.
수학식 4와 이를 조합하면 일반적인 결과가 산출된다:
Figure 112008083747731-pat00019
이때, ΔI는 전형적으로 PW 파라미터 변동들의 적당한 범위 내에서 작은 섭동(perturbation)일 것이다.
앞서 언급된 방법은, 윤곽, CD 또는 에지 배치 오차(EPE)가 상이한 디포커스 조건들에서의 에어리얼 이미지로부터 추출되는 도 3의 흐름도에 의해 예시된다. 도 3을 참조하면, 공정의 제 1 단계(단계 40)는 시뮬레이션될 타겟 패턴 또는 마스크 패턴 및 이용될 공정 조건들을 확인하는 것이다. 제 2 단계(단계 42)는 앞선 수학식 3에 따라 공칭 이미지 I0 및 M 개의 디포커스 이미지들{Il}을 생성하는 것이다. 그 후, 수학식 9를 이용하여 미분 이미지들 "a" 및 "b"이 생성된다(단계 43). 다음 단계(단계 44)는 수학식 4를 이용하여 디포커스 이미지, 즉 I0, (f에 의해 스케일링된) a 및 (f2에 의해 스케일링된) b의 합성(synthesis)을 생성하는 단계를 수반한다. 그 다음, 윤곽들이 추출되며, 시뮬레이션된 이미지로부터 CD 또는 피처 EPE가 결정된다(단계 46). 그 후, 공정은 충분한 적용 범위가 존재하는지의 여부(예를 들어, 공정 윈도우의 경계를 결정할 수 있는지)를 결정하기 위해 단계 48로 진행하며, 대답이 아니오인 경우에 공정은 단계 44로 되돌아가고 앞선 공정을 반복한다. 충분한 적용 범위가 존재하는 경우, 공정이 완료된다.
공정 윈도우의 충분한 적용 범위가 N 개의 공정 윈도우 지점들에서의 평가를 필요로 하고, 미분 이미지들(a 및 b)을 적합하게 하는데 L < N인 이미지들이 사용되는 경우, 계산 시간의 감소는 L/N에 가까울 것이며, 이는 사전설정된 이미지들(I0, a 및 b)을 스케일링하는 것이 각각의 새로운 파라미터 세팅에서의 투영 이미지의 독립적인 재-계산보다 상당히 적은 계산 시간을 필요로 하기 때문임을 유의한다. 에어리얼 이미지 시뮬레이션의 특정한 세부사항들에 독립적인 앞선 방법은 일반적으로 적용가능하다. 또한, 에어리얼 이미지뿐만 아니라 시뮬레이션된 레지스트 윤곽들이 추출되는 레지스트 이미지에도 적용가능할 수 있다.
또한, 앞선 방법은 변화하는 디포커스에서의 에어리얼 이미지들{I1, I2, …, IL}의 세트를 시뮬레이션하는데 사용되는 여하한의 특정한 모델 또는 구현에 의존하지 않는다. 하지만, 앞선 방법은 고려중인 각각의 마스크 레이아웃에 대해 시뮬레 이션될 다수(L>2)의 개별적인 이미지들을 필요로 한다. 본 발명의 방법의 제 2 실시예에서는, 수학식 1에 도입된 TCC 형식에 의해 훨씬 더 효율적인 해결책이 가능해진다.
수학식 1로부터, 포커스 fl(l = 0, 1, …, L)에서의 각각의 에어리얼 이미지가 다음과 같이 정의될 수 있다:
Figure 112008083747731-pat00020
이때 TCCl는 포커스 fl에서의 TCC이며, TCCl , k' ,k"는 TCCl의 매트릭스 요소이며, M(·)은 포커스에 독립적인 마스크 이미지를 나타낸다.
수학식 9와 이를 조합하고, 가산의 순서를 바꾸면, 다음과 같다.
Figure 112008083747731-pat00021
따라서, TCCl(l = 0, 1, …, L)의 선형 조합들로서 2 개의 새로운 TCC가 다음과 같이 정의된다:
Figure 112008083747731-pat00022
그 경우, "a" 및 "b"는 A 및 B로부터 직접 계산될 수 있는 "에어리얼 이미지들"이며, 즉 다음과 같다:
Figure 112008083747731-pat00023
이때,
Figure 112008083747731-pat00024
Figure 112008083747731-pat00025
은 각각 A 및 B의 매트릭스 요소들이다. 또한, 이는 상이한 평면들의 에어리얼 이미지들의 선형 조합이 그 평면들에 대응하는 TCC들의 단일 선형 조합을 이용하여 계산될 수 있다는 것을 내포한다.
L 개의 스루-포커스 이미지들 대신에 TCC0, A 및 B를 이용하는 것의 중요한 장점은, 알려진 조명 및 투영 파라미터들에 대해 실제 마스크 패턴에 독립적으로 TCC0, A 및 B가 미리 계산될 수 있어, (각각의 마스크 패턴에 대한 L 개의 스루-포커스 시뮬레이션들로부터 떨어진) 계산 시간의 추가 감소의 가능성을 야기한다는 것이며, 이는 아래에서 더 설명될 것이다. A 및 B의 생성은 상이한 디포커스 조건들에서의 에어리얼 이미지들의 세트의 계산을 필요로 하지 않고, 에어리얼 이미지들의 이 세트로부터의 캘리브레이션도 필요로 하지 않는다는 것을 유의한다. 일단 TCC0, A 및 B가 계산되었으면, 이 항들은 일반적으로 수학식 15 및 수학식 4를 이용하여 여하한의 특정한 마스크 디자인에 대한 스루-포커스 이미징 성능을 예측하는데 적용될 수 있다. 스루-포커스 변동 이외에, 공칭 조건에 대한 노광 도즈의 변동이 앞서 수학식 11 및 수학식 12에 의해 설명된 것과 동일한 선형 스케일링에 의해 TCC 항들에 적용될 수도 있다.
TCC들, A 및 B로부터 미분 이미지들(a 및 b)을 계산하는 것은, 수학식 2에 관한 설명에서와 같이 A 및 B의 주요한 항들만을 이용함으로써 계산 시간의 추가 감소를 허용한다. 특히, TCC0, A 및 B의 대각선화가 다음과 같다고 가정한다:
Figure 112008083747731-pat00026
이때, λ0,i(i = 1, …, N0)는 N0개의 최대 고유값들을 나타내고, φ0,i(·)는 TCC 매트릭스(TCC0)의 대응하는 고유벡터를 나타내며; λA,i(i = 1, …, NA)는 NA개의 최대 고유값들을 나타내고, φA,i(·)는 TCC 매트릭스(A)의 대응하는 고유벡터를 나타내며; λB,i(i = 1, …, NB)는 NB개의 최대 고유값들을 나타내고, φB,i(·)는 TCC 매트릭스(B)의 대응하는 고유벡터를 나타낸다.
그 후, 수학식 3으로부터 마스크 이미지 M(·)에 대해,
Figure 112008083747731-pat00027
이때, I0는 공칭 에어리얼 이미지이며,
Figure 112008083747731-pat00028
Figure 112008083747731-pat00029
Figure 112008083747731-pat00030
다수의 TCC 항들을 이용하는 것은, 일반적으로 광학 모델의 정확성 및 광학 및 레지스트 모델 구성요소들의 가분성을 개선시킨다. 하지만, 이미지 또는 TCC 미분들은 PW 내에서의 비교적 사소한 이미지 변동들, 전형적으로 약 CD 변동의 10 %에 관련되기 때문에, 공칭 조건(TCC0)에 대해서보다 A 및 B 항들에 대하여 더 작은 수의 항들이 충분할 수 있다. 예를 들어, TCC0에 대해 64 항들이 고려되는 경우(즉, N0 = 64), A 및 B 항들 각각에 대해서는 충분한 CD 예측 정확성을 달성하기 위해 단지 32 항들만이 필요할 수 있다(즉, NA = NB = 32). 이 경우, 대체로 공칭 조건(I0)과 비교하여 미분 이미지들(a 및 b)을 생성하는데 동일한 양의 계산 시간이 필요할 것이다. 원래 TCC 매트릭스와 달리, A 또는 B와 같은 코이피션트(coefficient) TCC 매트릭스는 일반적으로 음이 아닌 정부호(non-nagative-definite)가 아니며, 이는 미분 TCC 매트릭스에 대해 양 및 음의 고유값들 모두가 존재한다는 것을 내포함을 유의한다. 그러므로, 고유-급수 전개 및 절 단(truncation)으로부터의 앞선 항들이 양 및 음인 최대 절대값들을 갖는 모든 고유값들을 포함하여야 한다.
수학식 5와 유사하게, 대안적으로 수학식 14가 급수 전개로부터 도출될 수 있다. 특히, 공칭 또는 최적 포커스(f0)에 대한 TCC 매트릭스 요소들의 변동이 급수 전개로서 표현될 수도 있다:
Figure 112008083747731-pat00031
따라서, 급수 전개의 계수들은 수의 유한 차분법에 의해 직접, 또는 앞서 설명된 에어리얼 이미지들의 스루-포커스 적합과 유사한 방식으로 포커스 위치들의 세트에 대응하는 개별적으로 계산된 다수의 TCC 항들에 대한 최소제곱 적합으로부터 다시 평가될 수 있다. 적합 접근법(fitting approach)은 더 넓은 범위의 유효성을 제공하며, PW의 소정 부분들에 어느정도 중점을 두기 위해 가중 인자들을 도입한다. 이 접근법은 수학식들에서 테스트 이미지들(Il)의 세트를 그 대응하는 TCC들로 교체한 수학식 6 내지 수학식 9를 따를 것이다. 결과적으로, 형식적으로 Il를 TCCl로 교체한 이후에 앞서 설명된 동일한 선형 조합으로부터 최적 적합도 미분 매트릭스들(A 및 B)이 얻어지며, 즉 다음과 같다.
Figure 112008083747731-pat00032
이때, hal 및 hbl은 다시 수학식 9를 이용하여 계산된다. hal 및 hbl은 패턴들 또는 TCCl에 의존하지 않는 상수들이라는 것을 유의한다. 따라서, A 및 B는 단순히 공칭 조건(TCC0) 및 다양한 디포커스 조건들(TCC1 내지 TCCL)에서의 TCC들의 세트의 선형 조합이다.
수학식 19는 수학식 14와 동일하므로, 이 두 대안적인 접근법들이 동일한 최종 공식을 초래한다는 것을 유의한다. 이와 유사하게, 수학식 4가 수학식 15, 수학식 18 및 수학식 19로부터 도출될 수도 있다.
제 2 실시예의 방법은 윤곽, CD 또는 에지 배치 오차(EPE)가 상이한 디포커스 조건들에서의 에어리얼 이미지로부터 추출되는 도 4의 흐름도에 의해 예시된다. 공정의 제 1 단계(단계 50)는 원하는 공정과 연계된 공정의 특정한 광학 조건들을 확인하는 것이다. 다음 단계(단계 52)는 공칭 조건 TCC0 및 L 개의 디포커스 {TCCl}을 생성하는 것이다. 그 후, 수학식 14를 이용하여 미분 TCC들: A 및 B가 생성된다(단계 54). 다음 단계(단계 58)는 수학식 17을 이용하여 TCC0, A 및 B와 마스크 이미지의 컨볼루션에 의해 이미지들 I0, a, b를 생성한다. 그 다음, 각각의 마스크 디자인에 대해(단계 56), 수학식 4를 이용하여 디포커스 이미지가 합성되 어(단계 60), 시뮬레이션된 이미지를 생성한다. 그 다음, 시뮬레이션된 이미지로부터 윤곽들이 추출되고, CD들 또는 피처 EPE들이 결정된다(단계 62). 그 후, 공정은 공정 윈도우의 경계를 결정하기 위해 충분한 적용 범위가 존재하는지의 여부를 결정하도록 단계 64로 진행하며, 대답이 아니오인 경우에 공정은 단계 58로 되돌아가고 앞선 공정을 반복한다. 충분한 적용 범위가 존재하는 경우, 마스크 디자인에 의해 생성된 이미지가 허용가능한 오차 공차 내에 있는지를 결정하기 위해 공정이 단계 66으로 진행하며, 만족스러운 경우 공정이 완료된다. 그렇지 않은 경우에는 마스크의 조정 및 재디자인을 허용하도록 공정이 단계 56으로 되돌아간다. 이 마지막 단계는 공정에서 선택적인 단계라는 것을 유의한다.
도 4의 흐름도에서, 상기 표는 특히 초기 마스크 디자인의 반복적인 PW-의식 OPC 수정(PW-aware OPC modification)들을 위해 필요할 수 있는 '마스크 변동 루프' 내에 구현된 PW 분석을 나타낸다. 이 상황에서는, 스루-PW 이미지 평가를 위한 계산 속력의 여하한의 개선이 특히 유리할 것이다.
계산 시간의 추가 감소는 광학 시스템의 물리적 특성에 대한 추측 정보 또는 추가적인 적절한 가정들에 의해 달성될 수 있다. 예를 들어, 강력한 수차들이 없는 경우에는 에어리얼 이미지 세기들의 스루-포커스 변동이 디포커스의 우(즉, 대칭) 함수일 것으로 예상될 수 있다. 그러므로, 1-차 미분들 "A" 및 "a"은 이 조건들 하에서 무시해도 좋을 것으로 예상될 수 있다.
또한, 이 간소화는 디포커스의 효과가 위상 인자(phase factor) p = p0 exp[ja(f - f0)2]- 이때, 공칭 포커스는 f0 = 0에서임 -와 퓨필 함수의 곱에 대응한다는 것을 유의함으로써 정당화될 수 있다. 작은 디포커스에 대해, 위상 시프트는 테일러 전개에 의해 근사화될 수 있다: p = p0 [1 + ja(f - f0)2], 이는 선형 항을 포함하지 않는다.
또한, 앞선 방법들은 모두 노광 도즈 및 디포커스 이외에 상이하거나 추가적인 기본 파라미터들에 의해 확립될 수 있는 일반화된 공정 윈도우 정의로 확장될 수 있다. 이들은 NA, 시그마, 수차들, 편광, 또는 레지스트 층의 광학 상수와 같은 광학 세팅들을 포함할 수 있으며, 이에 제한되지는 않는다(이미징 공정에 대한 그 효과들이 광학 모델들 내에, 즉 TCC들에 포함됨). 일 예시로서, 공칭 조건들 부근의 NA의 변동을 포함하면, 에어리얼 이미지는 다음과 같이 표현될 수 있다:
Figure 112008083747731-pat00033
이때, I, I0, a, …, e는 각각 2차원 이미지들 및 이미지 미분들이다. f 및 NA에 대한 변화하는 파라미터 값들에서의 시뮬레이션된 TCC들의 세트 또는 시뮬레이션된 이미지들의 세트에 대한 최소제곱 적합에 의해 추가 파라미터들 "c", "d" 및 "e"이 결정될 수 있는 한편, 수학식 11 및 수학식 12에서와 같은 노광 도즈와의 스케일링이 여전히 적용된다. 수학식 9와 유사하게, 이 파라미터들(a, b, c, d 및 교차항(cross-term) 계수 e)은 다시 에어리얼 이미지들 {Il}의 선형 조합이라는 것 을 유의한다. 이 선형 조합의 계수들은 픽셀 좌표 또는 패턴에 의존하지 않으며, 단지 {fl}, {NAl} 및/또는 사용자-할당 가중치 {Wl}에만 의존한다.
이 일반화된 PW 모델에 대해, 물리적 통찰력에 기초한 간소화들도 가능하다. NA 변동들의 경우, 예를 들어 이들이 다소 단조로운 이미지 변동들에 대한 선형 효과를 가질 것이 예상될 수 있으며, 이 경우 수학식 20은 가능하게는 디포커스의 선형 항에 추가하여 NA의 고차 "d" 및 "e" 항들을 생략함으로써 간소화될 수 있다. 또한, 여하한의 일반화된 PW 정의에 대해 공칭 조건에서의 I0를 계산하는데 사용된 TCC 항들의 개수가 TCC 미분들 A, B, …으로부터 이미지 변동들을 계산하는데 사용된 항들의 개수와 동일할 필요는 없다. 공칭 조건 부근의 작은 파라미터 변동들로 인한 사소한 이미지 변동들의 충분히 정확한 설명은, I0에 대해 많은 수의 항들로, 또한 전반적인 계산 시간을 감소시키기 위해 미분들에 대해서는 상당히 더 작은 수의 항들로 달성될 수 있다.
간소함을 위해, 다음 설명은 디포커스 및 노광 도즈에 기초할 것이다. 하지만, 본 명세서의 기재내용은 모두 수학식 20에 예시된 바와 같이 NA, 시그마, 수차들, 편광, 또는 레지스트 층의 광학 상수와 같은 다른 파라미터들을 갖는 일반화된 PW로 확장될 수 있다는 것을 유의하여야 한다.
앞서 설명된 실시예들에서, PW 파라미터들의 범위에 대한 최적 포커스 부근의 에어리얼 이미지에 대한 분석적인 표현들이 전개되었다. 다음 설명들은 레지스트 이미지를 계산하기 위해 유사한 표현들 및 방법들을 도출하며, 이는 PW를 가로 질러 시뮬레이션된 레지스트 윤곽들의 추출을 위한 기초를 형성한다.
가분 선형 레지스트 모델
투영된 에어리얼 이미지에 의한 조명에 대한 포토 레지스트의 응답은 경계 동작(thresholding behavior)을 가져 매우 비선형일 수 있지만, PEB 시의 확산과 같이 레지스트 층에서 일어나는 많은 공정들은 임계치를 적용하기 이전에 1 이상의 선형 필터들과 에어리얼 이미지를 컨볼루션함으로써 모델링될 수 있다. 이러한 모델들은 일반적으로 '선형' 레지스트 모델들이라 칭할 것이며, 이러한 모델들에 대한 잠재적인 레지스트 이미지는 개략적으로 다음과 같이 표현될 수 있다:
Figure 112008083747731-pat00034
여기에서, P{}는 선형 필터를 적용하는 기능적 작용(즉, 일반적으로 컨볼루션)을 나타내는 한편, Rb는 에어리얼 이미지에 독립적인 마스크 로딩 바이어스(mask loading bias)이다. 레지스트 임계치는 Rb 내에 포함되는 것으로 이해되어, 레지스트 윤곽들이 R(x) = 0인 위치들에 대응한다.
앞서 도출된 일반적이고 스케일링되며 보간된 에어리얼 이미지에 이 모델을 적용시키는 것(즉, 일반적인 손실 없이 f0 = 0이라고 가정한 수학식 12)은 다음을 유도한다.
Figure 112008083747731-pat00035
이때, R0는 공칭 조건(NC)에서의 레지스트 이미지이다. 노광 도즈 및 포커스(또는 다른 PW 파라미터들)의 변화들로 인한 모든 보정들은, NC에서의 이미지(I0) 및 보정 항들의 간단한 스케일링 및 가산에 대하여 미분 이미지들(a, b)에 동일한 필터를 적용시킴으로써 도출된다.
또한, 선형 필터의 효과는 이미징 TCC 형식 내에 포함될 수 있으며, 이는 공간 도메인에서의 필터와의 컨볼루션이 주파수 도메인에서의 필터의 푸리에 급수 성분들과의 곱과 같기 때문이다. 에어리얼 이미지 표현(수학식 1)으로부터 시작하면:
Figure 112008083747731-pat00036
이는 k', k"에서의 TCC 매트릭스 요소가 TCCk' ,k" M(k')M*(k")만큼 I(x)의 (k'-k") 주파수 성분에 기여한다는 것을 나타낸다. 그러므로:
Figure 112008083747731-pat00037
에 의해 정의된 레지스트 이미지- 이때, g(x)는 푸리에 변환이 G(k)인 공간 필터임 -는
Figure 112008083747731-pat00038
로서 정의된 새로운 TCC 매트릭스를 이용하여 다음과 같이 표현될 수 있다:
Figure 112008083747731-pat00039
이 절차를 이용하여, 선형 필터가 양선형(bi-linear) TCC 매트릭스로 통합되어서, 순수 광학 에어리얼 이미지에 적용가능한 모든 계산 절차들이 선형 필터링된 에어리얼 이미지에 적용될 수 있다. 이 특성은, 완전한 레지스트 이미지가 필터(P)의 푸리에 계수들에 대응하는 가중 인자들을 더하는 수정만을 갖는 수학식 1의 단일 평가에 의해 생성될 수 있기 때문에 전반적인 계산 시간을 상당히 감소하게 한다. 여하한의 주어진 마스크 디자인 입력에 대해, 이 공식은 미리 계산되고 필터-조정된 TCC0, A 및 B 매트릭스들로부터 이미지들 P{I0}, P{a}, P{b}를 직접 - 각각 하나의 통과로 - 생성하게 할 것이다. 그 후, 수학식 22는 이 3 개의 이미지들의 선형 조합으로서 여하한의 임의 PW 지점에 대한 실제 레지스트 이미지를 정의한다.
비-가분 선형 레지스트 모델
앞선 설명에서는, 레지스트 모델을 확립하는 선형 필터들의 모든 파라미터들이 공정 윈도우 파라미터들의 변동들에 걸쳐 일정하다고 함축적으로 가정되었다. 이는 전체 가분 리소그래피 모델에 대한 하나의 조건과 같다: 레지스트 모델 파라미터들은 광학 모델 파라미터들에 독립적이다. 가분성에 대한 실제적인 테스트는 PW의 전 범위에 걸친 모델 및 적합도 테스트 데이터를 정확히 캘리브레이션하는 능 력이다. 실제로, 풀-칩 리소그래피 시뮬레이션에 적절한 모델들의 반-경험적(semi-empirical) 성질은 완벽한 가분성을 불가능하게 할 것이며, 디포커스, NA 또는 시그마 세팅들과 같은 PW 파라미터들과 함께 변하게 되는 레지스트 모델 파라미터들을 필요로 할 수 있다. 물리적 동기 모델(physically motivated model)에 대해, 모델 파라미터들은 PW 변수들의 변동 하에서 매끄럽게 변화한다고 예상되어야 한다(또는 제약으로서 요구되어야 함). 이 경우, 레지스트 이미지의 급수 전개는 리제스트 모델 파라미터들의 미분 항들을 포함할 수 있다.
예시를 위해, 단지 PW 파라미터로서 디포커스를 고려한다. 선형 레지스트 모델이 선형 필터(또는 많은 선형 필터들)와의 컨볼루션과 같은 경우, 가분 모델은 다음에 의해 설명될 수 있다:
Figure 112008083747731-pat00040
한편, 비-가분 모델은 필터의 명확한 f-의존성을 필요로 할 수 있다:
Figure 112008083747731-pat00041
이제, 스루-포커스 변화들을 고려하면, 형식상(pro-forma)의 급수 전개는 예시를 위해 본 명세서에서는 1 차까지만 수학식 24에 적용될 수 있다:
Figure 112008083747731-pat00042
이때,
Figure 112008083747731-pat00043
레지스트 모델 파라미터들이 PW 공간에 걸쳐 연속적으로 변한다고 알려진 경우, AI 및 TCC들에 대해 앞서 도입된 것과 유사한 급수 전개 및 적합이 모델 캘리브레이션 시 레지스트 모델 파라미터들에 적용될 수 있다. 이 경우, 선형 미분 필터(aP)가 계산되고 수학식 25에서 사용될 수 있으며, 이는 고차 항들을 포함하도록 직접적인 방식으로 확장될 수도 있다. 이 상황에서, 레지스트 모델 파라미터들뿐만 아니라, 에어리얼 이미지 변동들도 전 PW 영역에 걸쳐 매끄럽게 보간된다. P 및 aP는 테스트 또는 게이지 패턴들로부터의 실험적인 웨이퍼 데이터에 기초한 스루-PW 모델 캘리브레이션 단계에서 결정될 수 있다.
하지만, 레지스트 모델 파라미터들이 PW에 걸쳐 단조롭지 않게 변하는 것으로 보이더라도, 캘리브레이션 지점들 사이에서의 여하한의 불연속적 보간은 임의 PW 지점들에 대해 '최적-추측' 레지스트 모델 파라미터들을 제공할 수 있다.
일반적인 레지스트 모델
에어리얼 또는 레지스트 이미지의 절단들과 같은 비선형 작동들을 포함할 수 있는 일반적인 레지스트 모델에 대해, 수학식 22에서 나타낸 바와 같은 공칭 조건 및 미분 항들로의 직접 분리는 더이상 유효하지 않을 것이다. 하지만, 비선형 작동들을 다루는 3 개의 대안적인 방법들이 존재한다.
ⅰ) 연계된 선형 필터
먼저, PW를 통한 레지스트 이미지의 일반적인 변동이, 선형 필터 P{}는 더이상 NC(공칭 조건)에서의 레지스트 모델을 올바르게 설명하지 않을 것이라는 재해석과 함께 수학식 22의 두번째 줄에 의해 형식적으로 근사화될 수 있다고 가정된다. 그 대신에, 선형 필터 P{}는 NC에 대한 미분(differential) 레지스트 이미지 변화들의 최적 표현을 재현하도록 선택될 것이다. 비선형 모델은 NC에서의 가장 정확한 모델 적합을 보장할 수 있지만, 그것은 선형 모델보다 상당히 많은 계산 시간을 필요로 할 수 있다. 디퍼런셜 스루-PW 동작을 에뮬레이션(emulate)하기 위해 이러한 연계된 선형 필터(associated linear filter)에 의지함으로써, R0(x)를 발생시키는데에는 비선형 모델의 단일 평가만이 요구될 것이며, 한편 다수 PW 조건들에서의 PW 분석은 P{I0}, P{a}, P{b}의 더 효율적인 평가에 기초할 수 있다.
공칭 조건 레지스트 모델뿐만 아니라 연계된 필터의 계수들은, USP App. No. 60/719,837에서 설명된 방법의 확장으로서, 패턴 변동들 및 공정 윈도우 변동들을 포함하는 캘리브레이션 테스트 패턴들 및 웨이퍼 게이지 데이터에 기초하여 단일화된 모델 캘리브레이션 절차로부터 결정될 수 있다.
또한, 일단 유효한 단일화된 PW 모델(FEM)이 USP App. No. 60/719,837에서 설명된 방식으로 생성되고 캘리브레이션되었으면, 그것은 레지스트 이미지의 스루- PW 변화들의 최적 예측을 제공할 것이다. 그 후, 추가적인 실험적 캘리브레이션 데이터의 어떠한 필요성도 없이, 연계된 필터와 완전한 캘리브레이션된 모델을 이용한 간소화된 모델 간의 전반적인[RMS(root mean square)] 차이를 최소화함으로써 최적의 연계된 필터의 파라미터들이 결정될 수 있다.
예를 들어, 1-D(라인/공간) 및 2-D(라인 단부들 등) 패턴들을 포함한 여하한 적절한 수 및 범위의 테스트 구조체들에 대한 전체 모델을 이용하여, '올바른' 레지스트 이미지들 및 윤곽들이 여하한 수의 PW 지점들에 대해 시뮬레이션될 수 있다. 또한, 미분 이미지들(a 및 b)의 값들은 레지스트 윤곽들 부근에서 계산될 수 있다. 각각의 패턴에 대해, 패턴-특정 게이지 지점들, 예를 들어 라인-단부 테스트 패턴에 대한 라인의 선단(tip)에서, 또는 NC 레지스트 윤곽의 여하한의 지점을 따라 PW를 통한 R(x)의 변화가 계산될 것이다. 이 평가 지점들 xi 각각에서,
Figure 112008083747731-pat00044
이는 xi가 레지스트 윤곽 상에 있는 것으로 가정되기 때문이며, 이때 R(xi, ε=0, f=f0) = 0이다.
ΔR(xi,ε,f)는 다음에 의해 만족스럽게 근사화되어야 한다.
Figure 112008083747731-pat00045
그러므로, 최적의 연계된 필터는 수학식 27과 수학식 28 간의 제곱된 차들의 합을 최소화시킬 것이며, 여러 알려진 최적 알고리즘들에 의해 결정될 수 있다. 연계된 필터 적합 동안 수학식 27 및 수학식 28의 평가는 레지스트 윤곽들에서 수행되어, 결과적인 필터가 에지 위치들에 가까운 변화들을 가장 가깝게 재현하여야 한다는 것을 유의한다. 에지 위치들로부터 먼 연계된 필터의 성능- 레지스트 이미지 레벨의 변화들을 정확히 예측하는 것에 관함 -은 일반적으로 필요하지 않다. 이 적합 루틴 이후에, 레지스트 이미지들의 전체-PW 동작이 다시 다음 식으로 설명된다.
Figure 112008083747731-pat00046
이때, 필터링된 미분 이미지들은 TCC 형식 내에서 효율적으로 계산될 수 있으며, ΔR은 비교적 작은 섭동들을 구성하고, 여하한의 임의 PW 지점에서의 레지스트 이미지들은 4 개의 이미지들 R0, P{I0}, P{a} 및 P{b}의 간단한 선형 조합으로부터 예측될 수 있다.
ⅱ) 내장된 선형화
앞선 접근법은, 여하한 지점의 NC(공칭 조건) 레지스트 윤곽을 따른, 또는 모든 패턴-특정 게이지 지점들에 대한 (RMS) 차이를 최소화시키는 단일 선형 필터라는 점에서 최적인 선형화된 필터(즉, 연계된 필터)를 나타낸다. 다음에는, 미분 레지스트 이미지들의 계산에 레지스트 모델 선형화를 통합하는 대안적인 접근법이 설명된다.
특히, 수학식 2에서 a 및 b를 얻은 이후에, 목적은 그 선형 조합(일반화의 손실 없이 f0 = 0이라고 가정함)
Figure 112008083747731-pat00047
이 가능하게는 가중치들의 세트 {W1, W2, …, WL}를 갖는 다수 포커스 위치들 fl = {f1, f2, …, fL}에 걸친 다음 수학식에 대해 최적 적합도가 되도록 R0, Ra 및 Rb를 확인하는 것이 되며, 이때 R0는 NC에서의 레지스트 이미지이다.
Figure 112008083747731-pat00048
수학식 31은 본질적으로 수학식 2에 표현된 에어리얼 이미지에 레지스트 모델 R{·}을 적용하는 것이다. 레지스트 모델 R{·}은 비-선형일 수 있으므로, Ra 및 Rb가 반드시 P{a} 및 P{b} 또는 R{a} 및 R{b}인 것은 아님을 유의한다. 이러한 것으로서:
Figure 112008083747731-pat00049
이때, hal 및 hbl은 수학식 9에 정의된 계수들이다. 계수들은 단지 {f1, f2, …, fL} 및 가능하게는 가중치들 {W1, W2, …, WL}에만 의존하며, 그것들은 R(x,fl) 또는 I(x,fl)에 독립적이다.
일반적으로, 레지스트 모델 R{·}은 다음과 같이 분리될 수 있다:
Figure 112008083747731-pat00050
이때, Rb는 에어리얼 이미지 I(x) 또는 포커스에 독립적인 마스크 로딩 바이어스이며, P{}는 선형 필터 작동이고, PNL{}은 약간의 비-선형 작동이다.
수학식 32 및 수학식 33을 조합하면 다음과 같다.
Figure 112008083747731-pat00051
앞서 설명된 바와 같이, P{}가 선형 작동이기 때문에 다음과 같다.
Figure 112008083747731-pat00052
예상되는 바와 같이, 앞서 설명된 수학식 9 및 수학식 10의 도움으로 다음 결과를 도출할 수 있다.
Figure 112008083747731-pat00053
따라서, Ra 및 Rb는 다음으로부터 계산될 수 있다.
Figure 112008083747731-pat00054
이 접근법의 장점들은, 단일 선형 필터를 이용하여 모든 게이지 지점들에 대한 디퍼런셜 스루-PW 동작을 캡처하려고 하지 않는다는 것이다. 차라리, 이 접근법은 각각의 픽셀에 대한 (RMS) 차를 최소화시켜서, 전반적인 정확성을 개선시킨다. 또한, 이 접근법은 패턴-특정 게이지 지점들 또는 모든 NC 레지스트 윤곽 이웃 지점들의 확인을 필요로 하지 않는다. 한가지 단점은, 이 접근법이 Ra 및 Rb에 대한 계산 복잡성을 약간 증가시킨다는 것이다. 하지만, 스루-PW 레지스트 이미지들의 합성이 단지 R0, Ra 및 Rb의 스케일링 및 가산들만을 필요로 하기 때문에, 일반적으로 미분 이미지들의 계산 복잡성의 증가는 특히 조밀한 PW 샘플링에 대하여 스루-PW 레지스트 이미지들의 계산 복잡성의 감소에 비해 무의미하다.
ⅲ) 비-선형 작동들의 다항식 접근
제 3 접근법에서는, 비-선형 레지스트 모델 작동들이 다항식을 이용하여 접근된다. 특히, 산과 염기 반응 효과들을 에뮬레이션하기 위한 이미지 I(x) 상의 절단 작동들에 대해, 이미지의 이차 다항식은 충분한 근사화를 제공한다. 또 다른 전형적인 비-선형 작동, 이미지 기울기(image slope)의 선형 필터링은 이미지 구배(image gradient) G{I(x)} = I(x) - I(x-1)의 이차 함수의 선형 필터링으로서, 및 이에 따른 에어리얼 이미지 I(x) 자체의 이차 다항식으로서 정밀하게 표현될 수 있다. 특히, G{}가 구배 작동(gradient operation)이고, 선형 필터는 PSlope{·}이라 하여, 이 비-선형 작동은 다음과 같이 표현될 수 있다:
Figure 112008083747731-pat00055
요약하여, 에어리얼 이미지 I(x)로부터의 레지스트 이미지는 다음과 같이 접근될 수 있다:
Figure 112008083747731-pat00056
다시 한번, P1{·}은 에어리얼 이미지 항에 대한 선형 필터를 나타내고, P2{·}는 에어리얼 이미지 제곱 항에 대한 선형 필터를 나타내며, PSlope{·}는 에어리얼 이미지 구배 항에 대한 선형 필터를 나타내는 한편, Rb는 이미지 패턴에 독립적인 마스크 로딩 바이어스이다. 따라서, 레지스트 이미지는 디포커스 값의 4-차 다항식으로서 표현된다. 하지만, 전형적인 적용에서, R3(x) 및 R4(x)는 매우 작고 계산 효율성 개선을 위해 무시될 수 있다.
앞서 유의된 바와 같이, 리소그래피 디자인 검증의 목적은 프린트된 레지스트 에지들 및 라인 폭들이 디자인 타겟으로부터의 사전-명시된 거리 내에 있을 것을 보장하는 것이다. 이와 유사하게, 공정 윈도우- 노광 위도 및 초점심도 -의 크기가 명시된 범위에 포함되는 CD들 또는 에지 배치들에 의해 정의된다. 앞서 설명된 다양한 방법들은 포커스 및 노광 도즈 또는 다른 일반화된 PW 파라미터들의 변 동을 이용하여 레지스트 이미지 신호 레벨의 변화를 결정하기 위한 매우 효율적인 방식들을 제공한다. 각각의 방법은 NC(공칭 조건) 이미지 R0의 섭동으로서 스루-PW 레지스트 이미지 변동들 ΔR의 근사 표현을 유도하였다.
R(x)의 이 변화들을 에지 배치의 변화들과 관련시키기 위해서는, 대부분의 경우 작은 CD 또는 에지 배치 공차들로 인해 1-차 근사화면 충분할 것이다. 그러므로, 여하한의 레지스트 윤곽(R = 0)의 측면 시프트(즉, 에지 배치 변화)가 원래(즉, NC) 윤곽 위치에서의 이미지 구배(G) 및 포커스, 도즈 등의 변동으로 인한 레지스트 이미지 레벨의 변화(ΔR)에 의해 다음과 같이 간단히 근사화된다:
Figure 112008083747731-pat00057
이때, 초기 윤곽 위치 및 구배는 NC, 즉 R0(x,y)에서의 레지스트 이미지로부터 결정된다. 2-차원 에지 시프트는 각각의 방향으로의 이미지 편미분(partial image derivative)에 의해 x 및 y 방향으로 별도로, 또는 절대 구배 값(absolute gradient value), 즉 Sx = R0(x,y) - R0(x-1,y) 및 Sy = R0(x,y) - R0(x,y-1)의 기하학적 합, 즉 절대 구배 값
Figure 112008083747731-pat00058
을 이용한 절대 시프트(absolute shift)로서 계산될 수 있다.
앞선 설명으로부터, 에지 시프트가 앞서 정의된 미분 이미지들의 함수로서 직접 표현될 수 있다:
Figure 112008083747731-pat00059
CD 또는 라인 폭들의 변화들은 라인의 양쪽의 개별적인 에지 배치 시프트들을 더하는 것으로부터 결정되어, 일반적으로 ΔCD = 2·ΔEP를 유도할 수 있다. 분명히, 수학식 41은 CD 또는 EPE 곡선들의 전형적인 2차-형 스루-포커스 동작을 재현할 수 있을 것이다. 더 중요하게는, [R0, P{I0}, P{a}, P{b}]와 같은 이미지들의 세트가 계산된 이후에- 이는 NC에서의 단일 이미지를 시뮬레이션하는 것보다 더 많은 계산으로 수행될 수 있음(디퍼런셜의 충분한 정확성을 위해 보다 적은 TCC 항들이 필요하다고 가정함) -, 어떠한 시간소모적인 이미지 시뮬레이션도 필요로 하지 않고 디자인 상의 모든 단일 에지 위치에 대해 완전한 PW를 분석적으로 맵핑(map out)하도록 수학식 41이 적용될 수 있다. 이 방법을 예시하는 일반적인 흐름도가 도 5에 제공된다.
도 5를 참조하면, 제 1 단계(단계 80)는 이미징 공정에서 이용될 리소그래피 공정 및 시스템과 연계된 공정의 특정한 파라미터들을 정의하는 단계를 수반한다. 그 후, 수학식 14를 이용하여 미분 TCC들(A 및 B)이 생성된다(단계 82). 단계 84에서는, 캘리브레이션 테스트 데이터가 다수 공정 윈도우 조건들에 대해 획득된다. 단계 85에서, 단계 82의 결과들을 부분적으로 이용하여 R0{}에 대한 모델 파라미터들 및/또는 연계된 필터 P{}가 결정된다. 그 다음, 타겟 마스크 패턴 또는 디자인 이 정의된다(단계86). 그 후, 공정은 R0(x), P{I0}, P{a} 및 P{b}와 같은 이미지들을 생성하도록 단계 88로 진행한다. 그 다음, 시뮬레이션된 이미지가 합성되며, NC 윤곽들이 추출되고, 에지 위치들 {xi}의 주어진 세트에서 피처 EPE들이 결정된다(단계 90). 그 후, 공정은 에지 위치들 {xi}에서 공정 윈도우를 통한 EPE 또는 CD 변동들을 결정하기 위해 단계 92로 진행한다. 최종적으로, 단계 94에서, 결과적인 이미지가 사전정의된 오차 공차 내에 있는지를 결정하기 위해 단계 92에서 얻어진 결과들이 분석되며, 이에 따라 공통 공정 윈도우를 결정할 뿐만 아니라, 디자인 내의 문제의 영역(즉, 핫-스폿들)을 식별한다.
앞서 설명된 방법들 및 특히 수학식 41은 리소그래피 디자인 검사에서의 광범위한 작업들에 대해 매우 유연하게 적용될 수 있다. 이 적용들 중 몇몇은 아래에서 간단히 설명된다. 하지만, 본 발명은 본 명세서에 개시된 적용예들로 제한되지는 않는다는 것을 유의한다.
여하한의 특정 에지 또는 CD에 대하여, 수학식 41은 공칭 도즈에서, CD, EP 또는 라인 단부 변동의 주어진 공차에 대한 포커스 위도[=DOF(Depth of Focus)]의 직접 결정을 가능하게 한다.
여하한의 특정 에지 또는 CD에 대하여, 수학식 41은 공칭 포커스에서, CD, EP 또는 라인 단부 변동의 주어진 공차에 대한 노광 도즈의 직접 결정을 가능하게 한다.
여하한의 특정 에지 또는 CD에 대하여, 수학식 41은 {F,E} 공간 또는 일반화 된 PW 공간에서, CD, EP 또는 라인 단부 변동의 주어진 공차에 대한 PW의 형상, 중심 및 영역의 직접 맵핑을 가능하게 한다.
풀-칩 디자인 및 모든 관련 패턴/피처 타입들을 포함한 에지들 또는 CD들의 세트에 대해, 디자인의 공통 공정 윈도우가 효율적으로 계산될 수 있으며, 공통 PW의 중심을 결정하기 위해 공정 보정들이 도출될 수 있다.
공통 PW의 내부 경계들을 정의하는 임계 제한적 패턴들은 중심을 벗어난(off-cetered) PW들 또는 작은 PW들을 가짐으로써 확인될 수 있다.
공통 PW 영역은 EP 또는 CD 변동들에 대한 공차 사양(spec)들의 함수로서 맵핑될 수 있다. 이 감도 분석은 디자인 감도에 따른 산출량 추정을 제공할 수 있다.
디자인 핫 스폿들은 소정 임계치 아래로 떨어진 PW 영역, DOF 또는 노광 위도를 갖는 패턴들로서 수학식 41을 이용한 풀-칩 분석으로부터 식별될 수 있다. 그 후, 이 임계 패턴들의 동작은 전체-PW 시뮬레이션들에 의해, 즉 반복된 이미지에 대한 전체 시뮬레이션 모델을 이용하여, 또한 PW에 걸친 많은 지점들에서의 레지스트 윤곽 시뮬레이션에 의해 상세하게 조사될 수 있다.
도 6은 본 명세서에 개시된 시뮬레이션 방법을 조력할 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디 바이스(dynamic storage device)와 같은, 버스(102)에 커플링된 주 메모리(main memory: 106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행시 임시 변수(temporary variable)들 또는 다른 매개 정보(intermediate information)를 저장하는데 사용될 수도 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는, 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)는 정보 및 명령어들을 저장하기 위해 제공되며 버스(102)에 커플링된다. 컴퓨터 시스템(100)은 버스(102)를 통해, 정보를 컴퓨터 사용자에게 보여주는 CRT(cathode ray tube) 또는 평판(flat panel) 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자(alphanumeric) 또는 다른 키들을 포함하는 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 형태의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키와 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스가 평면에서의 위치를 특정화하도록 허용하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)으로 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
본 발명의 일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들 의 1 이상의 시퀀스들을 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 시뮬레이션 공정의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터 판독가능한 매체로부터 주 메모리(106)로 판독될 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수도 있다. 대안적인 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 본 발명을 구현하는 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 발명의 실시예들은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다. 본 명세서에서 사용된 "컴퓨터 판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어(wire)들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유(fiber optics)를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터 판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉서블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 본 명세서에 설명된 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스들을 프로세서(104)로 전달하는데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터(remote computer)의 자기 디스크 상에 지원(bear)될 수 있다. 상기 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩(load)할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)으로의 모뎀 로컬(modem local)은 전화선 상의 데이터를 수신할 수 있으며, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는 프로세서(104)가 명령어들을 검색(retrieve)하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 이전 또는 이후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 바람직하게 버스(102)에 커플링된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 쌍방향 데이터 통신(two-way data communication)을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 형태의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성(compatible) LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다. 통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(internet service provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 그 결과 ISP(126)는, 이하 통상적으로 "인터넷(128)"이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호를 사용한다. 다양한 네트워크를 통한 신호 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호는 정보를 전달하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지를 송신하고 데이터를 수신할 수 있다. 인터넷 예시에서 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 응용 프로그램에 대한 요청된 코드를 전송할 수 있다. 본 발명에 따르면, 예를 들어 하나의 이러한 다운로드된 응용은 실시예의 조명 최적화에 대해 제공된다. 수신된 코드는 수신되고, 및/또는 저장 디바이스(110) 또는 추후 실행을 위한 다른 비휘발성 저장소에 저장됨에 따라 프로세서(104)에 의해 실행될 수 있다. 이러한 방식으로 컴퓨터 시스템(100)은 반송파의 형태로 응용 코드를 얻을 수 있다.
도 7은 본 발명의 공정을 이용하여 그 성능이 시뮬레이션될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선의 투영 빔(PB)을 공급하는 방사선 시스템(Ex, IL)- 이러한 특정한 경우, 방사선 시스템은 방사선 소스(LA)도 포함함 -;
- 마스크(MA)(예를 들어, 레티클)를 지지하는 마스크 홀더가 제공되고, 아이템(PL)에 대하여 마스크를 정확히 위치시키는 제 1 위치설정 수단에 연결된 제 1 대상물 테이블(마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PL)에 대하여 기판을 정확히 위치시키는 제 2 위치설정 수단에 연결된 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 마스크(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PL)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭(catadioptric) 광학 시스템]을 포함한다.
본 명세서에 서술된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 마스크를 가짐). 하지만, 일반적으로 상기 장치는 예를 들어 (반사 마스크를 갖는) 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 마스크의 사용의 대안예로서 또 다른 종류의 패터닝 수단을 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
상기 소스(LA)[예를 들어, 수은 램프 또는 엑시머 레이저(excimer laser)]는 방사선 빔을 생성한다. 상기 빔은 곧바로 또는, 예를 들어 빔 익스팬더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명 시스템(일루미네이터)(IL)으로 공급된다. 상기 일루미네이터(IL)는 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AM)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(PB)은 그 단면에 원하는 균일성 및 세기 분포를 갖는다.
도 7과 관련하여, 상기 소스(LA)는 (흔히 상기 소스(LA)가, 예를 들어 수은 램프인 경우에서처럼) 리소그패피 투영 장치의 하우징 내에 놓이지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 만들어 낸 방사선 빔은 (예를 들어, 적절한 지향 거울의 도움으로) 장치 내부로 들어올 수도 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 상기 소스(LA)가 (예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한) 엑시머 레이저인 경우이다. 본 발명은 이들 시나리오들을 모두 포함한다.
이후, 상기 빔(PB)은 마스크 테이블(MT) 상에 유지되어 있는 마스크(MA)를 통과(intercept)한다. 마스크(MA)를 가로질렀으면, 상기 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C) 상에 상기 빔(PB)을 포커스한다. 제 2 위치설정 수단(및 간섭계 측정 수단(IF))의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(PB)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 마스크 라이브러리(mask library)로부터의 마스크(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(PB)의 경로에 대해 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 대상물 테이블(MT, WT)들의 이동은, 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 7에 명확히 도시되지는 않는다. 하지만, [스텝-앤드-스캔 툴(step-and-scan tool)과는 대조적으로] 웨이퍼 스테퍼의 경우 마스크 테이블(MT)은 단지 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:
- 스텝 모드에서, 마스크 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 마스크 이미지가 한번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 그 후, 상이한 타겟부(C)가 상기 빔(PB)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다;
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것 을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(PB)이 마스크 이미지 전체에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PL)의 배율(통상적으로, M = 1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 본질적으로 점점 더 작은 크기의 파장들을 생성할 수 있는 신흥(emerging) 이미징 기술들을 이용하는데 유용할 수 있다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(extreme ultra violet) 리소그래피를 포함한다. 또한, EUV 리소그래피는 싱크로트론(synchrotron)을 이용하거나, 이 범위 내의 광자(photon)를 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격함(hit)으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다. 대부분의 재료들이 이 범위 내에서 흡수성이기 때문에, 다수-스택의 몰리브덴 및 실리콘을 갖는 반사 거울들에 의해 조명이 생성될 수 있다. 다수-스택 거울은 각 층의 두께가 1/4 파장인 40 층의 몰리브덴 및 실리콘 쌍을 갖는다. X-레이 리소그래피를 이용하여 훨씬 더 작은 파장들이 생성될 수 있다. 전형적으로, 싱크로트론은 X-레이 파장을 생성하는데 사용된다. 대부분의 재료가 X-레이 파장들에서 흡수성이기 때문에, 얇은 조각의 흡수성 재료가 피처들이 프린트되는 경우(포지티브 레지스트) 또는 프린트되지 않는 경우(네거티브 레지스트)를 정의한다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 입사하는데 사용될 수 있는 한편, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 입사하는데 사용되는 것들과 함께 사용될 수도 있다는 것을 이해하여야 한다.
본 발명은 상세하게 서술되고 예시되었지만, 단지 설명 및 예시의 방식으로만 존재하고 제한하려는 것이 아니며, 본 발명의 범위는 첨부된 청구항들의 용어들에 의해서만 제한된다는 것을 분명히 이해하여야 한다.
도 1은 전형적인 리소그래피 투영 시스템을 도시하는 예시적인 블록도;
도 2는 리소그래피 시뮬레이션 모델의 기능적 모듈(functional module)들을 도시하는 예시적인 블록도;
도 3은 본 발명의 제 1 실시예의 예시적인 흐름도;
도 4는 본 발명의 제 2 실시예의 예시적인 흐름도;
도 5는 본 발명의 제 3 실시예의 예시적인 흐름도;
도 6은 본 발명의 시뮬레이션 방법의 구현을 도울 수 있는 컴퓨터 시스템을 예시하는 블록도; 및
도 7은 본 발명의 방법으로 사용하기에 적절한 리소그래피 투영 장치를 개략적으로 도시하는 도면이다.

Claims (22)

  1. 복수의 피처들을 갖는 타겟 디자인을 이미징하는데 이용된 리소그래피 공정의 이미징 성능을 시뮬레이션하는 방법에 있어서:
    시뮬레이션된 이미지를 생성하는 함수를 결정하는 단계- 상기 함수는 다항식 적합도(polynomial fit)에 의한 근사화되는 공정 윈도우 변동(process window variation)들을 통하여 상기 리소그래피 공정과 연계된 공정 윈도우 변동들을 나타냄 -; 및
    상기 함수를 이용하여 상기 시뮬레이션된 이미지를 생성하는 단계- 상기 시뮬레이션된 이미지는 상기 리소그래피 공정에 대한 상기 타겟 디자인의 이미징 결과를 나타냄 -를 포함하는 시뮬레이션 방법.
  2. 제 1 항에 있어서,
    상기 다항식 적합도는 상기 공정 윈도우 변동들을 예측하기 위하여 포커스 변화에 대한 미분 이미지들을 포함하고, 상기 공정 윈도우 변동들은 포커스 및 노광 도즈 중 1 이상의 변동들을 포함하는 시뮬레이션 방법.
  3. 제 1 항에 있어서,
    상기 공정 윈도우 변동들은 개구수(numerical aperture) 및 시그마 중 1 이상을 포함한 광학 세팅들의 변동들을 더 포함하는 시뮬레이션 방법.
  4. 제 2 항에 있어서,
    상기 함수는 다음과 같이 정의되고:
    Figure 112008083747731-pat00060
    이때 I0는 공칭 포커스(nominal focus) 및 노광에서의 이미지 세기를 나타내며, f0는 공칭 포커스를 나타내고, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내며, 파라미터 "a" 및 "b"는 포커스 변화에 대한 1 차 및 2 차 미분 이미지(derivative image)들을 나타내는 시뮬레이션 방법.
  5. 제 1 항에 있어서,
    상기 함수는 다음과 같이 정의되고:
    Figure 112010084441499-pat00061
    이때 I0는 공칭 포커스 및 노광에서의 이미지 세기를 나타내며, f0는 공칭 포커스를 나타내고, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내며, 파라미터 "a" 및 "b"는 상이한 포커스들에서의 일련의(a series of) 에어리얼 이미지(aerial image)들의 최적 적합도(best fit)를 나타내는 시뮬레이션 방법.
  6. 제 1 항에 있어서,
    상기 함수는 다음과 같이 정의되고:
    Figure 112010084441499-pat00062
    이때 I0는 공칭 포커스 및 노광에서의 이미지 세기를 나타내며, f0는 공칭 포커스를 나타내고, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내며, 파라미터 "a" 및 "b"는 상이한 포커스들에서의 일련의 TCC들의 선형 조합들인 TCC들(A 및 B)로부터 계산된 에어리얼 이미지들을 나타내는 시뮬레이션 방법.
  7. 제 2 항에 있어서,
    상기 함수는 다음과 같이 정의되고:
    Figure 112008083747731-pat00063
    이때 I0는 공칭 포커스 및 노광에서의 이미지 세기를 나타내며, f0는 공칭 포커스를 나타내고, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내며, 파라미터 "a" 및 "b"는 포커스 변화에 대한 1 차 및 2 차 미분 TCC들인 TCC들(A 및 B)로부터 계산된 에어리얼 이미지들을 나타내는 시뮬레이션 방법.
  8. 제 1 항에 있어서,
    상기 시뮬레이션된 이미지는 레지스트 이미지에 대응하는 시뮬레이션 방법.
  9. 제 8 항에 있어서,
    포커스 및 도즈 변동을 갖는 상기 레지스트 이미지를 시뮬레이션하는 상기 함수는 다음과 같이 정의되고:
    Figure 112008083747731-pat00064
    이때 R0는 공칭 포커스 및 노광에서의 이미지 세기를 나타내며, I0는 공칭 에어리얼 이미지를 나타내고, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내며, 파라미터 "a" 및 "b"는 포커스 변화에 대한 1 차 및 2 차 미분 에어리얼 이미지들을 나타내고, P{}는 레지스트 모델로서 필터를 적용하는 기능적 작용을 나타내는 시뮬레이션 방법.
  10. 리소그래피 공정의 공정 윈도우 파라미터 변동으로 인한 피처 에지 시프트(feature edge shift) 또는 임계 치수(CD) 변화를 추정하는 방법에 있어서:
    시뮬레이션된 이미지를 생성하는 함수를 결정하는 단계- 상기 함수는 다항식 적합도에 의한 근사화되는 공정 윈도우 변동들을 통하여 상기 리소그래피 공정과 연계된 공정 윈도우 변동들을 나타냄 -;
    상기 함수를 이용하여 상기 시뮬레이션된 이미지를 생성하는 단계- 상기 시뮬레이션된 이미지는 상기 리소그래피 공정에 대한 타겟 디자인의 이미징 결과를 나타냄 -; 및
    상기 시뮬레이션된 이미지를 분석함으로써 상기 피처 에지 시프트 또는 CD 변화를 추정하는 단계를 포함하는 추정 방법.
  11. 제 10 항에 있어서,
    상기 함수는 포커스 및 도즈 변동에서의 변동들을 나타내고, 상기 함수는 다음과 같이 정의되며:
    Figure 112008083747731-pat00065
    이때 S는 공칭 포커스 및 노광에서의 레지스트 이미지 기울기(slope)를 나타내고, I0는 공칭 에어리얼 이미지를 나타내며, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내고, 파라미터 "a" 및 "b"는 포커스 변화에 대한 1 차 및 2 차 미분 에어리얼 이미지들을 나타내며, P{}는 레지스트 모델로서 필터를 적용하는 기능적 작용을 나타내는 추정 방법.
  12. 복수의 피처들을 갖는 타겟 디자인을 이미징하는데 이용된 리소그래피 공정의 이미징 성능을 시뮬레이션하는 컴퓨터 프로그램을 포함(bear)하는 컴퓨터 판독가능한 매체를 갖는 컴퓨터 프로그램 제품에 있어서:
    상기 컴퓨터 프로그램은 실행되는 경우에,
    시뮬레이션된 이미지를 생성하는 함수를 결정하는 단계- 상기 함수는 다항식 적합도에 의한 근사화되는 공정 윈도우 변동들을 통하여 상기 리소그래피 공정과 연계된 공정 윈도우 변동들을 설명함 -; 및
    상기 함수를 이용하여 상기 시뮬레이션된 이미지를 생성하는 단계- 상기 시뮬레이션된 이미지는 상기 리소그래피 공정에 대한 상기 타겟 디자인의 이미징 결과를 나타냄 -를 컴퓨터가 수행하게 하는 컴퓨터 프로그램 제품.
  13. 제 12 항에 있어서,
    상기 다항식 적합도는 상기 공정 윈도우 변동들을 예측하기 위하여 포커스 변화에 대한 미분 이미지들을 포함하고, 상기 공정 윈도우 변동들은 포커스 및 노광 도즈 중 1 이상의 변동들을 포함하는 컴퓨터 프로그램 제품.
  14. 제 12 항에 있어서,
    상기 공정 윈도우 변동들은 개구수 및 시그마 중 1 이상을 포함한 광학 세팅들의 변동들을 더 포함하는 컴퓨터 프로그램 제품.
  15. 제 12 항에 있어서,
    포커스 및 도즈(노광) 변동을 갖는 에어리얼 이미지들을 시뮬레이션하는 상기 함수는 다음과 같이 정의되고:
    Figure 112008083747731-pat00066
    이때 I0는 공칭 포커스 및 노광에서의 이미지 세기를 나타내며, f0는 공칭 포커스를 나타내고, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내며, 파라미터 "a" 및 "b"는 포커스 변화에 대한 1 차 및 2 차 미분 이미지들을 나타내는 컴퓨터 프로그램 제품.
  16. 제 12 항에 있어서,
    포커스 및 도즈(노광) 변동을 갖는 에어리얼 이미지들을 시뮬레이션하는 상기 함수는 다음과 같이 정의되고:
    Figure 112008083747731-pat00067
    이때 I0는 공칭 포커스 및 노광에서의 이미지 세기를 나타내며, f0는 공칭 포커스를 나타내고, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내며, 파라미터 "a" 및 "b"는 상이한 포커스들에서의 일련의 에어리얼 이미지들의 최적 적합도를 나타내는 컴퓨터 프로그램 제품.
  17. 제 12 항에 있어서,
    포커스 및 도즈(노광) 변동을 갖는 에어리얼 이미지들을 시뮬레이션하는 상기 함수는 다음과 같이 정의되고:
    Figure 112008083747731-pat00068
    이때 I0는 공칭 포커스 및 노광에서의 이미지 세기를 나타내며, f0는 공칭 포커스를 나타내고, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내며, 파라미터 "a" 및 "b"는 상이한 포커스들에서의 일련의 TCC들의 선형 조합들인 TCC들(A 및 B)로부터 계산된 에어리얼 이미지들을 나타내는 컴 퓨터 프로그램 제품.
  18. 제 12 항에 있어서,
    포커스 및 도즈(노광) 변동을 갖는 에어리얼 이미지들을 시뮬레이션하는 상기 함수는 다음과 같이 정의되고:
    Figure 112008083747731-pat00069
    이때 I0는 공칭 포커스 및 노광에서의 이미지 세기를 나타내며, f0는 공칭 포커스를 나타내고, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내며, 파라미터 "a" 및 "b"는 포커스 변화에 대한 1 차 및 2 차 미분 TCC들인 TCC들(A 및 B)로부터 계산된 에어리얼 이미지들을 나타내는 컴퓨터 프로그램 제품.
  19. 제 15 항에 있어서,
    상기 시뮬레이션된 이미지는 레지스트 이미지에 대응하는 컴퓨터 프로그램 제품.
  20. 제 19 항에 있어서,
    포커스 및 도즈 변동을 갖는 상기 레지스트 이미지를 시뮬레이션하는 상기 함수는 다음과 같이 정의되고:
    Figure 112008083747731-pat00070
    이때 R0는 공칭 포커스 및 노광에서의 이미지 세기를 나타내며, I0는 공칭 에어리얼 이미지를 나타내고, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내며, 파라미터 "a" 및 "b"는 포커스 변화에 대한 1 차 및 2 차 미분 에어리얼 이미지들을 나타내고, P{}는 레지스트 모델로서 필터를 적용하는 기능적 작용을 나타내는 컴퓨터 프로그램 제품.
  21. 리소그래피 공정의 공정 윈도우 파라미터 변동으로 인한 피처 에지 시프트 또는 임계 치수(CD) 변화를 추정하는 컴퓨터 프로그램을 포함하는 컴퓨터 판독가능한 매체를 갖는 컴퓨터 프로그램 제품에 있어서:
    상기 컴퓨터 프로그램은 실행되는 경우에,
    시뮬레이션된 이미지를 생성하는 함수를 결정하는 단계- 상기 함수는 다항식 적합도에 의한 근사화되는 공정 윈도우 변동들을 통하여 상기 리소그래피 공정과 연계된 공정 윈도우 변동들을 나타냄 -;
    상기 함수를 이용하여 상기 시뮬레이션된 이미지를 생성하는 단계- 상기 시뮬레이션된 이미지는 상기 리소그래피 공정에 대한 타겟 디자인의 이미징 결과를 나타냄 -; 및
    상기 시뮬레이션된 이미지를 분석함으로써 상기 피처 에지 시프트 또는 CD 변화를 추정하는 단계를 컴퓨터가 수행하게 하는 컴퓨터 프로그램 제품.
  22. 제 21 항에 있어서,
    상기 함수는 포커스 및 도즈 변동에서의 변동들을 설명하고, 상기 함수는 다음과 같이 정의되며:
    Figure 112008083747731-pat00071
    이때 S는 공칭 포커스 및 노광에서의 레지스트 이미지 기울기를 나타내고, I0는 공칭 에어리얼 이미지를 나타내며, f 및 ε는 상기 시뮬레이션된 이미지가 계산되는 실제 포커스-노광 레벨을 나타내고, 파라미터 "a" 및 "b"는 포커스 변화에 대한 1 차 및 2 차 미분 에어리얼 이미지들을 나타내며, P{}는 레지스트 모델로서 필터를 적용하는 기능적 작용을 나타내는 컴퓨터 프로그램 제품.
KR1020080122593A 2007-12-05 2008-12-04 리소그래피 공정 윈도우 시뮬레이션을 위한 시스템 및 방법들 KR101043016B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US99254607P 2007-12-05 2007-12-05
US60/992,546 2007-12-05

Publications (2)

Publication Number Publication Date
KR20090059058A KR20090059058A (ko) 2009-06-10
KR101043016B1 true KR101043016B1 (ko) 2011-06-21

Family

ID=40734528

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080122593A KR101043016B1 (ko) 2007-12-05 2008-12-04 리소그래피 공정 윈도우 시뮬레이션을 위한 시스템 및 방법들

Country Status (6)

Country Link
US (3) US8200468B2 (ko)
JP (2) JP5016585B2 (ko)
KR (1) KR101043016B1 (ko)
CN (1) CN101452221B (ko)
NL (1) NL1036189A1 (ko)
TW (1) TWI402631B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130043568A (ko) * 2011-10-20 2013-04-30 삼성전자주식회사 나노구조의 cd에 대한 광학 측정 시스템 및 측정 방법
KR101501580B1 (ko) * 2010-11-10 2015-03-11 에이에스엠엘 네델란즈 비.브이. 투영 광학기에 의한 광 조작을 포함하는 패턴-의존적 근접성 매칭/조율

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007133755A2 (en) * 2006-05-15 2007-11-22 Rudolph Technologies, Inc. Structure model description and use for scatterometry-based semiconductor manufacturing process metrology
US8611637B2 (en) * 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
NL1036018A1 (nl) * 2007-10-09 2009-04-15 Asml Netherlands Bv A method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus.
JP5040803B2 (ja) * 2008-05-15 2012-10-03 富士通セミコンダクター株式会社 プログラム及び記録媒体
WO2010005957A1 (en) * 2008-07-07 2010-01-14 Brion Technologies, Inc. Illumination optimization
US7954071B2 (en) * 2008-10-31 2011-05-31 Synopsys, Inc. Assist feature placement based on a focus-sensitive cost-covariance field
NL2003699A (en) * 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8402399B2 (en) * 2010-04-30 2013-03-19 International Business Machines Corporation Method and system for computing fourier series coefficients for mask layouts using FFT
US8910093B2 (en) * 2010-09-29 2014-12-09 Nikon Corporation Fast photoresist model
NL2007642A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8577489B2 (en) * 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US9059101B2 (en) * 2011-07-07 2015-06-16 Lam Research Corporation Radiofrequency adjustment for instability management in semiconductor processing
WO2013010162A2 (en) 2011-07-14 2013-01-17 General Electric Company Method and system for rail vehicle control
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
FR2985827B1 (fr) * 2012-01-17 2014-01-31 St Microelectronics Sa Procede de test d'un circuit integre
NL2010163A (en) 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
US9940427B2 (en) 2012-02-09 2018-04-10 Asml Netherlands B.V. Lens heating aware source mask optimization for advanced lithography
JP5869942B2 (ja) * 2012-04-03 2016-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation マスクのデザイン方法、プログラムおよびマスクデザインシステム
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
CN104395828B (zh) 2012-05-31 2018-02-02 Asml荷兰有限公司 基于梯度的图案和评价点选择
US9322640B2 (en) * 2012-08-07 2016-04-26 Samsing Electronics Co., Ltd. Optical measuring system and method of measuring critical size
CN103631083B (zh) * 2012-08-20 2016-08-31 中芯国际集成电路制造(上海)有限公司 一种光学邻近修正的焦平面选择方法
NL2011592A (en) 2012-10-31 2014-05-06 Asml Netherlands Bv Compensation for patterning device deformation.
JP6140844B2 (ja) 2013-02-22 2017-05-31 エーエスエムエル ネザーランズ ビー.ブイ. 三次元パターニングデバイス用リソグラフィモデル
US10365557B2 (en) * 2013-02-24 2019-07-30 Synopsys, Inc. Compact OPC model generation using virtual data
KR101807687B1 (ko) 2013-02-25 2017-12-11 에이에스엠엘 네델란즈 비.브이. 이산 소스 마스크 최적화
CN103543598B (zh) * 2013-09-22 2016-04-13 华中科技大学 一种光刻掩模优化设计方法
KR102137072B1 (ko) 2013-10-01 2020-07-24 에이에스엠엘 네델란즈 비.브이. 프로파일 인식 소스-마스크 최적화
WO2015101458A1 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
EP3105637A1 (en) 2014-02-11 2016-12-21 ASML Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
JP6386569B2 (ja) * 2014-02-12 2018-09-05 エーエスエムエル ネザーランズ ビー.ブイ. プロセスウィンドウを最適化する方法
US10386727B2 (en) 2014-03-18 2019-08-20 Asml Netherlands B.V. Pattern placement error aware optimization
WO2015158444A1 (en) 2014-04-14 2015-10-22 Asml Netherlands B.V. Flows of optimization for lithographic processes
US9262820B2 (en) 2014-05-19 2016-02-16 United Microelectronics Corporation Method and apparatus for integrated circuit design
JP6491677B2 (ja) 2014-06-10 2019-03-27 エーエスエムエル ネザーランズ ビー.ブイ. 計算的ウェーハ検査
WO2015197313A1 (en) 2014-06-25 2015-12-30 Asml Netherlands B.V. Etch variation tolerant optimization
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
KR102180027B1 (ko) * 2014-09-19 2020-11-17 삼성전자 주식회사 최적의 포커스 및 도즈를 결정하기 위한 노광 공정 계측 방법 및 이를 이용한 노광 공정 모니터링 방법
KR102021450B1 (ko) 2014-09-22 2019-11-04 에이에스엠엘 네델란즈 비.브이. 공정 윈도우 식별자
KR102084048B1 (ko) 2014-10-02 2020-03-03 에이에스엠엘 네델란즈 비.브이. 어시스트 피처들의 규칙-기반 배치
US10409165B2 (en) 2014-12-15 2019-09-10 Asml Netherlands B.V. Optimization based on machine learning
US10372043B2 (en) 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
US10685158B2 (en) 2014-12-18 2020-06-16 Asml Netherlands B.V. Lithography model for 3D features
US10514614B2 (en) 2015-02-13 2019-12-24 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
TWI620980B (zh) 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
WO2016142169A1 (en) 2015-03-06 2016-09-15 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
KR102077173B1 (ko) 2015-03-16 2020-02-13 에이에스엠엘 네델란즈 비.브이. 레지스트 변형을 결정하는 방법들
TWI571701B (zh) * 2015-04-30 2017-02-21 力晶科技股份有限公司 偵測微影熱點的方法
WO2016184664A1 (en) 2015-05-20 2016-11-24 Asml Netherlands B.V. Coloring aware optimization
WO2016192964A1 (en) 2015-05-29 2016-12-08 Asml Netherlands B.V. Simulation of lithography using multiple-sampling of angular distribution of source radiation
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
US10416566B2 (en) 2015-12-14 2019-09-17 Asml Netherlands B.V. Optimization of source and bandwidth for new and existing patterning devices
CN108700818B (zh) * 2015-12-22 2020-10-16 Asml荷兰有限公司 用于过程窗口表征的设备和方法
US11094502B2 (en) 2015-12-24 2021-08-17 Asml Netherlands B.V. Method and apparatus for inspection
US10962886B2 (en) 2015-12-31 2021-03-30 Asml Netherlands B.V. Selection of measurement locations for patterning processes
CN108700802A (zh) 2015-12-31 2018-10-23 Asml荷兰有限公司 蚀刻辅助特征
US11112700B2 (en) 2016-03-24 2021-09-07 Asml Netherlands B.V. Optimization of a lithographic projection apparatus accounting for an interlayer characteristic
US10796063B2 (en) 2016-04-14 2020-10-06 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
US11443083B2 (en) 2016-05-12 2022-09-13 Asml Netherlands B.V. Identification of hot spots or defects by machine learning
WO2017194285A1 (en) 2016-05-12 2017-11-16 Asml Netherlands B.V. Displacement based overlay or alignment
KR102205364B1 (ko) 2016-05-17 2021-01-20 에이에스엠엘 네델란즈 비.브이. 관통-파장 유사성에 기초한 계측 견실성 향상 기술
WO2017202602A1 (en) 2016-05-23 2017-11-30 Asml Netherlands B.V. Selection of substrate measurement recipes
WO2017215944A1 (en) 2016-06-15 2017-12-21 Asml Netherlands B.V. Substrate measurement recipe configuration to improve device matching
US10896282B2 (en) 2016-07-12 2021-01-19 Asml Netherlands B.V. Visualization performance metrics of computational analyses of design layouts
CN109844643A (zh) 2016-08-19 2019-06-04 Asml荷兰有限公司 对曝光后过程进行建模
EP3291007A1 (en) 2016-08-30 2018-03-07 ASML Netherlands B.V. Patterning stack optimization
KR102293144B1 (ko) 2016-09-01 2021-08-26 에이에스엠엘 네델란즈 비.브이. 계측 타겟 측정 레시피의 자동 선택
WO2018050432A1 (en) 2016-09-13 2018-03-22 Asml Netherlands B.V. Optimization of a lithography apparatus or patterning process based on selected aberration
KR102248121B1 (ko) 2016-10-24 2021-05-06 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 패턴을 최적화하는 방법
WO2018099716A1 (en) 2016-12-01 2018-06-07 Asml Netherlands B.V. Method and system for pattern configuration
US10795267B2 (en) 2016-12-02 2020-10-06 Asml Netherlands B.V. Model for estimating stochastic variation
KR102390216B1 (ko) 2016-12-23 2022-04-25 에이에스엠엘 네델란즈 비.브이. 패턴 충실도 제어를 위한 방법 및 장치
US11016395B2 (en) 2016-12-28 2021-05-25 Asml Netherlands B.V. Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device
KR102370271B1 (ko) 2016-12-28 2022-03-04 에이에스엠엘 네델란즈 비.브이. 제조 공정 시 공정 모델들의 안내 및 검사 방법들
US11614690B2 (en) 2017-01-26 2023-03-28 Asml Netherlands B.V. Methods of tuning process models
KR102376267B1 (ko) 2017-02-22 2022-03-18 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 상의 유한한 두께들의 구조체들에 의한 방사선의 산란을 결정하는 방법들
KR102449586B1 (ko) 2017-02-24 2022-10-04 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
US10901322B2 (en) 2017-05-12 2021-01-26 Asml Netherlands B.V. Methods for evaluating resist development
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
WO2018224349A1 (en) 2017-06-06 2018-12-13 Asml Netherlands B.V. Measurement method and apparatus
KR102597444B1 (ko) 2017-07-12 2023-11-03 에이에스엠엘 네델란즈 비.브이. 결함 예측
EP3441819A1 (en) 2017-08-07 2019-02-13 ASML Netherlands B.V. Computational metrology
CN110998449B (zh) 2017-08-07 2022-03-01 Asml荷兰有限公司 计算量测
CN107633010B (zh) * 2017-08-14 2020-06-19 中南大学 一种复杂造型grc板块图像的识别方法和系统
WO2019063206A1 (en) 2017-09-27 2019-04-04 Asml Netherlands B.V. METHOD FOR DETERMINING CONTROL PARAMETERS OF DEVICE MANUFACTURING PROCESS
EP3462240A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
KR102516045B1 (ko) 2017-10-11 2023-03-30 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
KR102545141B1 (ko) * 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
EP3492983A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Systems and methods for predicting layer deformation
CN111433680B (zh) 2017-12-04 2023-01-20 Asml荷兰有限公司 用于预测层变形的系统和方法
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
US11448973B2 (en) 2017-12-19 2022-09-20 Asml Netherlands B.V. Computational metrology based correction and control
CN116482939A (zh) 2017-12-22 2023-07-25 Asml荷兰有限公司 涉及光学像差的图案化过程改进
WO2019121486A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
US11966167B2 (en) 2017-12-22 2024-04-23 Asml Netherlands B.V. Systems and methods for reducing resist model prediction errors
CN111670411B (zh) 2018-01-24 2023-11-14 Asml荷兰有限公司 基于计算量测的采样方案
WO2019145278A1 (en) 2018-01-26 2019-08-01 Asml Netherlands B.V. Pre-scan feature determination methods and systems
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
US11379970B2 (en) 2018-02-23 2022-07-05 Asml Netherlands B.V. Deep learning for semantic segmentation of pattern
KR102481755B1 (ko) 2018-02-23 2022-12-29 에이에스엠엘 네델란즈 비.브이. 가이드 패터닝 디바이스 검사
EP3531206A1 (en) 2018-02-23 2019-08-28 ASML Netherlands B.V. Systems and methods for improving resist model predictions
WO2019162346A1 (en) 2018-02-23 2019-08-29 Asml Netherlands B.V. Methods for training machine learning model for computation lithography
US10209636B1 (en) 2018-03-07 2019-02-19 Sandisk Technologies Llc Exposure focus leveling method using region-differentiated focus scan patterns
KR102641864B1 (ko) 2018-03-19 2024-02-29 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법
US10705420B2 (en) * 2018-05-15 2020-07-07 Asml Us, Llc Mask bias approximation
CN117724300A (zh) 2018-06-15 2024-03-19 Asml荷兰有限公司 基于机器学习的逆光学邻近效应校正和过程模型校准
KR20230065371A (ko) 2018-06-25 2023-05-11 에이에스엠엘 네델란즈 비.브이. 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
CN112543892A (zh) 2018-07-26 2021-03-23 Asml荷兰有限公司 针对模拟系统的用于确定晶片的层的蚀刻轮廓的方法
CN110824829B (zh) * 2018-08-07 2023-06-02 中芯国际集成电路制造(上海)有限公司 一种建立opc模型的方法以及光学邻近修正方法
EP3614194A1 (en) 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
WO2020043474A1 (en) 2018-08-31 2020-03-05 Asml Netherlands B.V. Measurement method and apparatus
JP7126412B2 (ja) * 2018-09-12 2022-08-26 東京エレクトロン株式会社 学習装置、推論装置及び学習済みモデル
TW202020577A (zh) 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
US20210357566A1 (en) 2018-10-17 2021-11-18 Asml Netherland B.V. Methods for generating characteristic pattern and training machine learning model
CN112969968A (zh) 2018-11-08 2021-06-15 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
WO2020094389A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
EP3650940A1 (en) 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
KR20210082247A (ko) 2018-11-30 2021-07-02 에이에스엠엘 네델란즈 비.브이. 기계 학습 모델 예측 내의 불확실성을 감소시키기 위한 방법.
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
US11580289B2 (en) 2018-11-30 2023-02-14 Asml Netherlands B.V. Method for determining patterning device pattern based on manufacturability
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
US20220028052A1 (en) 2018-12-14 2022-01-27 Asml Netherlands B.V. Apparatus and method for grouping image patterns to determine wafer behavior in a patterning process
KR102656552B1 (ko) 2018-12-28 2024-04-12 에이에스엠엘 네델란즈 비.브이. 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
KR20230175346A (ko) 2018-12-28 2023-12-29 에이에스엠엘 네델란즈 비.브이. 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
US11709988B2 (en) 2018-12-31 2023-07-25 Asml Netherlands B.V. Method for predicting resist deformation
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
EP3906495A1 (en) * 2019-02-08 2021-11-10 Siemens Industry Software Inc. Die yield assessment based on pattern-failure rate simulation
WO2020169355A1 (en) 2019-02-20 2020-08-27 Asml Netherlands B.V. A method for characterizing a manufacturing process of semiconductor devices
WO2020173654A1 (en) 2019-02-25 2020-09-03 Asml Netherlands B.V. Method for determining stochastic variation of printed patterns
CN113508339A (zh) * 2019-02-27 2021-10-15 Asml荷兰有限公司 用于模型校准的改进量规选择
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
KR102648599B1 (ko) 2019-04-09 2024-03-15 에이에스엠엘 네델란즈 비.브이. 설비 위치들 사이에서 예측 모델을 조절하기 위한 시스템 및 방법
CN113924525A (zh) 2019-04-15 2022-01-11 Asml荷兰有限公司 用于确定对掩模的特征的校正的方法
CN113874787B (zh) 2019-05-21 2024-04-16 Asml荷兰有限公司 用于确定与期望图案相关联的随机变化的方法
CN114096917B (zh) 2019-07-10 2024-04-16 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择
CN114222949A (zh) 2019-08-13 2022-03-22 Asml荷兰有限公司 用于计算特征的建模方法
WO2021028228A1 (en) 2019-08-13 2021-02-18 Asml Netherlands B.V. Method for training machine learning model for improving patterning process
WO2021032448A1 (en) 2019-08-20 2021-02-25 Asml Netherlands B.V. Methods for improving process based contour information of structure in image
CN114402262A (zh) 2019-08-30 2022-04-26 Asml荷兰有限公司 半导体器件几何方法和系统
KR20220039802A (ko) 2019-09-03 2022-03-29 에이에스엠엘 네델란즈 비.브이. 패턴들의 수차 감도를 결정하는 방법
EP3789826A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. Method for determining defectiveness of pattern based on after development image
KR20220053029A (ko) 2019-09-05 2022-04-28 에이에스엠엘 네델란즈 비.브이. 현상 후 이미지에 기초하여 패턴의 결함이 있음을 결정하는 방법
WO2021043551A1 (en) 2019-09-06 2021-03-11 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
CN114402342A (zh) 2019-09-16 2022-04-26 Asml荷兰有限公司 用于生成特性图案以及训练机器学习模型的方法
WO2021069153A1 (en) 2019-10-08 2021-04-15 Asml Netherlands B.V. Method for determining a field-of-view setting
EP3822703A1 (en) 2019-11-18 2021-05-19 ASML Netherlands B.V. Method for determining a field-of-view setting
WO2021115766A1 (en) 2019-12-13 2021-06-17 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
CN110703438B (zh) * 2019-12-16 2020-04-17 墨研计算科学(南京)有限公司 一种基于极坐标矢量计算光刻模型的方法及装置
WO2021165419A1 (en) 2020-02-21 2021-08-26 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
CN111338179B (zh) * 2020-04-17 2021-07-06 中国科学院上海光学精密机械研究所 基于多宽度表征的全芯片光源掩模优化关键图形筛选方法
CN115698850A (zh) 2020-06-03 2023-02-03 Asml荷兰有限公司 用于产生图案形成装置且因此产生图案的系统、产品和方法
EP4165471A1 (en) 2020-06-10 2023-04-19 ASML Netherlands B.V. Aberration impact systems, models, and manufacturing processes
US20230221652A1 (en) 2020-07-03 2023-07-13 Asml Netherlans B. V. Process window based on failure rate
CN116250018A (zh) 2020-07-14 2023-06-09 Asml荷兰有限公司 用于生成去噪模型的设备和方法
WO2022017705A1 (en) 2020-07-22 2022-01-27 Asml Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3945367A1 (en) 2020-07-31 2022-02-02 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
CN115885216A (zh) 2020-08-19 2023-03-31 Asml荷兰有限公司 用于基于图像的图案选择的系统、产品和方法
NL2026610B1 (en) * 2020-10-02 2022-06-03 Xeikon Prepress Nv Method and system to determine an exposure time and/or intensity to be used for obtaining a desired feature of a relief structure
WO2022078740A1 (en) 2020-10-13 2022-04-21 Asml Netherlands B.V. Apparatus and methods to generate deblurring model and deblur image
US20240054669A1 (en) 2020-12-15 2024-02-15 Asml Netherlands B.V. Apparatus and method for determining three dimensional data based on an image of a patterned substrate
CN116648672A (zh) 2020-12-18 2023-08-25 Asml荷兰有限公司 用于确定掩模图案和训练机器学习模型的方法
US20240104284A1 (en) 2020-12-21 2024-03-28 Asml Netherlands B.V. Feature based cell extraction for pattern regions
CN116648704A (zh) 2020-12-21 2023-08-25 Asml荷兰有限公司 用于提取特征向量以辨识图案物体的特征提取方法
JP2024500075A (ja) 2020-12-23 2024-01-04 エーエスエムエル ネザーランズ ビー.ブイ. 帯域幅及びスペックルに基づくリソグラフィプロセスの最適化
KR20230147096A (ko) 2021-02-23 2023-10-20 에이에스엠엘 네델란즈 비.브이. 타겟 패턴 및 기준 층 패턴을 사용하여 마스크에 대한 광 근접 보정을 결정하는 기계 학습 모델
CN116940896A (zh) 2021-03-03 2023-10-24 Asml荷兰有限公司 图案化过程的配置
WO2022248217A1 (en) 2021-05-25 2022-12-01 Asml Netherlands B.V. Determining mask rule check violations and mask design
WO2022258398A1 (en) 2021-06-07 2022-12-15 Asml Netherlands B.V. Determining rounded contours for lithography related patterns
CN117480449A (zh) 2021-06-18 2024-01-30 Asml荷兰有限公司 使用机器学习模型产生辅助特征的计算机可读介质
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
KR20240029778A (ko) 2021-07-06 2024-03-06 에이에스엠엘 네델란즈 비.브이. 이미지 예측에서 기계 학습 모델을 개선하기 위한 국부적 이미지 예측 에러 결정
WO2023016752A1 (en) 2021-08-10 2023-02-16 Asml Netherlands B.V. Match the aberration sensitivity of the metrology mark and the device pattern
CA3226512A1 (en) 2021-08-11 2023-02-16 Fuming Wang Mask defect detection
WO2023036593A1 (en) 2021-09-09 2023-03-16 Asml Netherlands B.V. Method for converting metrology data
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
WO2023066657A1 (en) 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
EP4194950A1 (en) 2021-12-08 2023-06-14 ASML Netherlands B.V. Systems and methods for reducing pattern shift in a lithographic apparatus
WO2023104504A1 (en) 2021-12-09 2023-06-15 Asml Netherlands B.V. Surrounding pattern and process aware metrology
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110347A1 (en) 2021-12-16 2023-06-22 Asml Netherlands B.V. Systems and methods for optimizing lithographic design variables using image-based failure rate model
WO2024012800A1 (en) 2022-07-11 2024-01-18 Asml Netherlands B.V. Systems and methods for predicting post-etch stochastic variation
WO2024013273A1 (en) 2022-07-14 2024-01-18 Asml Netherlands B.V. Determining mask rule check violations and mask design based on local feature dimension
WO2024022854A1 (en) 2022-07-28 2024-02-01 Asml Netherlands B.V. Training a machine learning model to generate mrc and process aware mask pattern
WO2024037837A1 (en) 2022-08-18 2024-02-22 Asml Netherlands B.V. Suppressing specular reflection of mask absorber and on- resolution field stitching
WO2024046691A1 (en) 2022-09-02 2024-03-07 Asml Netherlands B.V. Method for configuring a field of view of an inspection apparatus
CN115933328B (zh) * 2022-12-16 2023-07-18 武汉宇微光学软件有限公司 一种基于凸优化的光刻模型标定方法和系统

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050078234A (ko) * 2004-01-30 2005-08-04 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
KR20050078225A (ko) * 2004-01-30 2005-08-04 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용한 리소그래피프로세스용 제조 신뢰성 점검 및 검증 방법
JP2007025034A (ja) 2005-07-13 2007-02-01 Toshiba Corp リソグラフィシミュレーション方法、マスクパターン作成方法および半導体装置の製造方法
KR20070057059A (ko) * 2005-11-30 2007-06-04 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조 방법

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (de) 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP3189215B2 (ja) * 1992-06-02 2001-07-16 エイチエヌエイ・ホールディングス・インコーポレーテッド ピールアパート法により現像された感光性印刷版
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP0890136B9 (en) 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US6171731B1 (en) * 1999-01-20 2001-01-09 Lsi Logic Corporation Hybrid aerial image simulation
TW436886B (en) * 1999-10-22 2001-05-28 United Microelectronics Corp Optical proximity correction method applied in negative photoresist
JP2003532306A (ja) * 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション リソグラフィ・プロセス制御のための方法およびシステム
JP2001350250A (ja) * 2000-06-05 2001-12-21 Mitsubishi Electric Corp パターン歪み補正装置、パターン歪み補正方法、およびパターン歪み補正プログラムを記録した記録媒体
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
JP4064617B2 (ja) * 2000-10-26 2008-03-19 株式会社東芝 マスクパターン補正方法、マスクパターン補正装置、マスクパターン補正プログラムを格納した記録媒体、及び半導体装置の製造方法
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
TWI285295B (en) 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
JP2002260979A (ja) * 2001-02-27 2002-09-13 Toshiba Corp パターン評価方法
AU2002245560A1 (en) 2001-03-20 2002-10-03 Numerial Technologies, Inc. System and method of providing mask defect printability analysis
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US6768958B2 (en) * 2002-11-26 2004-07-27 Lsi Logic Corporation Automatic calibration of a masking process simulator
JP2006512758A (ja) * 2002-12-30 2006-04-13 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ プロセス窓を最適化するリソグラフィ・パラメータの決定方法
US20050015233A1 (en) * 2003-07-17 2005-01-20 International Business Machines Corporation Method for computing partially coherent aerial imagery
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7343271B2 (en) * 2003-10-27 2008-03-11 International Business Machines Corporation Incorporation of a phase map into fast model-based optical proximity correction simulation kernels to account for near and mid-range flare
US7261985B2 (en) * 2004-03-12 2007-08-28 Litel Instruments Process for determination of optimized exposure conditions for transverse distortion mapping
WO2005103819A2 (en) * 2004-04-20 2005-11-03 Litel Instruments Method of emulation of lithographic projection tools
JP2007536581A (ja) * 2004-05-07 2007-12-13 メンター・グラフィクス・コーポレーション プロセス変動バンドを用いた集積回路レイアウト設計法
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
CN1800971A (zh) * 2004-09-14 2006-07-12 Asml蒙片工具有限公司 一种用于实施全芯片制造可靠性检查和校正的方法
US7544449B1 (en) * 2004-11-12 2009-06-09 Litel Instruments Method and apparatus for measurement of crossfield chromatic response of projection imaging systems
US7882456B2 (en) * 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
US7642019B2 (en) * 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
JP4425239B2 (ja) * 2005-05-16 2010-03-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
US7528934B2 (en) * 2005-05-16 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
JP4806020B2 (ja) * 2005-08-08 2011-11-02 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体
CN102662309B (zh) 2005-09-09 2014-10-01 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7642020B2 (en) * 2006-08-17 2010-01-05 International Business Machines Corporation Method for separating optical and resist effects in process models
US20080168410A1 (en) * 2006-10-09 2008-07-10 Mentor Graphics Corporation Properties In Electronic Design Automation
US7624369B2 (en) * 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7512927B2 (en) * 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
US8120753B2 (en) * 2006-11-08 2012-02-21 Asml Masktools B.V. Method, program product and apparatus for generating a calibrated pupil kernel and method of using the same in a lithography simulation process
US7564545B2 (en) * 2007-03-15 2009-07-21 Kla-Tencor Technologies Corp. Inspection methods and systems for lithographic masks
US8059884B2 (en) * 2007-11-08 2011-11-15 International Business Machines Corporation Method and system for obtaining bounds on process parameters for OPC-verification

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050078234A (ko) * 2004-01-30 2005-08-04 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
KR20050078225A (ko) * 2004-01-30 2005-08-04 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용한 리소그래피프로세스용 제조 신뢰성 점검 및 검증 방법
JP2007025034A (ja) 2005-07-13 2007-02-01 Toshiba Corp リソグラフィシミュレーション方法、マスクパターン作成方法および半導体装置の製造方法
KR20070057059A (ko) * 2005-11-30 2007-06-04 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101501580B1 (ko) * 2010-11-10 2015-03-11 에이에스엠엘 네델란즈 비.브이. 투영 광학기에 의한 광 조작을 포함하는 패턴-의존적 근접성 매칭/조율
KR20130043568A (ko) * 2011-10-20 2013-04-30 삼성전자주식회사 나노구조의 cd에 대한 광학 측정 시스템 및 측정 방법

Also Published As

Publication number Publication date
US20140005998A1 (en) 2014-01-02
NL1036189A1 (nl) 2009-06-08
US20120253774A1 (en) 2012-10-04
US8527255B2 (en) 2013-09-03
CN101452221B (zh) 2011-04-20
US9390206B2 (en) 2016-07-12
JP2012044222A (ja) 2012-03-01
JP5756739B2 (ja) 2015-07-29
JP2009141352A (ja) 2009-06-25
CN101452221A (zh) 2009-06-10
JP5016585B2 (ja) 2012-09-05
US20090157360A1 (en) 2009-06-18
TW200931200A (en) 2009-07-16
TWI402631B (zh) 2013-07-21
US8200468B2 (en) 2012-06-12
KR20090059058A (ko) 2009-06-10

Similar Documents

Publication Publication Date Title
KR101043016B1 (ko) 리소그래피 공정 윈도우 시뮬레이션을 위한 시스템 및 방법들
US10310371B2 (en) Method and system for lithography process-window-maximizing optical proximity correction
US8418088B2 (en) Methods and system for lithography calibration
US8542340B2 (en) Illumination optimization
JP5414455B2 (ja) リソグラフィモデル較正のためのパターン選択
US8893058B2 (en) Methods and system for model-based generic matching and tuning
KR100824031B1 (ko) 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
JP5461477B2 (ja) モデルベーススキャナ調整を実行する方法
US9053280B2 (en) Rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions
KR20180011263A (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140610

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150605

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160603

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170602

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee