JP5414455B2 - リソグラフィモデル較正のためのパターン選択 - Google Patents

リソグラフィモデル較正のためのパターン選択 Download PDF

Info

Publication number
JP5414455B2
JP5414455B2 JP2009245323A JP2009245323A JP5414455B2 JP 5414455 B2 JP5414455 B2 JP 5414455B2 JP 2009245323 A JP2009245323 A JP 2009245323A JP 2009245323 A JP2009245323 A JP 2009245323A JP 5414455 B2 JP5414455 B2 JP 5414455B2
Authority
JP
Japan
Prior art keywords
test patterns
pool
model parameters
lithography model
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009245323A
Other languages
English (en)
Other versions
JP2010117716A (ja
Inventor
カオ,ユ
シャオ,ウェンジン
イェ,ジュン
グーセンス,ロナルダス,ヨハネス,ジズベルタス
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2010117716A publication Critical patent/JP2010117716A/ja
Application granted granted Critical
Publication of JP5414455B2 publication Critical patent/JP5414455B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Computer Hardware Design (AREA)
  • Geometry (AREA)
  • Software Systems (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • Computational Mathematics (AREA)
  • Databases & Information Systems (AREA)
  • Algebra (AREA)
  • Pure & Applied Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Architecture (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

[0001] 本発明の技術分野は、一般に、リソグラフィプロセスに関連するモデル較正のためのテストパターンに関し、より具体的には、所与のプールのテストパターンから最適な一組のテストパターンを選択することに関する。
[0002] 例えば、集積回路(IC)の製造などにリソグラフィ装置を使用することができる。その場合、マスクは、ICの個々のレイヤに対応する回路パターンを含むことができ、このパターンを放射感応性材料(レジスト)のレイヤでコーティングされた基板(シリコンウェーハ)上のターゲット部分(例えば、1つ又は複数のダイを含む)上に結像することができる。一般に、1つのウェーハは、投影システムを介して一度に1つずつ連続的に照射される隣接するターゲット部分のネットワーク全体を含む。あるタイプのリソグラフィ投影装置では、マスクパターン全体をターゲット部分上に一度に露光することで各ターゲット部分が照射される。上記装置は、一般にウェーハステッパと呼ばれる。ステップアンドスキャン装置と一般に呼ばれる別の装置では、投影ビームが当たったマスクパターンを所与の基準方向(「スキャン」方向)に漸進的にスキャンしながら、これに同期してこの方向に平行又は逆平行に基板テーブルをスキャンすることで各ターゲット部分が照射される。一般に、投影システムは、倍率係数M(一般に、<1)を有するので、基板テーブルがスキャンされる速度Vは、係数Mにマスクテーブルのスキャン回数を乗じた値になる。本明細書に記載するリソグラフィデバイスに関する詳細情報は、例えば、本明細書に参照により組み込むものとする米国特許第6,046,792号から入手することができる。
[0003] リソグラフィ投影装置を用いた製造プロセスでは、放射感応性材料(レジスト)のレイヤで少なくとも部分的に覆われた基板上にマスクパターンが結像される。この結像ステップに先立って、プライミング、レジストコーティング、及びソフトベークなどの種々の手順を基板に対して行うことができる。露光後に、基板に対して、結像されたフィーチャの露光後ベーク(PEB)、現像、ハードベーク及び測定/検査などの他の手順を実行することができる。この一連の手順は、デバイス、例えば、ICの個々のレイヤにパターン形成する基礎として使用される。そのようなパターン形成されたレイヤについて、次に、個々のレイヤを完成させるためのエッチング、イオン注入(ドーピング)、金属化、酸化、化学的機械的研磨などの種々のプロセスを行うことができる。幾つかのレイヤが必要な場合、手順全体、又はその変形手順を新しいレイヤごとに繰り返す必要がある。最後に、デバイスのアレイが基板(ウェーハ)上に形成される。これらのデバイスは、次に、ダイシング又はのこ引きなどの技術によって互いに分離され、それによって個々のデバイスをピンなどに接続されたキャリア上に実現することができる。
[0004] 話を分かりやすくするため、以下、投影システムを「レンズ」と呼ぶことがある。しかし、この用語は、例えば、屈折光学系、反射光学系、及び反射屈折光学系を含む各種投影システムを含むものと広義に解釈すべきである。放射システムも、放射投影ビームを誘導し、整形し、又は制御する任意のこれらの設計タイプに従って動作するコンポーネントを含むことができ、そのようなコンポーネントも、以下に集合的又は単独で「レンズ」と呼ぶことがある。さらに、リソグラフィ装置は、2つ以上の基板テーブル(及び/又は2つ以上のマスクテーブル)を有するタイプであってもよい。そのような「マルチステージ」デバイスでは、追加のテーブルを平行して使用するか、又は1つ又は複数の他のテーブル上で準備ステップを実行しながら1つ又は複数の他のテーブルを露光に使用することができる。例えば、本明細書に参照により組み込むものとする米国特許第5,969,441号には、ツインステージリソグラフィ装置が記載されている。
[0005] 上記フォトリソグラフィマスクは、シリコンウェーハ上に集積する回路コンポーネントに対応する幾何学パターンを含む。そのようなマスクを作成するためのパターンは、このプロセスが多くの場合EDA(電子設計オートメーション)と呼ばれるCAD(コンピュータ支援設計)プログラムを用いて生成される。大半のCADプログラムは、機能マスクを作成するために一組の所定のデザインルールに従う。これらのルールは、処理及び設計の制限によって設定される。例えば、デザインルールは、回路デバイス(ゲート、コンデンサなど)又は相互接続線間の空間許容範囲を定義して、回路デバイス又は線が好ましくない形で相互動作しないようにする。デザインルールの限界は、「クリティカルディメンション」(CD:Critical Dimension)とも呼ばれる。回路のクリティカルディメンションは、線若しくは穴の最小幅又は2本の線若しくは2つの穴の間の最小空間として定義することができる。それ故、CDは、設計された回路の全体のサイズと密度とを決定する。集積回路の製作の目標の1つが元の回路設計をウェーハ上に(マスクを介して)忠実に再現することであるのは当然である。
[0006] 上記の通り、マイクロリソグラフィは半導体集積回路の製造の中心的ステップであり、半導体ウェーハ基板上に形成されたパターンによってマイクロプロセッサ、メモリチップなどの半導体デバイスの機能要素が画定される。フラットパネルディスプレイ、MEMS(micro-electro mechanical system)、その他のデバイスの形成にも同様のリソグラフィ技術が使用される。
[0007] 半導体製造プロセスが進歩し続けるにつれて、回路素子の寸法は絶え間なく縮小され、デバイスあたりのトランジスタなどの機能要素の数量は、「ムーアの法則」と一般に呼ばれるトレンドに従って、数十年間にわたり着実に増え続けてきた。現在の技術状態では、深紫外線レーザ光源からの照明を使用して基板上にマスクイメージを投影し、100nmを十分下回る寸法、すなわち、投影光の波長の半分未満の寸法を有する個々の回路フィーチャを作成するスキャナとして知られる光リソグラフィ投影システムを使用して最先端デバイスのクリティカルレイヤが製造される。
[0008] 光学投影システムの伝統的な解像限界より小さい寸法のフィーチャがプリントされるこのプロセスは、解像式CD=k1×λ/NAにより、一般にLow-k1リソグラフィとして知られており、式中、λは使用する放射線の波長(現在、大半のケースでは248nm又は193nm)であり、NAは投影光学系の開口数であり、CDは一般に最小プリントフィーチャサイズである「クリティカルディメンション」であり、k1は実験的解像因子である。一般に、特定の電気的機能性及び性能を達成するために回路設計者が計画した形状及び寸法に似ているパターンをウェーハ上に再現することは、k1が小さいほど困難になる。このような困難を克服するために、投影システム並びにマスク設計に精巧な微調整ステップが適用される。このステップとしては、例えば、NA及び光コヒーレンスの設定の最適化、カスタマイズした照明方式、位相シフトマスクの使用、マスクレイアウトの光近接効果補正、又は一般に「超解像技術」(RET:Resolution Enhancement Techniques)として定義されるその他の方法を含むが、これらに限定されない。
[0009] 重要な例の1つとして、光近接効果補正(OPC:Optical Proximity Correction、時には「光学及びプロセス補正(optical and process correction)」とも呼ばれる)は、ウェーハ上にプリントされたフィーチャの最終的なサイズ及び配置が単にマスク上の対応するフィーチャのサイズ及び配置の関数になるわけではないことに対処するものである。本明細書では「マスク」と「レチクル」という用語が区別なく使用されることは注目に値する。典型的な回路設計上に小さいフィーチャサイズ及び高いフィーチャ密度が存在する場合、所与のフィーチャの特定のエッジの位置は、他の隣接するフィーチャの有無によってある程度影響を受ける。このような近接効果はフィーチャ間で結合されるわずかな量の光から発生する。同様に、一般にリソグラフィ露光に続く露光後ベーク(PEB)、レジスト現像、及びエッチング中の拡散及びその他の化学効果から近接効果が発生する場合もある。
[0010] 所与のターゲット回路設計の要件に応じて半導体基板上にフィーチャが生成されることを保証するために、精巧な数値モデルを使用して近接効果を予測する必要があり、ハイエンドデバイスの正常な製造が可能になる前にマスクの設計に補正又は予歪を適用する必要がある。"Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005)という論文には、現在の「モデルベース」光近接効果補正プロセスの概要が示されている。典型的なハイエンド設計では、ターゲット設計に十分匹敵するプリントパターンを達成するために、ほとんどすべてのフィーチャエッジで何らかの変更が必要である。このような変更としては、エッジ位置又は線幅のシフト又はバイアス並びにそれ自体をプリントするためのものではない「サブレゾリューションアシスト」フィーチャ(”sub-resolution assist” feature)の適用を含むことができるが、関連の主要フィーチャの特性に影響するであろう。
[0011] 典型的にチップ設計内に数百万個のフィーチャが存在する場合、ターゲット設計にモデルベースのOPCを適用するには、良好なプロセスモデルと相当な計算資源が必要である。しかし、OPCの適用は、一般に、「精密科学(exact science)」ではないが、レイアウト上で発生する可能性のあるすべての弱点を必ず解決するわけではない実験的な反復過程である。従って、OPC後の設計、すなわち、OPC及び任意の他のRETによるすべてのパターン変更の適用後のマスクレイアウトは、設計欠陥がマスクセットの製造に組み込まれる可能性を最小限にするために、設計検査、すなわち、較正された数値プロセスモデルを使用する徹底的なフルチップシミュレーションによって検証する必要がある。これは、数百万ドルの範囲で行われるハイエンドマスクセット作成に要する膨大なコスト並びに製造された後で実際のマスクを再加工又は修理することによるターンアラウンドタイムへの影響によって余儀なくされる。
[0012] OPC及びフルチップRET検証はいずれも、例えば、米国特許第7,003,758号(P−2778)及び"Optimized Hardware and Software For Fast, Full Chip Simulation", by Y. Cao et al., Proc. SPIE, Vol. 5754, 405 (2005)という論文に記載されている数値モデリングシステム及び方法に基づくことができる。商用例として、ASMLは、設計並びに製造の際に使用するための一連のコンピュータリソグラフィ(「CL」)プロダクトを開発し販売している。このようなプロダクトの例としては、ASMLによるTachyon LMC、Tachyon OPC+、及びPattern Matcher Full Chipがある。
[0013] OPC及びRETはいずれも、リソグラフィプロセスを精密に記述するロバストモデルを必要とする。それ故、プロセスウィンドウの全域で有効かつロバストで正確なモデルを提供するようなリソグラフィモデルのための較正手順が必要である。現在、ウェーハ測定値とともに特定の数の1次元及び/又は2次元ゲージパターンを使用して較正が行われる。より具体的には、このような1次元ゲージパターンは変動ピッチ及びCDを有するラインスペースパターンであり、2次元ゲージパターンは典型的に、ラインエンド、コンタクト、及びランダムに選択されたSRAM(スタティックランダムアクセスメモリ)パターンを含む。次に、これらのパターンはウェーハ上に結像され、その結果のウェーハCD又はコンタクトエネルギーが測定される。次に、元のゲージパターンとそのウェーハ測定値を共同で使用して、モデル予測とウェーハ測定値との差を最小限にするモデルパラメータを決定する。
[0014] 現在の慣行では、ゲージパターンの選択はかなり任意である。単純に経験から選択される場合もあれば、実際の回路パターンからランダムに選択される場合もある。このようなパターンは往々にして、較正用としては不完全であるか、超完全であるか、あるいはその両方になる。特に、パラメータによってはすべてのパターンが極めて鈍感になり、それ故、測定が不正確なのでパラメータ値を求めるのが困難になる場合がある。これに対して、多くのパターンはパラメータ変動に対して非常によく似た応答を有する可能性があり、それ故、一部のパターンは冗長になり、このような冗長パターンにおけるウェーハ測定は多くの資源を空費する。
[0015] その一方では、CLモデルは、可能な幾何学的レイアウトパターンの非常に大きい集合全域で実際のオンウェーハ(on-wafer)パターン輪郭を正確に予測する必要がある。従って、使用するモデル公式の適切な選択とすべてのモデルパラメータについて正確に値を求めることはどちらも非常に重要である。
[0016] その上、CLモデルの較正では、モデルパラメータを最適化するために、選択されたテストパターンのウェーハCD測定が必要である。このようなメトロロジーデータの収集に係わる努力は重要である場合が多い。この努力を考慮すると、OPC適用例の場合、これらの較正は典型的にターゲットレイヤごとのテクノロジノードあたり1回しか行われない。製造時のCLプロダクトの場合、これらの較正は多くのスキャナについてある程度定期的に行う必要がある。従って、モデル較正手順は、結果のモデルの予測精度を損なわずに測定する必要があるテスト構造の数をどのように最小限するかという問題に対処しなければならない。
[0017] モデル較正の伝統的な手法は主として、物理的な回路設計業界にとって重要であることが知られている、これらのパターンの結像挙動について適切に記述することを目指している。典型的に、これには、それぞれが適切な範囲の幾何学的変形例についてインスタンス化された相当数のパターンタイプが必要である。最も重要な例は、ポリレイヤの場合、幾つかの頻繁に使用するトランジスタチャネル長(ポリラインCD)の場合、ならびに高密度ライン(最小ピッチ)から絶縁ラインまでのラインCD対ピッチである。しかし、現代のリソグラフィでは、光学的影響範囲(領域(ambit))は典型的なテスト構造よりかなり大きく、従って、事前選択された数の比較的小さいテストパターンの正確なモデリングによってそれぞれの実際の回路環境におけるこれらのパターンの正確な予測が保証されるということはもはや真実ではない。
[0018] ウェーハデータ収集はこの同じ焦点によって駆動され、モデル較正の際に使用するテストパターンの大多数は同じパターンであり、それについて適切な記述が必要である。同じ焦点は幾何学的変形例の選択の際にも現れる。所与のパターンタイプの場合、基礎をなす物理及びこのような変化に係わるモデルパラメータの数にかかわらず、結像又は電気応答が急速に変化する領域で多くの幾何学的事例が選択される。良い例の1つは、最短ポリCD、すなわち、デザインルール準拠の最短トランジスタゲート長周辺の領域である。しきい電圧と、それに伴うオン電流及びオフ電流は、設計されたポリゲートCDの関数として急速に変化するので、伝統により、この領域内の密接配置のゲートCDとともに非常に多数のテスト構造を使用するよう指示される。
[0019] 上記の手法の結果として、種々のパターンタイプについて重みを微調整する過程であって多くの場合に広範囲にわたる反復過程の後、実際にモデルは、特徴付けられたパターンに関する良好な予測を伝達し、それに加えて中間形状について適切かつ十分に補間する。このため、これらの方法は、光近接効果補正であったその主要用途のケースでは十分に機能していた。
[0020] しかし、この伝統的な手法にも幾つかの重大な欠点がある。第一に、定義済みパターンにおける強力な焦点は、モデルパラメータの適切な適用範囲及びこれらのパラメータによって表される「物理を励起する(exciting the physics)」ことに関する明確な考慮事項はまったく存在しないことを意味する。差別的なパターンが欠落しているので、パターンの判別が不十分になる場合もあれば、他のパラメータとともに高度の縮重を示す場合もある。いずれにしても、これらの方法は日常的に、モデルの特徴付けに含まれる条件の範囲外の結像挙動の変化を適切に記述することができない。
[0021] 第二に、較正方法によって捕捉された物理及び関連のモデルパラメータの一部について、この手法は経済的ではなく、測定値が多すぎるために本質的に冗長な情報が提供される。
[0022] OPCにおける元の従来の適用例の範囲外のコンピュータリソグラフィモデルの使用法が増加したことは、モデル較正手順も調整する必要があることを示し、結果のモデルは、a)較正テストデータに含まれないパターンタイプについて結像挙動を予測する際により良好なものになり、b)リソグラフィプロセス条件(関連のマスク、スキャナ、レジスト、又はエッチング)の変動について結像挙動を予測する際により良好なものになり、c)必要なメトロロジーの量に関してより質素になる。従って、伝統的な方法の上記その他の不足分に対処する必要がある。
[0023] 本発明は、とりわけ上述の要件に対処するモデル較正用のテストパターン選択の分野における幾つかの新機軸を提供する。幾つかの態様によれば、本発明のパターン選択アルゴリズムは、任意の既存プールの候補テストパターンに適用することができる。これらのパターンは、スキャナマッチャ(Scanner Matcher)などのツールの一部として含まれるテストマスク、そのOPCモデリング努力の一部としてOPC顧客によって開発されたテストマスク、OPC顧客によって使用されている実際のプロダクトレチクルからのパターンというソースの任意の組合せに由来する可能性がある。幾つかの態様によれば、本発明は、最適パターンの設計とは対照的に、既存プールの候補テストパターンから最適モデルパラメータ値を求める際に最も効果的なテストパターンを自動的に選択する。追加の諸態様によれば、本発明により選択されたテストパターンは、モデル公式においてすべての既知の物理及び化学を励起することができ、テストパターンに関するウェーハデータは、モデル公式によって課せられる予測精度の上限を実現する最適パラメータ値に応じたモデル較正を駆動できることを確認する。
[0024] 上記その他の態様を推進するために、本発明の諸実施形態によるコンピュータリソグラフィモデル較正のためのテストパターン選択の方法は、候補テストパターンのプールを識別すること、一組のリソグラフィモデルパラメータを識別すること、識別されたモデルパラメータの最適値を求める際に最も効果的な一組のテストパターンを候補テストパターンのプールから自動的に選択することを含む。
[0025] 上記その他の態様をさらに推進するために、本発明の諸実施形態によるコンピュータリソグラフィモデル較正のためのテストパターン選択の方法は、候補テストパターンのプール及び一組のモデルパラメータに対応する感度行列を作成すること、感度行列を使用して計算を実行すること、計算に基づいて候補テストパターンのプールから一組のテストパターンを選択することを含む。
[0026] 上記その他の態様をさらに推進するために、本発明の諸実施形態によるコンピュータリソグラフィモデル較正のためのテストパターン選択の方法は、リソグラフィモデルの正確さに関連する費用関数に対応する感度行列を開発することであって、感度行列が候補テストパターンのプールとリソグラフィモデルの一組のパラメータとの関係を確立すること、感度行列に関する計算を実行することによって候補テストパターンのプールから一組のテストパターンを選択することを含む。
[0027] 本稿では、ICの製造における本発明の使用への特定の言及がなされているかもしれないが、本発明は、多数の他の可能な用途を有することを明確に理解されたい。例えば、磁気ドメインメモリ、液晶表示パネル、薄膜磁気ヘッド用の集積光学系、案内及び検出パターンの製造に採用することができる。当業者であれば、このような別の用途の場合、本明細書で用いる「レチクル」、「ウェーハ」、又は「ダイ」という用語のいかなる使用もより一般的な用語である「マスク」、「基板」及び「ターゲット部分」という用語にそれぞれ置き換えることができることを理解することができるだろう。
[0028] 本明細書では、「放射」及び「ビーム」という用語は、紫外線(例えば、365、248、193、157又は126nmの波長を有する)及びEUV(極端紫外線、例えば、波長が5〜20nmの範囲)を含むすべてのタイプの電磁放射を含むために使用される。
[0029] 本明細書で使用するマスクという用語は、基板のターゲット部分に作成されるパターンに対応するパターン付き断面を入射放射ビームに与えるために使用できる汎用パターニング手段を指すものと広義に解釈することができ、これに関連して「ライトバルブ」という用語も使用することができる。典型的なマスク(透過又は反射;バイナリ、位相シフト、ハイブリッドなど)に加えて、他のこのようなパターニング手段の例としてはプログラマブルミラーアレイを含む。このようなデバイスの一例は、粘弾性制御レイヤと反射面を有するマトリクスアドレッサブル表面である。このような装置の基本原理は、(例えば)反射面のアドレスエリアが回折光として入射光を反射し、非アドレスエリアが非回折光として入射光を反射することである。適切なフィルタを使用すると、反射ビームから前記非回折光をフィルタで除去し、回折光のみを残すことができ、このように、ビームはマトリクスアドレッサブル表面のアドレッシングパターンに応じてパターン付きになる。適切な電子手段を使用して、必要なマトリクスアドレッシングを実行することができる。このようなミラーアレイに関する詳細情報は、例えば、本明細書に参照により組み込むものとする米国特許第5,296,891号及び第5,523,193号から入手することができる。
[0030] もう1つのパターニング手段はプログラマブルLCDアレイである。このような構造の一例は、本明細書に参照により組み込むものとする米国特許第5,229,872号に示されている。
[0031] 本発明の上記その他の態様及び特徴は、添付図面に併せて本発明の特定の諸実施形態に関する以下の説明を検討すると、当業者にとって明らかになる。
[0032]本発明の実現例によるリソグラフィシステムの種々のサブシステムのブロック図である。 [0033]図1のサブシステムに対応するシミュレーションモデルのブロック図である。 [0034]本発明によるテストパターン選択の方法の例の諸態様を示すフローダイアグラムである。 [0035]本発明の諸態様によるテストゲージのプールから一組のテストゲージを選択する方法の例を示すフローチャートである。 [0036]図5A、Bは本発明の諸実施形態による方法を使用した結果の例を示すグラフである。 [0036]図6A、Bは本発明の諸実施形態による方法を使用した結果の例を示すグラフである。 [0037]本発明の諸実施形態を実現可能なコンピュータシステムの例のブロック図である。 [0038]本発明の諸実施形態が適用可能なリソグラフィ投影装置のブロック図である。
[0039] 次に、当業者が本発明を実施できるように本発明の図示例として提供される図面に関連して本発明について説明する。注目すべきことに、以下の図及び例は本発明の範囲を単一の実施形態に限定するためのものではなく、説明又は例示された要素の一部又は全部を交換することにより他の実施形態が可能になる。その上、本発明の特定の要素が既知のコンポーネントを使用して部分的に又は完全に実現することができる場合、このような既知のコンポーネントのうち本発明の理解に必要な部分についてのみ説明し、本発明を曖昧にしないためにこのような既知のコンポーネントの他の部分に関する詳細な説明は省略する。ソフトウェアで実現されるものとして記載されている諸実施形態は、それに限定するべきではなく、本明細書に別段の指定がない限り、当業者にとって明らかになるように、ハードウェア又はソフトウェアとハードウェアの組合せで実現される諸実施形態を含むことができ、逆もまた同様である。本明細書では、単数形のコンポーネントを示す一実施形態は限定的であると見なすべきではなく、むしろ本発明は、本明細書に別段の明確な表明がない限り、複数の同じコンポーネントを含む他の諸実施形態を包含するものであり、逆もまた同様である。その上、本出願人は、このような明確な規定がない限り、本明細書又は特許請求の範囲内の任意の用語に珍しい意味又は特殊な意味を割り当てないものとする。さらに、本発明は、例示により本明細書で参照される既知のコンポーネントと同等で現在及び将来の既知のものを包含する。
[0040] 本発明について考察する前に、全体的なシミュレーション及び結像プロセスに関する簡単な考察を行う。図1は、模範的なリソグラフィ投影システム10を示している。主要コンポーネントは、深紫外線エキシマレーザ源にすることができる光源12と、部分コヒーレンス(シグマとして表示)を画定し、特定の光源整形光学系14、16a及び16bを含むことができる照明光学系と、マスク又はレチクル18と、ウェーハ面22上にレチクルパターンのイメージを生成する投影光学系16cである。瞳面のアジャスタブルフィルタ又はアパーチャ20は、最大可能角が投影光学系の開口数NA=sin(Θmax)を画定するウェーハ面22に衝突するビーム角の範囲を制限することができる。
[0041] リソグラフィシミュレーションシステムでは、例えば、図2に示されているように個別の機能モジュールでこれらの主要システムコンポーネントを記述することができる。図2を参照すると、機能モジュールとしては、ターゲット設計を画定する設計レイアウトモジュール26と、結像プロセスで使用するマスクを画定するマスクレイアウトモジュール28と、シミュレーションプロセス中に使用するマスクレイアウトのモデルを画定するマスクモデルモジュール30と、リソグラフィシステムの光学コンポーネントの性能を画定する光学モデルモジュール32と、所与のプロセスで使用するレジストの性能を画定するレジストモデルモジュール34とを含む。既知の通り、シミュレーションプロセスの結果は、例えば、結果モジュール36内に予測輪郭及びCDを生成する。
[0042] より具体的には、NA−シグマ(σ)設定並びに任意の特定の照明源形状を含むが、これらに限定されない照明及び投影光学系の特性が光学モデル32で捕捉されることは注目に値する。この場合、σ(又はシグマ)はイルミネータの外側半径範囲である。基板上にコーティングされたフォトレジストレイヤの光学特性、すなわち、屈折率、膜厚、伝搬及び偏光効果も光学モデル32の一部として捕捉することができる。マスクモデル30は、レチクルの設計上の特徴を捕捉し、例えば、同時係属の米国特許出願第11/530,402号(P−2792)に記載されているように、マスクの詳細な物理的性質の表現も含むことができる。最後に、レジストモデル34は、例えば、基板ウェーハ上に形成されたレジストフィーチャの輪郭を予測するために、レジスト露光、PEB及び現像中に行われる化学プロセスの効果を記述する。シミュレーションの目的は、例えば、ターゲット設計と比較可能なエッジ配置及びCDを正確に予測することである。ターゲット設計は、一般に、プレOPC(pre-OPC)マスクレイアウトと定義され、GDSII又はOASISなどの標準化されたデジタルファイルフォーマットで提供される。
[0043] 一般に、光学モデルとレジストモデルとの接続はレジストレイヤ内のシミュレートされた空間像であり、これは基板上への光の投影、レジスト境界面での屈折、並びにレジストフィルムスタック内の複数の反射から発生する。光強度分布(空間像)は、光子の吸収により潜在する「レジスト像(resist image)」になり、これは拡散プロセス及び種々のローディング効果によってさらに変更される。フルチップ適用に十分な高速である効率的なシミュレーション方法は、2次元空間(及びレジスト)像によってレジストスタック内の現実的な3次元強度分布を近似する。
[0044] それ故、CLモデル公式を使用して既知の物理及び化学を記述し、それぞれのモデルパラメータは別個の物理効果又は化学効果に対応し、モデル公式は較正されたモデルがどの程度現実を記述できるかに関する上限を設定する。
[0045] 本発明者らは、従って好ましいテストパターン選択結果(すなわち、「パターン適用範囲(pattern coverage)」)がモデル公式においてすべての既知の物理及び化学を励起できるはずであり、テストパターンに関するウェーハデータがモデル公式によって課せられる上限を実現する最適パラメータ値に応じたモデル較正を駆動できることを確認することを認識している。パターン選択は、モデルに含まれる物理効果及び化学効果を変更しないが、選択されたテストパターンに関するウェーハ測定値に基づいて、特定のリソグラフィプロセスでそれ自体を明らかにする程度を効率的に識別できるように、好ましくはこれらの効果を最大限に励起するのに役立つはずである。
[0046] 詳細には、図3は、本発明の諸態様によるテストパターン選択の方法が正確で効率的なCL結果を提供するモデルを較正する際にどのように有用であるかという例を示すフローダイアグラムである。
[0047] 上記で一般的に述べ、以下により詳細に記載する本発明は、一組のテストゲージ302からテストパターン304を選択することを含む。テストパターン304は、選択されたテストパターン304を使用して実際の露光及びウェーハ測定用のメトロロジーターゲット306を提供し、次にこれを使用して、露光を実行するために使用されるリソグラフィプロセス用のモデル308を較正する。較正されたモデル308はモデルパラメータ310を提供し、メトロロジーターゲット306の固有の測定不確定性は関連パラメータ信頼インターバル312(以下にさらに詳述する)をもたらす。モデルパラメータ310は、上述のものなどのCL技術によりパターンシミュレーション316を実行するためにプロダクトデバイス314とともに使用される。シミュレーション手順316では、モデルパラメータ信頼インターバル312はフルチップCD信頼インターバル318(以下にさらに詳述する)でそれ自体を明らかにする。
[0048] 上記の手法を使用すると、本発明の一般的な態様は、以下に詳述するテストパターンのプール302からステップ304で最適な一組のテストパターンを選択することである。
[0049] 一態様によれば、各テストパターンが1つ又は複数の特定のモデルパラメータ310に対して非常に敏感になるようにパターンが選択され、すなわち、パラメータのわずかな変化は、そのパターンのウェーハCDにおいて良好なシグマ対ノイズ比(sigma-to-noise ratio)を伴う観察可能な変化を誘導できるはずである。異なるモデルパラメータの効果を明確に区別できるようにさらにパターンが選択される。テストパターンの不必要な重複が選択結果に保持されないように、モデルパラメータ310に対して同様の感度を有するパターンが識別され、グループ化され、選択される。上記の選択を達成することにより、個別パラメータのそれぞれに対する高い感度並びに異なるモデルパラメータ310からの寄与に対する明確な区別を達成する最小の可能な一組のテストパターンが識別される。
[0050] 本発明者らは、最適パターン適用範囲のためにパターン選択304の際に単独又は種々の組合せで適用可能になる幾つかの数学的手法を特定した。
[0051] 第1の手法は、それぞれの個別パラメータ310に対する最大感度を求めることである。詳細には、その光学パラメータがスキャナ設定から得られ、レジストパラメータが第1の推定値から得られる「公称(nominal)」CLモデルの近傍の範囲内で、モデルパラメータに対するテストパターンCD「感度(sensitivity)」は以下のように定義される。
これは常に、各パラメータに関してテストパターン302ごとに定数になると想定することができる。次に、素朴な手法は、それぞれの個別モデルパラメータごとに、そのパラメータに対する最大感度を有するテストパターンを選択することである。この手法の問題は、異なるパラメータからの効果の分離を保証しないことであり、すなわち、同じ組のパターンが2つ以上のパラメータに対して敏感である可能性があるが、どのパラメータ又はどの組合せのパラメータが実際にウェーハCD変化を誘導するかを明確に判断できないことである。この状況は、パラメータ間の「縮重(degeneracy)」と呼ばれる。
[0052] 次の手法は最大密閉容積(Maximum enclosed volume)を求めることである。この手法は、「感度空間における密閉容積の最大化(maximization of enclosed volume in sensitivity spce)」と記載することもできる。モデルパラメータpに対するパターンgの感度をSpg(p=1...P,g=1...G)と示す。それぞれの点が予想テストパターンであり、p番目の軸上のg番目の点の座標Spgを有する、P次元感度空間内のグラフを想像されたい。所与のターゲット数Nの選択されたパターンについて、結果的に感度空間内の最大密閉容積が得られるN個のパターンからなるセットを見つけようと試みる。縮重は小さい密閉容積に至るので、この手法で縮重が防止される。この概念単独では、この最大化を達成するパターンを見つけるための具体的な手順が得られない。
[0053] 次の手法は、感度行列Spgについて主成分分析(PCA:Principal Component Analysis)を実行することである。この数学的に厳密な手法では、行列の主成分は、大半の感度の変動を捕捉するP次元空間内の方向であり、これらの方向は相互に直交する(垂直である)。このように、最大感度要件及び最小縮重要件は同時に満足することができる。
[0054] 次の手法は、モデルパラメータの信頼域312を見つけることであり、最適化ターゲットとして費用関数を設計することが望ましい場合、自然に思われる選択は、最小2乗最適化のための正規方程式内の共分散行列の逆数のトレースとして計算できるモデルパラメータの信頼域を使用することである(数学的公式については以下の説明を参照されたい)。この信頼域の最小化は感度空間における密閉容積の最大化と同等である。しかし、これらは異なる単位(unit)を有するので、モデルパラメータ間の相互作用を処理するために何らかの改善が必要になり、一般に、単位の選択の結果、幾つかのパラメータがパターン選択において他のものより有利になることは好ましくない。
[0055] 次の手法は、テストパターンCD318の予測の信頼域を見つけることである。異なる単位を有するモデルパラメータを処理するという問題を扱うために、アルゴリズムは、モデルパラメータ不確定性を計算し、CD予測不確定性に対するそれぞれの影響をシミュレートする。この問題は以下のように表明することができる。すなわち、モデルパラメータの信頼域から推定したように、パターンプールGそのもの又は実際のプロダクトレイアウトからの他のパターンプールになり得るターゲットセットのパターンに関するCD予測における2乗誤差の合計(sum-of-squared-error)の不確定性を最小限にするG個のテストパターンからなる候補プール内のN個のテストパターンの組を見つけることである。
[0056] 次に、本発明の諸態様により上述した信頼域(例えば、312及び318)に基づく費用関数の数学的公式の特定のアスペクトについてより詳細に説明する。
[0057] pによりリソグラフィプロセスにおける実際の物理及び化学を記述し、mはそのプロセスの公称モデル記述である。さらに、pp及びpmにより物理パラメータ及び公称モデルパラメータを表し、共通パラメータ指数jを使用して、実際のパラメータppjと公称モデルパラメータpmjとの概念上の対応を示す。
[0058] CDにより実際のモデル較正のためのパターンの最適サブセットをそこから選択するための候補テストパターンの組を示し、CDpによりこれらのパターン用の測定値の組を示し、CDmにより同じパターン用の公称モデル予測の組を示す。次にモデル較正のタスクは、入力としてpmj、CDp、及びCDmが与えられた場合にppjを求めることになる。
[0059] その公称値をスキャナ設定から抽出できる光学モデルパラメータの場合、並びにそれについて妥当な第1の推定値が存在するレジストモデルパラメータの場合、線形公式がpp及びpmの両方をカバーするパラメータ空間内の小さい近傍に適したものになるように、物理パラメータpp及び公称モデルパラメータpmは相互に十分近いものになる。この公式では、pjに関するCDmの1次導関数又は「線形感度(linear sensitivity)」を使用して、考慮中の小さい近傍内のフルモデルを展開する。シミュレートされたパターン感度は以下のように定義される。
モデル較正のタスクはpp,j−pm,jを求めることになる。
[0060] パターン選択手順は、G×Pの行列であるこの感度行列Smに基づくものになる。パターン選択のため、妥当に正確な初期モデルmから計算された感度行列が十分なものになると想定する。以下の考察では、感度行列の下付き文字mを省略する。
[0061] 以下の線形化されたモデル較正式から始める。
これは通常、過剰決定(over-determined)の式であり、pp,jは最小2乗の意味で適したものになる。ウェーハ測定値CDp,iが固有の不確定性(σiと示す)を有することを考慮すると、この式は
という行列形式に書き直すことができ、正規方程式
を使用して解くことができ、この場合、以下のようになる。
但し、行列A(行列標記)は「正規化(normalized)」感度行列(すなわち、メトロロジー不確定性が考慮される)である。
[0062] パターン選択アルゴリズムの幾つかの実現例では、実際のウェーハ測定不確定性σiがパターン選択の段階では分かっていないが、その相対値の妥当な推定はモデルシミュレーションによって達成できることは留意されたい。それに関するウェーハ測定データが入手可能であるデータセットに関する研究により、以下に定義するように、テストパターンの輪郭点で評価されたイメージログスロープ(ILS:imaging log slope)値の逆数と測定不確定性との間に線形相関が存在することが分かっている。
式中、AIはスキャナ設定から抽出された公称最適パラメータ値を使用するモデルによってシミュレートされた空間像であり、xはCD測定の方向に沿ったものである。これには、ILS値が小さいほど、結像コントラストが小さくなり、従って、ウェーハプリント及びウェーハ測定値の変動が大きくなるという直観的説明がなされている。従って、以下に詳述する幾つかの実施形態の実現例では、このようにシミュレートされたILSの逆数値をウェーハ測定不確定性の推定値として使用することができる。
[0063] 共分散行列
は、以下のようにx(行列標記)の共分散行列であるという点で、推定パラメータx(行列標記)の起こりうる不確定性又は標準の不確定性に密接に関連する。
例えば、"Numerical Recipes in C, The Art of Scientific Computing," 2nd ed., W. H. Press, S. A. Teukolsky, W. T. Vetterling, B. P. Flannery, Chap. 15, Cambridge University Press, 1992を参照されたい。
[0064] パラメータに関する信頼域312は、共分散行列から導出することができる。特定の信頼水準でこの信頼域312の「サイズ」(信頼楕円(confidence ellipsoid)の2乗主軸寸法の合計又は個別パラメータの2乗不確定性レベルの合計)を最小限にすることを選択した場合、実際に、この費用関数は行列C(行列標記)のトレースに等しくなる。
[0065] 上記の費用関数定義はパラメータ単位の人為選択による好ましくない任意性を含む。これを回避するための代替例の1つは、より大きいパターン空間におけるCD予測誤差にパラメータの推定共分散行列を投影し、パターンCD予測318のすべてに関する2乗誤差の合計を費用関数として使用することである。実際には、所定の一組の代表的パターンを使用して、フルチップパターン挙動をエミュレートすることができる。「フルチップ(full-chip)」パターンセットがS(行列標記)という感度行列を有する場合、この更新された費用関数は以下の形を取る。
この関数の最小化を使用して、最終実現例におけるパターン選択を駆動する。
[0066] 上記の費用関数の最小化を達成するために、本発明の諸実施形態は、フルチップ感度行列S(行列標記)について主成分分析を実行し、それに沿ってS(行列標記)の大半の変動が分散されるP次元感度空間内の方向を識別する。それが費用関数を削減する際に最も効率的なものになるので、その感度がこれらの主方向に最も位置合わせされている候補パターンのプール内のテストパターン302がサーチされ、識別される。
[0067] 図4は、本発明の諸態様によるパターン選択方法の段階的実現例のフローチャートである。
[0068] ステップ402では、例えば、機械設定データ、オンツール(on-tool)メトロロジーデータ、及びツール設計データの任意の組合せを使用することにより、モデルパラメータの適切な初期推定が作成される。ステップ404では、CLモデルが使用されるプロダクト内のパターンの多様性を表す「フルチップ」パターンセットもプールされる。
[0069] ステップ406では、この初期モデル推定に基づいて、較正プロセス中に較正されるそれぞれのモデルパラメータに対する候補パターンと「フルチップ」パターンの両方の感度が計算される。この結果、フルチップパターンセットに関する感度行列S(行列標記)と、候補パターンセットに関する正規化感度行列A(行列標記)が得られる(ステップ408)。それぞれの感度行列はP次元感度空間内の感度「クラウド(cloud)」として視覚化することもでき、それぞれのテストパターンはその座標がそのパラメータに対するテストパターンの感度である点に対応する。従って、以下の考察では、「感度行列(sensitivity matrix)」及び「感度クラウド(sensitivity cloud)」という用語を区別なく使用する場合もある。
[0070] ステップ410及び412では、フルチップパターンセットに関する感度クラウドS(行列標記)内の点についてPCAが実行される。詳細には、大半の感度変動並びに全体的な感度変動に対するそれぞれの寄与を捕捉する主成分(感度行列の特異値分解における特異ベクトル及び特異値でもある)が計算される。
[0071] ステップ414では、正規化候補パターンセットA(行列標記)内のそれぞれの感度点が前のステップでPCAによって定義された新しい座標系に投影され、そこでそれぞれの軸がS(行列標記)の主成分(特異ベクトル)の1つの方向に位置合わせする。それに沿ってより多くの感度変動が存在する方向が選択手順でより高い優先順位を与えられるように、対応する特異値の平方根はそれぞれの軸に関する倍率(scaling factor)として使用される。これにより、PCAによって定義された座標系において候補パターンセットに関する「正規化」感度クラウドが得られる(ステップ416)。
[0072] ステップ418では、ステップ416で決定された正規化感度クラウドから、最大全体(重み付き)感度、すなわち、原点までの最大ユークリッド距離を有するパターンが識別される。ステップ420では、選択されたパターンの組にこのパターンが追加され、選択されたテストパターンの感度方向を除去することにより、感度空間がより低い次元に縮小される。換言すれば、候補パターンプール内の他のすべての感度ベクトルが選択された方向に対して直交する。
[0073] ステップ422では、感度空間の次元数を完全に使い果たすまで、パターンセットの残りについてステップ418〜420が繰り返される。
[0074] この時点までに、プロセスはすべてのパラメータに関する適用範囲を達成しており、すなわち、いかなるパラメータもモデル較正段階で制約なしで残ることはない。メトロロジー時間制限の範囲内では、適用範囲を強化するためにより多くのパターンを含むことは望ましいであろう。
[0075] 従って、ステップ424及び426に示されるように、パラメータ空間の次元数がもう一度使い尽くされ、P個のパターンからなる追加のセットを選択するまで、G−P個の候補パターンからなる残りのセットについてステップ412〜418がもう一度、繰り返される。
[0076] 候補パターンプールが使い尽くされるまで、ステップ426のプロセスは何度も繰り返すことができる。その時点で、ステップ428では、プロセスは、モデル較正に関するその有効性の点ですべての元のG個の候補パターンの順位を作成している。この順位リストは、N個のパターンからなる所望のターゲットで切り捨てることができる。
[0077] ステップ430では、フルチップパターンの予測について結果的に得られる信頼インターバルを使用して選択手順をモニターし、ステップ432で選択されたテストパターンのセットの推定性能を提供する。
[0078] 図5A及び図5Bに示されているように、本発明者らはまず、本発明の諸態様による手法の形式的数学(formal mathematics)の基礎となる上述の線形性の仮定を検証した。図5Aは、σinner(左)の変動の下でASMLスキャナXT:1400上に170nmピッチで結像された100nmのラインに関する線形性を示し、図5Bは、σouter(右)の変動の下でASMLスキャナXT:1400上に170nmピッチで結像された100nmのラインに関する線形性を示している。図5A及び図5Bから分かるように、この場合、考慮中の小さい近傍では応答は確かに十分に線形である。
[0079] 第2のステップとして、本発明者らは、候補パターンのプールとして使用されるProxi45と呼ばれるASMLテストマスク上の3500個のパターンからなるフルセットについて感度行列のPCA分析を実行した。その結果は以下の表1に示されているが、各行は感度空間内の主方向であり、各列は特定のCLモデルパラメータ(例えば、NA、シグマ中心(sigma center)、シグマ幅(sigma width)、Rx、ドーズ(dose)、楕円率(ellipticity)など)に対する感度であり、全体的な感度変動(「特異値(singular values)」としても知られている)に対するその寄与率は最後の列にリストされている。
[0080] 上記の手順を適用して、異なるパターンカウントを有する複数組のテストパターンをパターンプールから選択し、フルチップパターンセット、この場合はProxi45レチクルからの同じ3500個のパターンに関する予測の2乗誤差の合計を計算することにより、その性能を評価し始めた。結果の性能は図6Aに示されている。
[0081] 比較のために、図6Bは、同じフルチップCD予測メトリックを使用するより多くの伝統的なパターン選択(「制御グループ」)の性能と比較して、現在のアルゴリズムから得られたパターン選択結果を示している。同図から分かるように、本発明により選択されたパターンセットは、結果のモデルを使用するときにフルチップパターンセットのCDに関する予測不確定性を急速に最小限にするような方法でモデル較正パラメータを突き止めるという点でかなり良好な性能を発揮する。
[0082] 本出願の新機軸は、線形公式に準拠するか又は線形に展開可能な任意のCLモデルの較正、特に、スキャナマッチングのためのディファレンシャルモデル(differential model)の較正、すなわち、基準スキャナについて完全に較正されたベースラインモデルがすでに存在するときに、これらのスキャナに関するウェーハ測定値に基づく個々のスキャナに関するモデルの微調整、複数のレジスト項の合計の形を取る線形レジストモデル内のレジストパラメータの較正という諸分野に適用することができるが、これらに制限されない。
[0083] その上、モデル較正の適用例において本発明を説明してきたが、レジストモデリング、ディファレンシャル光学モデリング、及びモデル形式の選択における個々の項に本発明の諸態様を適用することも可能である。
[0084] 図7は、本明細書に開示したパターン選択方法の実施及び/又は実現を支援可能なコンピュータシステム100を示す模範的なブロック図である。コンピュータシステム100は、バス102又は情報を伝達するためのその他の通信メカニズムと、情報を処理するためにバス102に結合されたプロセッサ104とを含む。また、コンピュータシステム100は、プロセッサ104によって実行される命令及び情報を保管するためにバス102に結合されたランダムアクセスメモリ(RAM)又はその他の動的ストレージデバイスなどのメインメモリ106も含む。メインメモリ106は、プロセッサ104によって実行される命令の実行中に一時変数又はその他の中間情報を保管するために使用することもできる。コンピュータシステム100は、プロセッサ104のための命令及び静的情報を保管するためにバス102に結合された読み取り専用メモリ(ROM)108又はその他の静的ストレージデバイスをさらに含む。情報及び命令を保管するために、磁気ディスク又は光ディスクなどのストレージデバイス110が提供され、バス102に結合される。
[0085] コンピュータシステム100は、コンピュータユーザに情報を表示するためにバス102を介して陰極線管(CRT)又はフラットパネル又はタッチパネルディスプレイなどのディスプレイ112に結合することができる。英数字キー及びその他のキーを含む入力装置114は、プロセッサ104に情報及びコマンド選択を伝達するためにバス102に結合される。もう1つのタイプのユーザ入力装置は、プロセッサ104に方向情報及びコマンド選択を伝達し、ディスプレイ112上のカーソル移動を制御するためのマウス、トラックボール、又はカーソル方向キーなどのカーソルコントロール116である。この入力装置は典型的に、その装置が平面内の位置を指定できるようにする、第1の軸(例えば、x)と第2の軸(例えば、y)という2通りの軸の2通りの自由度を有する。タッチパネル(スクリーン)ディスプレイも入力装置として使用することができる。
[0086] 本発明の一実施形態によれば、シミュレーションプロセスの一部分は、メインメモリ106に収容された1つ又は複数の命令の1つ又は複数のシーケンスをプロセッサ104が実行したことに応答して、コンピュータシステム100によって実行することができる。このような命令は、ストレージデバイス110などの他のコンピュータ可読媒体からメインメモリ106に読み込むことができる。メインメモリ106に収容された命令のシーケンスを実行することにより、プロセッサ104は本明細書に記載したプロセスステップを実行する。メインメモリ106に収容された命令のシーケンスを実行するために、マルチプロセッシング配置の1つ又は複数のプロセッサを使用することもできる。代替実施形態では、本発明を実現するためにソフトウェア命令の代わりに又はソフトウェア命令と組み合わせて、ハードワイヤード回路を使用することができる。それ故、本発明の諸実施形態は、ハードウェア回路とソフトウェアとの特定の組合せに限定されない。
[0087] 本明細書で使用する「コンピュータ可読媒体」という用語は、実行のためにプロセッサ104に命令を提供することに関与する媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体、及び伝送媒体を含むがこれらに限定されない多くの形を取ることができる。不揮発性媒体は、例えば、ストレージデバイス110などの光ディスク又は磁気ディスクを含む。揮発性媒体は、メインメモリ106などのダイナミックメモリを含む。伝送媒体は、バス102を有するワイヤを含む、同軸ケーブル、銅線、及び光ファイバを含む。また、伝送媒体は、無線周波(RF)及び赤外線(IR)データ通信中に生成されたものなどの音波又は光波の形を取ることもできる。一般的な形のコンピュータ可読媒体は、例えば、フロッピー(登録商標)ディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意のその他の磁気媒体、CD−ROM、DVD、任意のその他の光学媒体、パンチカード、紙テープ、穴のパターンを有する任意のその他の物理媒体、RAM、PROM、及びEPROM、FLASH−EPROM、任意のその他のメモリチップ又はカートリッジ、以下に記載する搬送波、あるいはコンピュータがそこから読み取ることができる任意のその他の媒体を含む。
[0088] 実行のためにプロセッサ104に1つ又は複数の命令の1つ又は複数のシーケンスを搬送する際に種々の形のコンピュータ可読媒体が係わる可能性がある。例えば、命令は最初にリモートコンピュータの磁気ディスク上に載せられる可能性がある。リモートコンピュータは、そのダイナミックメモリに命令をロードし、モデムを使用して電話回線によって命令を送信することができる。コンピュータシステム100に対してローカルなモデムは、その電話回線上でデータを受信し、赤外線送信機を使用してそのデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器は、赤外線信号で搬送されたデータを受信し、そのデータをバス102上に置くことができる。バス102はそのデータをメインメモリ106に搬送し、そこからプロセッサ104が命令を取り出して実行する。メインメモリ106が受け取った命令は任意選択で、プロセッサ104による実行前又は実行後のいずれかにストレージデバイス110に保管することができる。
[0089] また、コンピュータシステム100は好ましくは、バス102に結合された通信インターフェイス118も含む。通信インターフェイス118は、ローカルネットワーク122に接続されたネットワークリンク120に結合する双方向データ通信を可能にする。例えば、通信インターフェイス118は、対応するタイプの電話回線へのデータ通信接続を可能にするための統合サービスデジタル通信網(ISDN)カード又はモデムにすることができる。もう1つの例として、通信インターフェイス118は、互換性のあるLANへのデータ通信接続を可能にするためのローカルエリアネットワーク(LAN)カードにすることもできる。ワイヤレスリンクも実現可能である。このような実現例では、通信インターフェイス118は、種々のタイプの情報を表すデジタルデータストリームを搬送する電気信号、電磁気信号、又は光学信号を送受信する。
[0090] ネットワークリンク120は典型的に、1つ又は複数のネットワークにより他のデータデバイスへのデータ通信を可能にする。例えば、ネットワークリンク120は、ローカルネットワーク122によりホストコンピュータ124又はインターネットサービスプロバイダ(ISP)126によって操作されるデータ機器への接続を可能にすることができる。次にISP126は、現在一般的に「インターネット」128と呼ばれる世界的なパケットデータ通信ネットワークによりデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128はいずれも、デジタルデータストリームを搬送する電気信号、電磁気信号、又は光学信号を使用する。種々のネットワークによる信号、ネットワークリンク120上の信号、並びにコンピュータシステム100との間でデジタルデータを搬送する通信インターフェイス118による信号は、情報を移送する搬送波の模範的な形である。
[0091] コンピュータシステム100は、ネットワーク(複数も可)、ネットワークリンク120、及び通信インターフェイス118により、プログラムコードを含む、メッセージを送信し、データを受信することができる。インターネットの例では、サーバ130は、インターネット128、ISP126、ローカルネットワーク122、及び通信インターフェイス118により、アプリケーションプログラムについて要求されたコードを送信することができる。本発明によれば、このようにダウンロードされたアプリケーションは、例えば、その実施形態のテストパターン選択に備えるものである。受信コードは、それが受信されたときにプロセッサ104によって実行されるか、及び/又は後の実行のためにストレージデバイス110又はその他の不揮発性ストレージに保管されることができる。
[0092] 図8は、本発明のテストパターン選択プロセスを使用して較正されたコンピュータリソグラフィモデルを使用してその性能をシミュレート及び/又は最適化可能な模範的なリソグラフィ投影装置を概略的に描写している。この装置は以下のものを含む。
−投影ビームPBの放射を供給するための放射システムEx、IL。この特定のケースでは放射システムは放射源LAも含む。
−マスクMA(例えば、レチクル)を保持するためのマスクホルダが設けられ、アイテムPLに対してマスクを正確に位置決めするための第1の位置決め手段に接続された第1のオブジェクトテーブル(マスクテーブル)MT
−基板W(例えば、レジストコーティングシリコンウェーハ)を保持するための基板ホルダが設けられ、アイテムPLに対して基板を正確に位置決めするための第2の位置決め手段に接続された第2のオブジェクトテーブル(基板テーブル)WT
−マスクMAの照射部分を基板Wのターゲット部分C(例えば、1つ又は複数のダイを含む)上に結像するための投影システム(「レンズ」)PL(例えば、屈折、反射、又は反射屈折光学システム)
[0093] 本明細書に描写されている通り、この装置は反射タイプのものである(すなわち、反射マスクを有する)。しかし、一般に、この装置は、例えば、透過タイプ(透過マスクを有する)ものにすることもできる。代替的に、この装置は、マスク使用の代替策として他の種類のパターニング手段を使用することもでき、例としてはプログラマブルミラーアレイ又はLCDマトリクスを含む。
[0094] 光源LA(例えば、水銀灯又はエキシマレーザ)は放射ビームを発生する。このビームは、直接又は例えばビームエクスパンダExなどのコンディショニング手段を横断した後に照明システム(イルミネータ)ILに供給される。イルミネータILは、ビーム内の強度分布の外側及び/又は内側半径範囲(一般に、それぞれσ−outer及びσ−innerと呼ばれる)を設定するための調整手段AMを含むことができる。加えて、イルミネータは一般に、インテグレータIN及びコンデンサCOなどの種々の他のコンポーネントを含む。このようにして、マスクMAに衝突するビームPBは、その断面において所望の均一性及び強度分布を有する。
[0095] 図8に関しては、光源LAは(光源LAが例えば水銀灯であるときにしばしばそうであるように)リソグラフィ投影装置のハウジング内にすることができるが、リソグラフィ投影装置から離すこともでき、その装置が発生する放射ビームは(例えば、適切な誘導ミラーを用いて)装置内に誘導され、後者のシナリオは光源LAがエキシマレーザ(例えば、KrF、ArF、又はF2レイジングに基づく)であるときにしばしばそうなることに留意されたい。本発明はこれらのシナリオの少なくとも両者を包含する。
[0096] ビームPBは、その後、マスクテーブルMT上に保持されたマスクMAをインターセプトする。マスクMAを横断した後、ビームPBはレンズPLを通過し、そのレンズが基板Wのターゲット部分CにビームPBを焦点に集める。第2の位置決め手段(及び干渉測定手段IF)を用いて、例えば、異なるターゲット部分CをビームPBの経路内に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、第1の位置決め手段を使用して、例えば、マスクライブラリからマスクMAを機械的に取り出した後又はスキャン中に、ビームPBの経路に対してマスクMAを正確に位置決めすることができる。一般に、オブジェクトテーブルMT、WTの移動は、ロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)を用いて認識されるが、どちらも図8には明確に描写されていない。しかし、(ステップアンドスキャンツールとは対照的に)ウェーハステッパの場合、マスクテーブルMTは、単にショートストロークアクチュエータに接続される場合もあれば、固定される場合もある。
[0097] 描写したツールは以下の2通りのモードで使用することができる。
−ステップモードでは、マスクテーブルMTは本質的に静止状態に保持され、マスクイメージ全体が一度に(すなわち、単一「フラッシュ」で)ターゲット部分Cに投影される。次に、異なるターゲット部分CをビームPBで照射できるように、基板テーブルWTがx方向及び/又はy方向にシフトする。
−スキャンモードでは、所与のターゲット部分Cが単一「フラッシュ」で露光されないことを除いて、本質的に同じシナリオが適用される。その代わりに、マスクテーブルMTは速度vで所与の方向(いわゆる「スキャン方向」、例えば、y方向)に移動可能であり、従って、投影ビームPBはマスクイメージの上をスキャンするようになり、同時に、基板テーブルWTは速度V=Mvで同じ方向又は反対方向に同時に移動し、MはレンズPLの倍率である(典型的に、M=1/4又は1/5)。このように、解像度について妥協する必要なしに、相対的に大きいターゲット部分Cを露光することができる。
[0098] 本明細書に開示されている概念は、サブ波長フィーチャ(sub wavelength feature)を結像するための汎用結像システムをシミュレート又は数学的にモデリングすることができ、ますますサイズが小さくなる波長を発生可能な新結像技術により特に有用である可能性がある。すでに使用されている新技術は、ArFレーザ使用の場合には193nmの波長、フッ素レーザ使用の場合には157nmの波長を発生可能なEUV(極端紫外線)リソグラフィを含む。その上、EUVリソグラフィは、シンクロトロンを使用するか、又はこの範囲内の光子を発生するために高エネルギー電子で材料(固体又はプラズマ)を打つことにより、20〜5nmの範囲内の波長を発生することができる。大半の材料はこの範囲内では吸収性であるので、モリブデンとシリコンのマルチスタックを有する反射ミラーによって照明を発生することができる。このマルチスタックミラーは、40レイヤ対のモリブデンとシリコンを有し、各レイヤの厚さは4分の1波長である。さらに小さい波長はX線リソグラフィで発生することができる。典型的に、X線波長を発生するためにシンクロトロンが使用される。大半の材料はX線波長で吸収性であるので、吸収材料の薄片によって、フィーチャをプリントする場所(ポジティブレジスト)又はフィーチャをプリントしない場所(ネガティブレジスト)が画定される。
[0099] 本明細書に開示されている概念はシリコンウェーハなどの基板上に結像するために使用することができるが、開示されている概念は、任意のタイプのリソグラフィ結像システム、例えば、シリコンウェーハ以外の基板上に結像するために使用されるものでも使用できることを理解されたい。
[00100] その好ましい諸実施形態に関連して本発明について詳細に説明してきたが、本発明の精神及び範囲を逸脱せずに形式及び細部の点で変更及び修正を行えることは当業者にとって容易に明らかになるはずである。特許請求の範囲はこのような変更及び修正を包含するものである。

Claims (7)

  1. コンピュータリソグラフィモデル較正のためのテストパターン選択の方法であって、
    候補テストパターンのプールを識別するステップと、
    一組のリソグラフィモデルパラメータを識別するステップと、
    前記一組のリソグラフィモデルパラメータの最適値を求める際に最も効果的な一組のテストパターンを前記候補テストパターンのプールから自動的に選択する自動的選択ステップ
    を含み、
    前記自動的選択ステップにおいては、前記候補テストパターンのプールにおける各テストパターンが、前記一組のリソグラフィモデルパラメータのうちの1つ又は複数の特定のリソグラフィモデルパラメータに対して敏感になるように、且つ、該特定のリソグラフィモデルパラメータの効果を明確に区別できるように、前記一組のテストパターンが選択され、
    前記自動的選択ステップは、
    前記候補テストパターンのプール及び前記一組のリソグラフィモデルパラメータに対応する感度行列を作成する感度行列作成ステップと、
    前記感度行列を使用して計算を実行し、前記候補テストパターンのプールのうちのどのテストパターンが、前記一組のリソグラフィモデルパラメータに対する最大感度を有するかを判断する計算実行ステップと、
    前記最大感度を有すると判断されたテストパターンを前記一組のテストパターンに追加する追加ステップと、
    前記一組のリソグラフィモデルパラメータのすべてに対応する前記候補テストパターンのプールが前記一組のテストパターンに追加されるまで、前記計算実行ステップと前記追加ステップを繰り返す繰り返しステップと
    を含み、
    前記計算実行ステップが、前記感度行列について主成分分析を実行することを含み、
    前記感度行列作成ステップが、前記候補テストパターンのプールに対応するシミュレートされた空間像に基づいてウェーハ測定不確定性を推定するステップ、又は、前記候補テストパターンのプールを含むマスクに基づいて空間像をシミュレートするステップを含む
    方法。
  2. 前記感度行列作成ステップが、前記特定のモデルパラメータの公称値を使用するステップを含む、請求項記載の方法。
  3. 前記自動的選択ステップが、前記コンピュータリソグラフィモデルの正確さに関連する費用関数を最小限にすることを含む、請求項1記載の方法。
  4. 前記費用関数が、フルチップパターンセットを含む前記候補テストパターンのプールにおける特定の候補テストパターンに関するCD予測誤差を表す、請求項記載の方法。
  5. 前記一組のテストパターンが、前記コンピュータリソグラフィモデルの公式において既知の物理及び化学を励起するように選択される、請求項1記載の方法。
  6. 前記一組のテストパターンに対応する測定されたウェーハデータを使用して、前記コンピュータリソグラフィモデルの公式によって課せられる予測精度の上限を実現するように前記一組のリソグラフィモデルパラメータの最適値に応じたモデル較正を駆動する、請求項記載の方法。
  7. コンピュータリソグラフィモデルを較正するためのテストパターンをコンピュータに選択させるためのコンピュータ実行可能命令を有する1つ又は複数のコンピュータ読み取り可能な記憶媒体であって、
    候補テストパターンのプールを識別するステップと、
    一組のリソグラフィモデルパラメータを識別するステップと、
    前記一組のリソグラフィモデルパラメータの最適値を求める際に最も効果的な一組のテストパターンを前記候補テストパターンのプールから自動的に選択する自動的選択ステップ
    を含み、
    前記自動的選択ステップにおいては、前記候補テストパターンのプールにおける各テストパターンが、前記一組のリソグラフィモデルパラメータのうちの1つ又は複数の特定のリソグラフィモデルパラメータに対して敏感になるように、且つ、該特定のリソグラフィモデルパラメータの効果を明確に区別できるように、前記一組のテストパターンが選択され、

    前記自動的選択ステップは、
    前記候補テストパターンのプール及び前記一組のリソグラフィモデルパラメータに対応する感度行列を作成する感度行列作成ステップと、
    前記感度行列を使用して計算を実行し、前記候補テストパターンのプールのうちのどのテストパターンが、前記一組のリソグラフィモデルパラメータに対する最大感度を有するかを判断する計算実行ステップと、
    前記最大感度を有すると判断されたテストパターンを前記一組のテストパターンに追加する追加ステップと、
    前記一組のリソグラフィモデルパラメータのすべてに対応する前記候補テストパターンのプールが前記一組のテストパターンに追加されるまで、前記計算実行ステップと前記追加ステップを繰り返す繰り返しステップと
    を含み、
    前記計算実行ステップが、前記感度行列について主成分分析を実行することを含み、
    前記感度行列作成ステップが、前記候補テストパターンのプールに対応するシミュレートされた空間像に基づいてウェーハ測定不確定性を推定するステップ、又は、前記候補テストパターンのプールを含むマスクに基づいて空間像をシミュレートするステップを含む
    方法を前記命令により前記コンピュータに実行させる、
    コンピュータ読み取り可能な記憶媒体。
JP2009245323A 2008-11-10 2009-10-26 リソグラフィモデル較正のためのパターン選択 Active JP5414455B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11300408P 2008-11-10 2008-11-10
US61/113,004 2008-11-10
US14081208P 2008-12-24 2008-12-24
US61/140,812 2008-12-24

Publications (2)

Publication Number Publication Date
JP2010117716A JP2010117716A (ja) 2010-05-27
JP5414455B2 true JP5414455B2 (ja) 2014-02-12

Family

ID=41466674

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009245323A Active JP5414455B2 (ja) 2008-11-10 2009-10-26 リソグラフィモデル較正のためのパターン選択

Country Status (5)

Country Link
US (5) US8694928B2 (ja)
JP (1) JP5414455B2 (ja)
CN (2) CN101738871B (ja)
NL (1) NL2003702A (ja)
WO (1) WO2010054350A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019124768A (ja) * 2018-01-12 2019-07-25 Alitecs株式会社 テストパターンの抽出方法及び抽出プログラム

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8611637B2 (en) * 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2005522A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
NL2007306A (en) 2010-09-23 2012-03-26 Asml Netherlands Bv Source polarization optimization.
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US9588439B1 (en) 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
NL2008041A (en) 2011-01-28 2012-07-31 Asml Netherlands Bv Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus.
JP5708103B2 (ja) * 2011-03-18 2015-04-30 富士通セミコンダクター株式会社 設計支援方法
NL2008702A (en) * 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
TWI577523B (zh) * 2011-06-17 2017-04-11 三菱麗陽股份有限公司 表面具有凹凸結構的模具、光學物品、其製造方法、面發光體用透明基材及面發光體
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
EP2546804A1 (en) * 2011-07-10 2013-01-16 Dürr Dental AG Method and tomography apparatus for reconstruction of a 3D volume
EP2570854B1 (en) * 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
KR101867290B1 (ko) * 2012-01-10 2018-07-19 삼성전자주식회사 Opc 모델 보정을 위한 테스트 패턴 선택 방법
US8806388B2 (en) * 2012-03-23 2014-08-12 Texas Instruments Incorporated Extraction of imaging parameters for computational lithography using a data weighting algorithm
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US8751980B2 (en) 2012-10-30 2014-06-10 International Business Machines Corporation Automatic wafer data sample planning and review
US20140236337A1 (en) * 2013-02-15 2014-08-21 Kabushiki Kaisha Toshiba Pattern inspection method and manufacturing control system
CN105051611B (zh) 2013-03-14 2017-04-12 Asml荷兰有限公司 图案形成装置、在衬底上生成标记的方法以及器件制造方法
CN103699708A (zh) * 2013-05-14 2014-04-02 上海大众汽车有限公司 全参数化拉延模具设计方法
US11175589B2 (en) 2013-06-03 2021-11-16 Kla Corporation Automatic wavelength or angle pruning for optical metrology
US8910089B1 (en) * 2013-06-19 2014-12-09 International Business Machines Corporation Printing process calibration and correction
US9760018B2 (en) 2013-08-13 2017-09-12 Asml Netherlands B.V. Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure
US20150100289A1 (en) * 2013-10-09 2015-04-09 Technion Research & Development Foundation Limited Method and system for shapewise comparison
KR102246286B1 (ko) 2013-12-30 2021-04-30 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
JP6312834B2 (ja) 2013-12-30 2018-04-18 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
SG11201604739RA (en) 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target
WO2016010776A1 (en) * 2014-07-13 2016-01-21 Kla-Tencor Corporation Metrology using overlay and yield critical patterns
US9690187B2 (en) 2014-12-05 2017-06-27 Globalfoundries Inc. Sampling for OPC model building
US10718606B2 (en) 2015-04-17 2020-07-21 Nikon Corporation Determination of customized components for fitting wafer profile
CN106297778A (zh) * 2015-05-21 2017-01-04 中国科学院声学研究所 数据驱动的基于奇异值分解的神经网络声学模型裁剪方法
US10754256B2 (en) * 2015-10-08 2020-08-25 Asml Netherlands B.V. Method and apparatus for pattern correction and verification
CN105278260B (zh) * 2015-11-20 2018-07-24 合肥芯碁微电子装备有限公司 一种pcb曝光图形正确性验证方法
US10663870B2 (en) * 2015-12-18 2020-05-26 Asml Netherlands B.V. Gauge pattern selection
WO2017108432A1 (en) 2015-12-22 2017-06-29 Asml Netherlands B.V. Apparatus and method for process-window characterization
US10025177B2 (en) * 2016-03-16 2018-07-17 Samsung Electronics Co., Ltd. Efficient way to creating process window enhanced photomask layout
WO2017178285A1 (en) * 2016-04-15 2017-10-19 Asml Netherlands B.V. Method for adjusting actuation of a lithographic apparatus
US9817402B1 (en) * 2016-07-12 2017-11-14 The Boeing Company Application of factory automation for an airline assembly and build process
CN109844643A (zh) * 2016-08-19 2019-06-04 Asml荷兰有限公司 对曝光后过程进行建模
KR102370271B1 (ko) * 2016-12-28 2022-03-04 에이에스엠엘 네델란즈 비.브이. 제조 공정 시 공정 모델들의 안내 및 검사 방법들
WO2018172039A1 (en) * 2017-03-21 2018-09-27 Asml Netherlands B.V. Object identification and comparison
CN106970506B (zh) * 2017-04-10 2018-11-20 中国科学院上海光学精密机械研究所 一种基于粒子群优化算法的晶圆曝光路径规划方法
US10496783B2 (en) * 2017-04-19 2019-12-03 Mentor Graphics Corporation Context-aware pattern matching for layout processing
CN108196364B (zh) * 2018-01-04 2020-04-17 中国人民解放军国防科技大学 一种基于平面镜视场调节的光学系统失调量解算方法
KR102459381B1 (ko) * 2018-02-23 2022-10-26 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
WO2019238372A1 (en) * 2018-06-15 2019-12-19 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration
WO2020035272A1 (en) 2018-08-14 2020-02-20 Asml Netherlands B.V. Model calibration and guided metrology based on smart sampling
KR20200043585A (ko) * 2018-10-17 2020-04-28 삼성전자주식회사 반도체 패턴의 광 근접 보정 오차 최소화 방법 및 장치
US11561478B2 (en) * 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) * 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11699017B2 (en) * 2019-02-08 2023-07-11 Siemens Industry Software Inc. Die yield assessment based on pattern-failure rate simulation
US11567413B2 (en) 2019-02-25 2023-01-31 Asml Netherlands B.V. Method for determining stochastic variation of printed patterns
KR102642972B1 (ko) * 2019-02-27 2024-03-04 에이에스엠엘 네델란즈 비.브이. 모델 캘리브레이션을 위한 게이지 선택의 향상
US11061318B2 (en) 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
CN109870882A (zh) * 2019-03-29 2019-06-11 上海华虹宏力半导体制造有限公司 监控扫描式光刻机连续景深扩展程序功能的方法
US20220276563A1 (en) * 2019-07-10 2022-09-01 Asml Netherlands B.V. Prediction data selection for model calibration to reduce model prediction uncertainty
CN114341885A (zh) * 2019-09-06 2022-04-12 Asml荷兰有限公司 用于增加参数化模型预测的确定性的方法
CN111045289B (zh) * 2019-12-06 2021-07-06 中国科学院上海光学精密机械研究所 极紫外光刻掩模阴影效应补偿方法
KR102322886B1 (ko) * 2020-03-02 2021-11-05 인하대학교 산학협력단 산출 리소그래피를 위한 E-Beam 클러스터 구성 방법 및 장치
CN111857768B (zh) * 2020-06-23 2022-07-22 苏州浪潮智能科技有限公司 一种硬盘芯片升级方法及系统
CN112084705B (zh) * 2020-08-25 2024-05-24 华北电力大学 一种用于综合能源系统的并网协调规划方法及系统
WO2023066657A1 (en) 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
CN114741977B (zh) * 2022-06-13 2022-08-16 华中科技大学 声学超材料微结构最大加工误差设计方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) * 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) * 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) * 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69717975T2 (de) * 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
US8014991B2 (en) * 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
JP4383817B2 (ja) * 2003-10-03 2009-12-16 日本電子株式会社 電子ビーム描画における近接効果補正の検証方法
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
KR100958714B1 (ko) * 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7695876B2 (en) * 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
WO2007030704A2 (en) * 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7433791B2 (en) * 2006-02-17 2008-10-07 Asml Masktools B.V. Method of performing multiple stage model calibration for optical imaging simulation models
CN100474115C (zh) * 2006-04-04 2009-04-01 上海微电子装备有限公司 光刻机成像光学系统像差现场测量方法
US7818151B2 (en) * 2006-05-02 2010-10-19 Asml Masktools B.V. Method, program product and apparatus for obtaining short-range flare model parameters for lithography simulation tool
US8792147B2 (en) * 2006-08-14 2014-07-29 Asml Netherlands B.V. Method, program product and apparatus for creating optimal test patterns for optical model calibration and for selecting suitable calibration test patterns from an arbitrary layout
US8040573B2 (en) * 2006-08-14 2011-10-18 Asml Masktools B.V. Method, program product and apparatus for translating geometrical design rules into boundary conditions in the imaging space so as to define test patterns for use in optical model calibration
JP2008186912A (ja) * 2007-01-29 2008-08-14 Nikon Corp 収差評価方法、調整方法、露光装置、露光方法、およびデバイス製造方法
KR101096145B1 (ko) 2007-06-04 2011-12-19 에이에스엠엘 네델란즈 비.브이. 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019124768A (ja) * 2018-01-12 2019-07-25 Alitecs株式会社 テストパターンの抽出方法及び抽出プログラム
JP6996677B2 (ja) 2018-01-12 2022-01-17 Alitecs株式会社 テストパターンの抽出方法及び抽出プログラム

Also Published As

Publication number Publication date
US10025885B2 (en) 2018-07-17
CN101738871B (zh) 2012-10-10
US8694928B2 (en) 2014-04-08
JP2010117716A (ja) 2010-05-27
NL2003702A (en) 2010-05-11
CN101738871A (zh) 2010-06-16
US20140208278A1 (en) 2014-07-24
WO2010054350A1 (en) 2010-05-14
US20180322224A1 (en) 2018-11-08
US20110224956A1 (en) 2011-09-15
US8930172B2 (en) 2015-01-06
CN102209935A (zh) 2011-10-05
CN102209935B (zh) 2013-05-15
US20150186557A1 (en) 2015-07-02
US10846442B2 (en) 2020-11-24
US20100122225A1 (en) 2010-05-13
US9672301B2 (en) 2017-06-06

Similar Documents

Publication Publication Date Title
JP5414455B2 (ja) リソグラフィモデル較正のためのパターン選択
TWI694316B (zh) 基於缺陷機率的製程窗
JP5033859B2 (ja) モデルベースの汎用マッチング及びチューニングのための方法及びシステム
JP5016585B2 (ja) リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
TWI466171B (zh) 選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法
JP5191975B2 (ja) リソグラフィ較正のための方法
TWI460547B (zh) 基於模型之程序模擬系統及方法
JP5461477B2 (ja) モデルベーススキャナ調整を実行する方法
JP4707701B2 (ja) 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
US9588439B1 (en) Information matrix creation and calibration test pattern selection based on computational lithography model parameters
JP2010152356A (ja) リソグラフィプロセスウィンドウ最大化光近接効果補正のための方法及びシステム
KR20060087446A (ko) 임계 치수 계산에 사용되는 레지스트 모델들의캘리브레이션을 개선하기 위한 방법, 프로그램물 및 장치
US20210357566A1 (en) Methods for generating characteristic pattern and training machine learning model
US8887105B1 (en) Calibration pattern selection based on noise sensitivity
TWI752652B (zh) 在裝置製程中的方法、非暫態電腦可讀媒體、及組態以執行該方法的系統
US8792147B2 (en) Method, program product and apparatus for creating optimal test patterns for optical model calibration and for selecting suitable calibration test patterns from an arbitrary layout

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110729

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110803

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111102

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120927

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130322

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131031

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131112

R150 Certificate of patent or registration of utility model

Ref document number: 5414455

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250