KR101860038B1 - 메트롤로지 타겟의 디자인을 위한 방법 및 장치 - Google Patents

메트롤로지 타겟의 디자인을 위한 방법 및 장치 Download PDF

Info

Publication number
KR101860038B1
KR101860038B1 KR1020167021007A KR20167021007A KR101860038B1 KR 101860038 B1 KR101860038 B1 KR 101860038B1 KR 1020167021007 A KR1020167021007 A KR 1020167021007A KR 20167021007 A KR20167021007 A KR 20167021007A KR 101860038 B1 KR101860038 B1 KR 101860038B1
Authority
KR
South Korea
Prior art keywords
metrology
target
simulation
substrate
proposed
Prior art date
Application number
KR1020167021007A
Other languages
English (en)
Other versions
KR20160103132A (ko
Inventor
광칭 첸
슈펭 바이
젠-시앙 왕
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20160103132A publication Critical patent/KR20160103132A/ko
Application granted granted Critical
Publication of KR101860038B1 publication Critical patent/KR101860038B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Abstract

기판 상에 사용되는 메트롤로지 타겟을 선택하는 시스템 및 방법이 개시되며, 이는 각각의 제안된 타겟에 대하여 공정 윈도우 구역 상의 복수의 지점들에 대해 리소그래피 시뮬레이션을 수행하는 단계, 각각의 제안된 타겟에 대하여 여하한의 복수의 지점들에 대해 치명적 오류를 식별하는 단계, 여하한의 복수의 지점들에서 치명적 오류를 갖는 각각의 타겟을 제거하는 단계, 여하한의 복수의 지점들에서 치명적 오류를 갖지 않는 각각의 타겟에 대하여 공정 윈도우에 걸쳐 파라미터를 결정하도록 메트롤로지 시뮬레이션을 수행하는 단계, 및 타겟 품질을 평가하도록 1 이상의 결과적인 결정된 시뮬레이션 파라미터를 이용하는 단계를 포함한다.

Description

메트롤로지 타겟의 디자인을 위한 방법 및 장치{METHOD AND APPARATUS FOR DESIGN OF A METROLOGY TARGET}
본 출원은 2013년 12월 30일에 출원된 미국 가출원 61/921,817의 이익을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은, 예를 들어 리소그래피 기술에 의한 디바이스들의 제조 시에 이용가능한 메트롤로지 타겟의 1 이상의 구조적 파라미터를 결정하는 장치 및 방법들, 및 리소그래피 기술을 이용하여 제조하는 방법들에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 다이의 부분, 한 개 또는 수 개의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 알려진 리소그래피 장치는, 한 번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 소위 스테퍼, 및 방사선 빔을 통해 주어진 방향("스캐닝"-방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향(같은 방향으로 평행한 방향) 또는 역-평행 방향(반대 방향으로 평행한 방향)으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는 소위 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 디바이스로부터 기판으로 패턴을 전사할 수도 있다.
리소그래피 공정들에서는, 예를 들어 공정 제어 및 검증(verification)을 위해, 흔히 생성된 구조체들의 측정들을 수행하는 것이 바람직하다. 구조체들의 1 이상의 파라미터, 예를 들어 기판 내부 또는 기판 위에 형성된 연속 층들 간의 오버레이 오차가 통상적으로 측정되거나 결정된다. 리소그래피 공정 시 형성된 미세한 구조체들의 측정들을 수행하는 다양한 기술들이 존재한다. 이러한 측정들을 수행하는 다양한 툴들이 알려져 있으며, 임계 치수(CD)를 측정하는 데 흔히 사용되는 스캐닝 전자 현미경 및 오버레이, 즉 디바이스 내의 두 층들의 정렬 정확성을 측정하는 특수 툴들을 포함한다. 이러한 툴의 일 예시는 리소그래피 분야에서의 사용을 위해 개발된 스케터로미터(scatterometer)이다. 이 디바이스는 기판 표면의 타겟 상으로 방사선 빔을 지향하고, 전향(redirect)된 방사선의 1 이상의 특성 - 예를 들어, 파장의 함수로서 단일 반사 각도에서의 세기; 반사된 각도의 함수로서 1 이상의 파장에서의 세기; 또는 반사된 각도의 함수로서 편광(polarization) - 을 측정하여, 타겟의 관심 특성이 결정될 수 있는 "스펙트럼"을 얻는다. 관심 특성의 결정은 다양한 기술들: 예를 들어, 라이브러리 탐색, 주성분 분석, 및 RCWA(rigorous coupled wave analysis) 또는 유한 요소 방법들과 같은 반복 접근법들에 의한 타겟 구조체의 재구성에 의해 수행될 수 있다.
예를 들어, 메트롤로지 타겟으로서 사용하기 위한 마크들을 디자인하고, 위치시키며, 및/또는 선택하는 방법들 및 장치를 제공하는 것이 바람직하다. 또한, 이에 제한되지는 않지만, 타겟들의 값의 개선된 판별(discrimination)을 허용하는 이러한 방법들은 기판 CD 변화 임계화(thresholding)에 기초하여 타겟의 값을 판별하는 것에 비해 유리할 수 있다.
일 실시형태에서, 기판 상에 사용되는 메트롤로지 타겟을 선택하는 방법이 제공되고, 상기 방법은: 제안된 메트롤로지 타겟에 대하여 공정 윈도우 구역 상의 복수의 지점들에 대해 리소그래피 시뮬레이션을 수행하는 단계; 제안된 메트롤로지 타겟이 여하한의 복수의 지점들에서 치명적 오차(catastrophic error)를 갖지 않는 경우, 제안된 메트롤로지 타겟에 대하여 공정 윈도우에 걸쳐 파라미터에 대한 값을 결정하도록 메트롤로지 시뮬레이션을 수행하는 단계; 및 타겟 품질(target quality)을 평가하도록 결과적인 결정된 시뮬레이션 파라미터 값(simulated parameter value)을 이용하는 단계를 포함한다.
일 실시형태에서, 기판 상에 사용되는 메트롤로지 타겟을 선택하는 방법을 수행하는 기계 실행가능한 명령어들로 인코딩되는 비-일시적인(non-transitory) 컴퓨터 판독가능한 매체가 제공되고, 상기 방법은: 제안된 메트롤로지 타겟에 대하여 공정 윈도우 구역 상의 복수의 지점들에 대해 리소그래피 시뮬레이션을 수행하는 단계; 제안된 메트롤로지 타겟이 여하한의 복수의 지점들에서 치명적 오류를 갖지 않는 경우, 제안된 메트롤로지 타겟에 대하여 공정 윈도우에 걸쳐 파라미터에 대한 값을 결정하도록 메트롤로지 시뮬레이션을 수행하는 단계; 및 타겟 품질을 평가하도록 결과적인 결정된 시뮬레이션 파라미터 값을 이용하는 단계를 포함한다.
일 실시형태에서, 기판 상에 사용되는 메트롤로지 타겟을 선택하는 시스템이 제공되고, 상기 시스템은: 제안된 메트롤로지 타겟에 대하여 공정 윈도우 구역 상의 복수의 지점들에 대해 리소그래피 시뮬레이션을 수행하고; 제안된 메트롤로지 타겟이 여하한의 복수의 지점들에서 치명적 오류를 갖지 않는 경우, 제안된 메트롤로지 타겟에 대하여 공정 윈도우에 걸쳐 파라미터에 대한 값을 결정하도록 메트롤로지 시뮬레이션을 수행하며; 및 타겟 품질을 평가하도록 결과적인 결정된 시뮬레이션 파라미터 값을 이용하도록 구성되고 배치된 처리 유닛을 포함한다.
일 실시형태에서, 치명적 오류에 대해 리소그래피 공정 윈도우의 복수의 지점들에서 복수의 메트롤로지 타겟 디자인들을 평가하는 단계; 여하한의 복수의 지점들에서 치명적 오류가 없는 것으로 평가되는 복수의 메트롤로지 타겟 디자인들에 대해, 치명적 오류가 없는 것으로 평가되는 복수의 메트롤로지 타겟 디자인들 각각에 대한 메트롤로지 성능 지표(metrology performance indicator)를 결정하는 단계; 및 메트롤로지 성능 지표에 기초하여 치명적 오류가 없는 것으로 평가되는 복수의 메트롤로지 타겟 디자인들의 평가를 제공하는 단계를 포함하는 방법이 제공된다.
이제 첨부된 도면들을 참조하여, 단지 예시의 방식으로만 실시예들을 설명할 것이다:
도 1은 리소그래피 장치의 일 실시예를 개략적으로 도시하는 도면;
도 2는 리소그래피 셀(lithographic cell) 또는 클러스터(cluster)의 일 실시예를 개략적으로 도시하는 도면;
도 3은 스케터로미터의 일 실시예를 개략적으로 도시하는 도면;
도 4는 스케터로미터의 또 다른 실시예를 개략적으로 도시하는 도면;
도 5는 일 형태의 다수 격자 타겟 및 기판 상의 측정 스폿의 윤곽(outline)을 개략적으로 도시하는 도면;
도 6a 및 도 6b는 이상적인, 예를 들어 2 가지 타입의 공정-유도 비대칭(process-induced asymmetry)으로부터의 타겟의 변동의 일 예시를 나타내는 오버레이 타겟의 한 주기의 모델 구조체를 개략적으로 도시하는 도면;
도 7은 제조 공정 시뮬레이션 모델의 기능적 모듈들을 나타내는 예시적인 블록 다이어그램;
도 8은 일 실시예에 따른 공정 윈도우(포커스에 대한 도즈)의 일 예시를 나타내는 도면;
도 9는 일 실시예에 따른 공정 윈도우(포커스에 대한 도즈)의 또 다른 예시를 나타내는 도면;
도 10a는 공정 윈도우 상의 특정 지점에 대응하는 메트롤로지 마크들의 시뮬레이션된 어레이를 예시하는 도면; 및
도 10b는 공정 윈도우 상의 상이한 지점에 대응하는 메트롤로지 마크들의 시뮬레이션된 어레이를 예시하는 도면이다.
실시예들을 더 상세히 설명하기 전에, 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)(예를 들어, DUV 방사선 또는 EUV 방사선)을 컨디셔닝(condition)하도록 구성된 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WTa); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
패터닝 디바이스 지지 구조체는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 패터닝 디바이스 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 패터닝 디바이스 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 패터닝 디바이스 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입, 및 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향들로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 테이블(예를 들어, 2 이상의 기판 테이블, 2 이상의 패터닝 디바이스 지지 구조체, 또는 기판 테이블 및 메트롤로지 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계들이 수행될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 전체 또는 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 담겨야 함을 의미하는 것이라기보다는, 노광 시 액체가 투영 시스템과 기판 사이에 놓이기만 하면 된다는 것을 의미한다.
도 1을 참조하면, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 상기 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 상기 소스는 리소그래피 장치의 통합부일 수 있다. 상기 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(IN) 및 콘덴서(CO)와 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 상기 패터닝 디바이스(예를 들어, 마스크)(MA)를 가로질렀으면, 상기 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WTa)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WTa)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)는 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 1 이상의 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다. 또한, 디바이스 피처들 사이에서 다이들 내에 작은 정렬 마커들이 포함될 수도 있으며, 이 경우 마커들은 인접한 피처들과 상이한 여하한의 이미징 또는 공정 조건들을 필요로 하지 않고, 가능한 한 작은 것이 바람직하다. 정렬 마커들을 검출하는 정렬 시스템은 아래에서 더 설명된다.
도시된 장치는 다음 모드들 중 적어도 1 이상에서 사용될 수 있다:
1. 스텝 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WTa)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한 번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WTa)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WTa)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WTa)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WTa)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WTa)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
리소그래피 장치(LA)는 2 개의 테이블들(WTa 및 WTb)(예를 들어, 2 개의 기판 테이블들), 및 테이블들이 교환될 수 있는 2 개의 스테이션들 - 노광 스테이션 및 측정 스테이션 - 을 갖는 소위 듀얼 스테이지 타입으로 이루어진다. 예를 들어, 하나의 테이블 상의 기판이 노광 스테이션에서 노광되고 있는 동안, 또 다른 기판이 측정 스테이션에서 다른 기판 테이블 상으로 로딩(load)되고 다양한 준비작업 단계들이 수행될 수 있다. 준비작업 단계들은 레벨 센서(LS)를 이용하여 기판의 표면 제어를 매핑(map)하는 단계, 및 정렬 센서(AS)를 이용하여 기판 상의 정렬 마커들의 위치를 측정하는 단계를 포함할 수 있고, 두 센서들은 기준 프레임(reference frame: RF)에 의해 지지된다. 위치 센서(IF)가 노광 스테이션뿐 아니라 측정 스테이션에 있는 동안 테이블의 위치를 측정할 수 없는 경우, 두 스테이션들에서 테이블의 위치들이 추적될 수 있도록 제 2 위치 센서가 제공될 수 있다. 또 다른 예시로서, 하나의 테이블 상의 기판이 노광 스테이션에서 노광되고 있는 동안, 기판이 없는 또 다른 테이블이 측정 스테이션에서 대기한다(이때, 선택적으로 측정 활동이 발생할 수 있음). 이 다른 테이블은 1 이상의 측정 디바이스를 가지며, 선택적으로 다른 툴들(예를 들어, 세정 장치)을 가질 수 있다. 기판이 노광을 완료한 경우, 기판이 없는 테이블은 예를 들어 측정들을 수행하도록 노광 스테이션으로 이동하고, 기판을 갖는 테이블은 기판이 언로딩되고 또 다른 기판이 로딩되는 위치(예를 들어, 측정 스테이션)로 이동한다. 이 다수-테이블 구성들은 상기 장치의 스루풋을 상당히 증가시킬 수 있다.
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 리소클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성하며, 이는 기판 상에 1 이상의 전-노광(pre-exposure) 및 후-노광(post-exposure) 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층들을 증착시키는 1 이상의 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 1 이상의 디벨로퍼(developer: DE), 1 이상의 칠 플레이트(chill plate: CH) 및 1 이상의 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판을 집어올리고, 상기 기판을 상이한 공정 디바이스들 사이에서 이동시킨 후, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이러한 디바이스들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 올바르고 일관성있게(consistently) 노광되기 위해서는, 후속한 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 1 이상의 특성을 측정하도록 노광된 기판을 검사하는 것이 바람직하다. 오차가 검출되는 경우, 특히 검사가 동일한 뱃치(batch)의 다른 기판이 여전히 노광되도록 충분히 빠르게 행해질 수 있다면, 1 이상의 후속한 기판들의 노광에 대해 조정이 수행될 수 있다. 또한, 이미 노광된 기판들은 (수율을 개선하도록) 벗겨져서(strip) 재가공(rework)되거나, 버려져서 결점이 있다고 알려진 기판에 노광을 수행하는 것을 회피할 수 있다. 기판의 몇몇 타겟부들에만 결점이 있는 경우, 양호한 타겟부들 상에만 또 다른 노광이 수행될 수 있다. 또 다른 가능성은 오차를 보상하도록 후속한 공정 단계의 세팅을 구성하는 것이며, 예를 들어 트림 에칭 단계(trim etch step)의 시간이 리소그래피 공정 단계로부터 발생하는 기판-대-기판 CD 변동을 보상하도록 조정될 수 있다.
검사 장치는 기판의 1 이상의 특성을 결정하는 데 사용되며, 특히 상이한 기판들 또는 동일한 기판의 상이한 층들의 1 이상의 특성이 층마다 및/또는 기판에 걸쳐 어떻게 변하는지를 결정하는 데 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수 있으며, 또는 독립형 디바이스(stand-alone device)일 수 있다. 가장 신속한 측정들을 가능하게 하기 위해, 검사 장치는 노광 직후에 노광된 레지스트 층에서 1 이상의 특성을 측정하는 것이 바람직하다. 하지만, 레지스트 내의 잠상(latent image)은 매우 낮은 콘트라스트(contrast)를 갖고 -방사선에 노광된 레지스트의 부분과 노광되지 않은 레지스트의 부분 사이에 굴절률에 있어서 매우 작은 차이만 존재하고- 모든 검사 장치가 잠상의 유용한 측정들을 수행하기에 충분한 감도를 갖는 것은 아니다. 그러므로, 측정들은 통상적으로 노광된 기판 상에서 수행되는 제 1 단계이고 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 노광후 베이크 단계(PEB) 이후에 수행될 수 있다. 이 단계에서, 레지스트 내의 이미지는 반-잠상(semi-latent)이라고 칭해질 수 있다. 또한, 현상된 레지스트 이미지 -이때, 레지스트의 노광된 부분 또는 노광되지 않은 부분 중 하나는 제거되었음- 의 측정들을 수행하는 것이 가능하고, 또는 에칭과 같은 패턴 전사 단계 이후에 수행하는 것이 가능하다. 후자의 가능성은 결점이 있는 기판의 재가공에 대한 가능성을 제한하지만, 예를 들어 공정 제어를 위해 여전히 유용한 정보를 제공할 수 있다.
도 3은 스케터로미터(SM1)의 일 실시예를 도시한다. 이는 기판(6) 상으로 방사선을 투영하는 광대역(백색 광) 방사선 투영기(2)를 포함한다. 반사된 방사선은 정반사된 방사선(specular reflected radiation)의 스펙트럼(10)(즉, 파장의 함수로서 세기의 측정)을 측정하는 분광계 검출기(spectrometer detector: 4)로 통과된다. 이 데이터로부터, 검출된 스펙트럼을 야기하는 프로파일 또는 구조체가, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀(non-linear regression)에 의해, 또는 도 3의 하단에 나타낸 바와 같은 시뮬레이션된 스펙트럼들의 라이브러리와 비교함으로써, 처리 유닛(PU)에 의해 재구성될 수 있다. 일반적으로, 재구성을 위해 상기 구조체의 일반적인 형태가 알려지며, 상기 구조체가 만들어진 공정의 정보로부터 일부 파라미터들이 가정되어, 스케터로메트리 데이터로부터 결정될 구조체의 몇몇 파라미터들만이 남게 된다. 이러한 스케터로미터는 수직-입사(normal-incidence) 스케터로미터 또는 경사-입사(oblique-incidence) 스케터로미터로서 구성될 수 있다.
스케터로미터(SM2)의 또 다른 실시예가 도 4에 도시된다. 이 디바이스에서, 방사선 소스(2)에 의해 방출된 방사선은 렌즈 시스템(12)을 이용하여 간섭 필터(interference filter: 13) 및 편광기(polarizer: 17)를 통해 포커스되며, 부분 반사면(partially reflective surface: 16)에 의해 반사되고, 바람직하게는 적어도 0.9 또는 적어도 0.95인 높은 개구수(NA)를 갖는 현미경 대물 렌즈(15)를 통해 기판(W) 상으로 포커스된다. 침지 스케터로미터는, 심지어 개구수가 1이 넘는 렌즈를 구비할 수도 있다. 그 후, 반사된 방사선은 산란 스펙트럼(scatter spectrum)이 검출되게 하기 위해서, 부분 반사면(16)을 통해 검출기(18)로 전달된다. 검출기는 렌즈(15)의 초점 길이에 존재하는 배면-영사된(back-projected) 퓨필 평면(11) 내에 위치될 수 있지만, 그 대신에 퓨필 평면이 보조 광학기(도시되지 않음)를 이용하여 검출기(18) 상에 재-이미징(re-image)될 수도 있다. 퓨필 평면은, 방사선의 반경방향 위치(radial position)가 입사각을 정의하고 각도 위치가 방사선의 방위각(azimuth angle)을 정의하는 평면이다. 검출기는, 바람직하게는 기판 타겟의 2-차원 각도 산란 스펙트럼(즉, 산란각의 함수로서 세기의 측정)이 측정될 수 있도록 2-차원 검출기이다. 검출기(18)는, 예를 들어 CCD 또는 CMOS 센서들의 어레이일 수 있으며, 예를 들어 프레임당 40 밀리초(millisecond)의 통합 시간(integration time)을 가질 수 있다.
예를 들어, 입사 방사선의 세기를 측정하기 위해 기준 빔이 흔히 사용된다. 이를 위해, 방사선 빔이 부분 반사면(16)에 입사하는 경우, 그 일부분이 상기 반사면을 통해 기준 빔으로서 기준 거울(14)을 향하여 전달된다. 그 후, 기준 빔은 동일한 검출기(18)의 상이한 부분 상으로 투영된다.
가령 405 내지 790 nm의 범위, 또는 200 내지 300 nm와 같이 훨씬 낮은 범위에서 관심 파장(wavelength of interest)을 선택하기 위해, 1 이상의 간섭 필터(13)가 이용될 수 있다. 간섭 필터(들)는 상이한 필터들의 일 세트를 포함하기보다는 튜닝가능(tunable)할 수 있다. 1 이상의 간섭 필터 대신에, 또는 이에 추가하여 격자가 사용될 수 있다.
검출기(18)는 단파장(또는 협파장 범위)에서의 산란 방사선의 세기, 다수 파장들에서의 별도 세기, 또는 파장 범위에 걸쳐 통합된 세기를 측정할 수 있다. 또한, 검출기는 횡자기(transverse magnetic: TM)- 및 횡전기(transverse electric: TE)-편광 방사선의 세기, 및/또는 횡자기- 및 횡전기-편광 방사선 간의 위상차를 별도로 측정할 수 있다.
광대역 방사선 소스(2)(즉, 광범위한 방사선 주파수들 또는 파장들 - 및 이에 따른 컬러들을 갖는 방사선 소스)를 이용하는 것이 가능하며, 이는 큰 에텐듀(etendue)를 제공하여 다수 파장들의 혼합(mixing)을 허용한다. 광대역에서의 복수의 파장들은, 바람직하게는 각각 δλ의 대역폭 및 적어도 2δλ(즉, 파장 대역폭의 두 배)의 간격을 갖는다. 방사선의 수 개의 "소스들"은, 예를 들어 섬유 다발(fiber bundle)들을 이용하여 분할(split)되었던 연장된 방사선 소스의 상이한 부분들일 수 있다. 이러한 방식으로, 각도 분해된 산란 스펙트럼들이 다수 파장들에서 병렬로(in parallel) 측정될 수 있다. 2-D 스펙트럼보다 더 많은 정보를 포함하는 3-D 스펙트럼(파장 및 2 개의 상이한 각도들)이 측정될 수 있다. 이는 메트롤로지 공정 견고성(metrology process robustness)을 증가시키는 더 많은 정보가 측정되게 한다. 이는 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2006-0066855호에서 더 상세히 설명된다.
상기 빔이 타겟에 의해 전향된 전후에 상기 빔의 1 이상의 특성을 비교함으로써, 기판의 1 이상의 특성이 결정될 수 있다. 이는, 예를 들어 전향된 빔을 기판의 모델을 이용하여 계산된 이론적인 전향된 빔들과 비교하고, 측정된 전향된 빔과 계산된 전향된 빔 간의 최적 피트(best fit)를 제공하는 모델을 탐색함으로써 수행될 수 있다. 통상적으로, 파라미터화된 일반적인 모델이 사용되고, 최적 매칭이 얻어질 때까지 모델의 파라미터들, 예를 들어 패턴의 폭, 높이 및 측벽 각도가 변화된다.
스케터로미터의 2 가지 주 형태가 사용된다. 분광 스케터로미터(spectroscopic scatterometer)는 기판 상으로 광대역 방사선 빔을 지향하고, 특정한 좁은 각도 범위(particular narrow angular range)로 산란되는 방사선의 스펙트럼(파장의 함수로서 세기)을 측정한다. 각도 분해된 스케터로미터(angularly resolved scatterometer)는 단색 방사선 빔(monochromatic radiation beam)을 사용하고, 각도의 함수로서 산란된 방사선의 세기[또는 엘립소메트리 구성(ellipsometric configuration)의 경우, 위상차 및 세기 비(intensity ratio)]를 측정한다. 대안적으로, 상이한 파장들의 측정 신호들이 개별적으로 측정되고 분석 스테이지에서 조합될 수 있다. 동일한 기판으로부터 1 이상의 스펙트럼을 발생시키기 위해 편광 방사선이 사용될 수 있다.
기판의 1 이상의 파라미터를 결정하기 위해, 통상적으로 파장(분광 스케터로미터) 또는 각도(각도 분해된 스케터로미터)의 함수로서 전향된 빔에 의해 생성되는 측정된 스펙트럼과 기판의 모델로부터 생성되는 이론적 스펙트럼 사이에서 최적 매칭이 발견된다. 최적 매칭을 발견하기 위해, 조합될 수 있는 다양한 방법들이 존재한다. 예를 들어, 제 1 방법은 반복 탐색 방법이며, 이때 모델 파라미터들의 제 1 세트가 측정된 스펙트럼과 비교되는 제 1 스펙트럼을 계산하는 데 사용된다. 그 후, 모델 파라미터들의 제 2 세트가 선택되며, 제 2 스펙트럼이 계산되고, 측정된 스펙트럼과 제 2 스펙트럼의 비교가 이루어진다. 이 단계들은 최적 매칭 스펙트럼을 제공하는 파라미터들의 세트를 발견하는 것을 목표로 반복된다. 통상적으로, 비교로부터의 정보가 파라미터들의 후속한 세트의 선택을 이끄는 데 사용된다. 이 공정은 반복 탐색 기술로서 알려져 있다. 최적 매칭을 제공하는 파라미터들의 세트를 갖는 모델이 측정된 기판을 가장 잘 설명하는 것(best description)으로 간주된다.
제 2 방법은 스펙트럼들의 라이브러리를 구성하는 것이며, 각각의 스펙트럼은 모델 파라미터들의 특정한 세트에 대응한다. 통상적으로 모델 파라미터들의 세트들은 기판 특성들의 모든 또는 거의 모든 가능한 변동들을 포함하도록 선택된다. 측정된 스펙트럼은 라이브러리 내의 스펙트럼들과 비교된다. 반복 탐색 방법과 유사하게, 최적 매칭을 제공하는 스펙트럼에 대응하는 파라미터들의 세트를 갖는 모델이 측정된 기판을 가장 잘 설명하는 것으로 간주된다. 이 라이브러리 탐색 기술에서 파라미터들의 최적 세트를 더 정확히 결정하기 위해 보간 기술(interpolation technique)들이 사용될 수 있다.
여하한의 방법에서, 정확한 매칭을 가능하게 하기 위하여 계산된 스펙트럼에서의 충분한 데이터 지점들(파장들 및/또는 각도들), 통상적으로 각각의 스펙트럼에 대해 80 내지 800 개의 데이터 지점들 또는 그 이상이 사용되어야 한다. 반복 방법을 이용하면, 각각의 파라미터 값에 대한 각각의 반복이 80 개 이상의 데이터 지점들에서의 계산을 수반할 것이다. 여기에 올바른 프로파일 파라미터들을 얻는 데 필요한 반복들의 수가 곱해진다. 따라서, 많은 계산이 요구될 수 있다. 실제로, 이는 처리 속도 및 정확성 간의 타협을 초래한다. 라이브러리 접근법에서는, 라이브러리를 설정하는 데 필요한 시간과 정확성 사이에 유사한 타협이 존재한다.
앞서 설명된 여하한의 스케터로미터들에서, 기판(W) 상의 타겟은 현상 이후에 바아(bar)들이 솔리드 레지스트 라인들로 형성되도록 프린트되는 격자일 수 있다. 대안적으로, 상기 바아들은 기판 안으로 에칭될 수 있다. 타겟 패턴은 리소그래피 투영 장치 내의 색수차(chromatic aberration), 오버레이, 도즈, 포커스 등과 같은 관심 파라미터에 민감하도록 선택되어, 관련 파라미터의 변동이 프린트된 타겟의 변동으로서 나타날 것이다. 예를 들어, 타겟 패턴은 리소그래피 투영 장치, 특히 투영 시스템(PL) 내의 색수차 및 조명 대칭성에 민감할 수 있으며, 이러한 수차의 존재가 프린트된 타겟 패턴의 변동에서 드러날 것이다. 따라서, 프린트된 타겟 패턴의 스케터로메트리 데이터가 상기 타겟 패턴을 재구성하는 데 사용된다. 프린팅 단계 및/또는 다른 스케터로메트리 공정들의 정보로부터, 라인 폭 및 형상과 같은 타겟 패턴의 파라미터들이 처리 유닛(PU)에 의해 수행되는 재구성 공정에 입력될 수 있다. 타겟들 내의 라인들이, 본 명세서에서 인용참조되는 미국 특허 출원 제 12/265,072호에서 설명되는 바와 같이, 함께 격자들의 라인들을 정의하는 분해능-이하 또는 부근의 피처(near or sub-resolution feature)들을 포함한 서브-유닛들로 구성될 수 있다.
본 명세서에서는 스케터로미터의 실시예들이 설명되었지만, 다른 타입들의 메트롤로지 장치가 일 실시예에서 사용될 수 있다. 예를 들어, 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 2013-0308142호에서 설명되는 바와 같은 다크 필드 메트롤로지 장치(dark field metrology apparatus)가 사용될 수 있다. 또한, 이러한 다른 타입들의 메트롤로지 장치는 스케터로메트리와 완전히 상이한 기술을 이용할 수 있다.
본 명세서에서 설명되는 바와 같은 메트롤로지 타겟들은, 예를 들어 Yieldstar 독립형 또는 통합 메트롤로지 툴과 같은 메트롤로지 툴과의 사용을 위해 디자인된 오버레이 타겟들, 및/또는 통상적으로 TwinScan 리소그래피 시스템과 사용되는 바와 같은 정렬 타겟들일 수 있으며, 둘 다 ASML로부터 이용가능하다.
일반적으로, 이러한 시스템들과의 사용을 위한 메트롤로지 타겟들은 기판 상에 이미징될 특정 마이크로전자 디바이스에 대한 설계 사양을 충족하는 치수들로 기판 상에 프린트되어야 한다. 공정들이 진보된 처리 노드(advanced process nodes)에서 리소그래피 디바이스 이미징 분해능의 한계들을 계속 압박함에 따라, 디자인 규칙 및 공정 적합성(process compatibility) 요건들은 적절한 타겟들의 선택을 강조한다. 타겟들이 자체로 더 진보됨에 따라, 흔히 광 근접성 보정(optical proximity correction) 및 위상-시프트 패터닝 디바이스들과 같은 분해능 향상 기술(resolution enhancement technology)의 사용을 요구하여, 공정 디자인 규칙들 내에서의 타겟의 프린트가능성(printability)은 덜 확실(certain)하게 된다. 결과로서, 제안된 메트롤로지 타겟 디자인이 테스팅 및/또는 시뮬레이션을 거쳐, 프린트가능성 및 검출가능성의 관점으로부터 그 적절성 및/또는 실행가능성을 확인할 수 있다. 상업적 환경에서, 우수한 오버레이 마크 검출가능성은 낮은 총 측정 불확실성 및 짧은 이동-획득-이동 시간(move-acquire-move time)의 조합인 것으로 간주될 수 있는데, 이는 느린 획득이 생산 라인에 대한 총 스루풋에 불리하기 때문이다. 현대의 마이크로-회절-기반-오버레이 타겟들(micro-diffraction-based-overlay targets: μDBO)은 일 측면이 약 10 ㎛일 수 있으며, 이는 모니터 기판들과 관련하여 사용되는 바와 같은 40x160 ㎛2 타겟들에 비해 본질적으로 낮은 검출 신호를 제공한다.
추가적으로, 일단 앞선 기준을 충족하는 메트롤로지 타겟들이 선택되면, 에칭 및/또는 연마 공정들에 의해 유도되는 필름 두께 변동, 다양한 에칭 바이어스(etch bias)들, 및 지오메트리 비대칭들과 같은 공정 변동들에 대해 검출가능성이 변할 가능성이 존재한다. 그러므로, 다양한 공정 변동들에 대해 낮은 검출가능성 변동 및 낮은 오버레이/정렬 변동을 갖는 타겟을 선택하는 것이 유용할 수 있다. 마찬가지로, 이미징될 마이크로전자 디바이스를 생성하는 데 사용되어야 하는 특정한 기계의 핑거프린트(fingerprint)(예를 들어, 렌즈 수차를 포함한 프린팅 특성들)가 일반적으로 메트롤로지 타겟들의 이미징 및 생성에 영향을 줄 것이다. 그러므로, 일부 패턴들이 특정 리소그래피 핑거프린트에 의해 다소 영향을 받을 것이기 때문에, 메트롤로지 타겟들이 핑거프린트 효과들에 저항적일 것을 보장하는 것이 유용할 수 있다.
도 5는 알려진 실행에 따라 기판 상에 형성된 복합(composite) 메트롤로지 타겟을 도시한다. 복합 타겟은 메트롤로지 장치의 조명 빔에 의해 형성된 측정 스폿(31) 내에 모두 있도록 함께 밀접하게 위치되는 4 개의 격자들(32, 33, 34, 35)을 포함한다. 따라서, 4 개의 격자들은 모두 동시에 조명되고, 동시에 센서(4, 18) 상에 이미징된다. 오버레이 측정에 사용된 예시에서, 격자들(32, 33, 34, 35)은 자체로 기판(W) 상에 형성된 반도체 디바이스의 상이한 층들에 패터닝되는 오버라잉 격자(overlying grating)들에 의해 형성된 복합 격자들이다. 격자들(32, 33, 34, 35)은 상이하게 편향(bias)된 오버레이 오프셋들을 가져, 복합 격자들의 상이한 부분들이 형성되는 층들 간의 오버레이의 측정을 용이하게 할 수 있다. 또한, 격자들(32, 33, 34, 35)은 나타낸 바와 같이 입사 방사선을 X 및 Y 방향들로 회절시키도록 그 방위가 상이할 수 있다. 일 예시에서, 격자들(32 및 34)은 X-방향 격자들이며, 각각 +d, -d의 편향들을 갖는다. 이는 격자(32)가 오버라잉 구성요소들을 갖고, 이들은 둘 다 이들의 공칭 위치(nominal location)들에 정확히 프린트되는 경우, 구성요소들 중 하나가 다른 하나에 대해 거리 d만큼 오프셋되도록 배치된다는 것을 의미한다. 격자(34)는 완벽히 프린트되는 경우에 제 1 격자 등과 반대 방향으로 d의 오프셋이 존재하도록 배치되는 구성요소들을 갖는다. 격자들(33 및 35)은 Y-방향 격자들이며, 각각 오프셋들 +d 및 -d를 갖는다. 4 개의 격자들이 예시되지만, 또 다른 실시예는 원하는 정확성을 얻기 위해 더 큰 매트릭스를 포함할 수 있다. 예를 들어, 3 x 3 어레이의 9 개의 복합 격자들이 -4d, -3d, -2d, -d, 0, +d, +2d, +3d, +4d 편향들을 가질 수 있다. 이 격자들의 개별 이미지들이 센서(4, 18)에 의해 포착되는 이미지에서 식별될 수 있다.
도 6a 및 도 6b는 이상적인, 예를 들어 2 가지 타입의 공정-유도 비대칭으로부터의 타겟의 변동의 일 예시를 나타내는 오버레이 타겟의 한 주기의 모델 구조체를 개략적으로 나타낸다. 도 6a를 참조하면, 기판(W)은 하부 격자(700)로 패터닝되고, 이는 기판 층으로 에칭된다. 하부 격자에 사용되는 에칭 공정은 에칭된 트렌치의 바닥(702)의 경사를 유도한다. 이 바닥 경사(FT)가 구조적 파라미터로서, 예를 들어 바닥(702)에 걸친 높이 감소(height drop)의 측정(nm 단위)으로서 표현될 수 있다. BARC(하부 반사 방지 코팅) 층(704)이 상부 격자(706)의 패터닝된 레지스트 피처를 지지한다. 이 예시에서, 상부 및 하부 격자 간의 정렬 오버레이 오차는, 상부 및 하부 격자 피처들의 중심들이 동일한 측방향 위치에 있음에 따라 0이다. 하지만, 하부-층 공정-유도 비대칭, 즉 바닥 경사는 측정된 오버레이 오프셋에서 오차를 초래하고, 이 경우에 0이 아닌 오버레이 오프셋을 제공한다. 도 6b는 측정된 오버레이 오프셋에서 오차를 초래할 수 있는 또 다른 타입의 하부-층 공정-유도 비대칭을 나타낸다. 이는 측벽 각도(SWA) 불균형(SWAun)이다. 도 6a의 특징들과 공통적인 특징들은 동일하게 라벨링된다. 여기에서, 하부 격자의 한 측벽(708)은 다른 측벽(710)과 상이한 기울기를 갖는다. 이 불균형이 구조적 파라미터로서, 예를 들어 기판의 평면에 대한 2 개의 측벽 각도들의 비로서 표현될 수 있다. 두 비대칭 파라미터들, 바닥 경사 및 SWA 불균형이 상부 및 하부 격자들 간의 "겉보기(apparent)" 오버레이 오차를 야기한다. 이 겉보기 오버레이 오차 외에, 상부 및 하부 격자들 사이에 측정될 "실제(real)" 오버레이 오차가 있다.
따라서, 일 실시예에서, 제안된 타겟 디자인들 중 1 이상의 적절성 및/또는 실행가능성을 확인하기 위해서는 다양한 메트롤로지 타겟 디자인들을 시뮬레이션하는 것이 바람직하다.
리소그래피 및 메트롤로지 타겟들을 수반하는 제조 공정을 시뮬레이션하는 시스템에서, 주요 제조 시스템 구성요소들 및/또는 공정들은, 예를 들어 도 7에 예시된 바와 같은 다양한 기능적 모듈들에 의해 설명될 수 있다. 도 7을 참조하면, 기능적 모듈들은 메트롤로지 타겟(및/또는 마이크로전자 디바이스) 디자인 패턴을 정의하는 디자인 레이아웃 모듈(71); 타겟 디자인에 기초하여 패터닝 디바이스 패턴이 다각형들에서 어떻게 레이아웃되는지를 정의하는 패터닝 디바이스 레이아웃 모듈(72); 시뮬레이션 공정 동안 이용될 픽실레이티드(pixilated) 및 연속-톤 패터닝 디바이스의 물리적 특성들을 모델링하는 패터닝 디바이스 모델 모듈(73); 리소그래피 시스템의 광학 구성요소들의 성능을 정의하는 광학 모델 모듈(74); 주어진 공정에서 이용되는 레지스트의 성능을 정의하는 레지스트 모델 모듈(75); 후-레지스트 현상 공정들(예를 들어, 에칭)의 성능을 정의하는 공정 모델 모듈(76); 및 메트롤로지 타겟과 사용되는 메트롤로지 시스템의 성능, 및 이에 따른 메트롤로지 시스템과 사용되는 경우의 메트롤로지 타겟의 성능을 정의하는 메트롤로지 모듈(77)을 포함할 수 있다. 시뮬레이션 모듈들 중 1 이상의 결과, 예를 들어 예측된 윤곽 및 CD는 결과 모듈(78)에서 제공된다.
조명 및 투영 광학기들의 특성들은 광학 모델 모듈(74)에서 포착되고, 이는 NA-시그마(σ) 세팅들 및 여하한의 특정 조명 소스 형상을 포함하지만 이에 제한되지는 않으며, 이때 σ(또는 시그마)는 일루미네이터의 외반경 크기이다. 또한, 기판 상에 코팅된 포토-레지스트 층의 광학 특성들 --즉, 굴절률, 필름 두께, 전파 및 편광 효과들-- 도 광학 모델 모듈(74)의 일부로서 포착될 수 있는 반면, 레지스트 모델 모듈(75)은 예를 들어 기판 상에 형성되는 레지스트 피처들의 윤곽들을 예측하기 위해 레지스트 노광, 노광후 베이크(PEB) 및 현상 동안 일어나는 화학적 공정들의 효과들을 설명한다. 패터닝 디바이스 모델 모듈(73)은 패터닝 디바이스의 패턴에서 타겟 디자인 피처들이 어떻게 레이아웃되는지를 포착하며, 예를 들어 미국 특허 제 7,587,704호에 설명된 바와 같은 패터닝 디바이스의 상세한 물리적 특성들의 표현을 포함할 수 있다. 시뮬레이션의 목적은, 예를 들어 이후 타겟 디자인에 비교될 수 있는 에지 배치들 및 임계 치수(CD)들을 정확히 예측하는 것이다. 타겟 디자인은 일반적으로 전-OPC 패터닝 디바이스 레이아웃으로서 정의되며, GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷으로 제공될 것이다.
일반적으로, 광학 및 레지스트 모델 간의 연결은 레지스트 층 내의 시뮬레이션된 에어리얼 이미지 세기이며, 이는 기판 상으로의 방사선의 투영, 레지스트 계면에서의 굴절, 및 레지스트 필름 스택에서의 다수 반사들로부터 발생한다. 방사선 세기 분포(에어리얼 이미지 세기)는 광자들의 흡수에 의해 잠재적인 "레지스트 이미지"가 되며, 이는 확산 공정들 및 다양한 로딩 효과들에 의해 더 변경된다. 풀-칩 어플리케이션(full-chip application)들을 위해 충분히 빠른 효율적인 시뮬레이션 방법들이 2-차원 에어리얼(및 레지스트) 이미지에 의해 레지스트 스택 내의 실제적인 3-차원 세기 분포에 접근한다.
따라서, 모델 공식화(model formulation)는 전체 공정의 알려진 물리적 및 화학적 성질의 -전부는 아니더라도- 대부분을 설명하고, 모델 파라미터들 각각은 바람직하게는 별개의 물리적 또는 화학적 효과에 대응한다. 따라서, 모델 공식화는 전체 제조 공정을 시뮬레이션하기 위해 모델이 얼마나 잘 사용될 수 있는지에 대한 상한계(upper bound)를 설정한다. 하지만, 때때로 모델 파라미터들은 측정 및 판독 오차들로부터 부정확할 수 있으며, 시스템 내에 다른 미비점(imperfection)들이 존재할 수 있다. 모델 파라미터들의 정밀한 캘리브레이션으로, 매우 정확한 시뮬레이션들이 수행될 수 있다.
메트롤로지 타겟 디자인은, 예를 들어 타겟 계수(target coefficient: TC), 스택 민감도(stack sensitivity: SS), 오버레이 영향(overlay impact: OV) 또는 이와 유사한 것과 같은 다양한 파라미터들에 의해 특징지어질 수 있다. 스택 민감도는 타겟(예를 들어, 격자) 층들 사이의 회절로 인해 오버레이가 변함에 따라 신호의 세기가 얼마나 많이 변하는지의 측정으로서 이해될 수 있다. 타겟 계수는 측정 시스템에 의한 광자 수집의 변동들의 결과로서 특정 측정 시간 동안의 신호-대-잡음 비의 측정으로서 이해될 수 있다. 일 실시예에서, 타겟 계수는 광자 잡음에 대한 스택 민감도의 비로서 여겨질 수도 있다; 즉, 신호(즉, 스택 민감도)가 광자 잡음의 측정으로 나누어져 타겟 계수를 결정할 수 있다. 오버레이 영향은 타겟 디자인의 함수로서 오버레이 오차의 변화를 측정한다.
메트롤로지 타겟들을 빠르고 효과적으로 한정(qualify)하려는 바람이 존재한다. 종래 방법들은, 예를 들어 제품(디바이스) 패턴들을 한정하기 위해 사용되는 것과 같은 CD 오차 임계치에 기초하여 잠재적인 메트롤로지 타겟들이 한정되는 경우에 잠재적으로 우수한 메트롤로지 타겟들을 배제하였다. 예를 들어, 메트롤로지 타겟 품질의 상당한 저하를 야기할 메트롤로지 타겟 CD 변화의 양은 타겟 디자인, 기판 층 스택 등과 같은 많은 인자에 의존할 수 있다. 이에 따라, 적절하지 않은 메트롤로지 타겟 디자인들을 걸러 내는 데 CD 변화 임계치(예를 들어, 10 % CD)를 이용하는 것은 유효한 메트롤로지 타겟들이 버려지게 할 수 있다. 따라서, 일 실시예에서, 공정 윈도우에 걸쳐 잠재적인 메트롤로지 타겟을 시뮬레이션하고, 이것이 공정 윈도우에 걸쳐 허용가능한 성능을 갖는 경우에 계속해서 잠재적인 메트롤로지 타겟에 대한 메트롤로지 성능을 시뮬레이션하는 접근법이 제공된다.
일 실시예에서, 리소그래피 시스템에서 이미징될 패턴이, 예를 들어 도 8 및 도 9에 예시된 바와 같은 공정 윈도우(800)를 갖는다. 예시된 바와 같이, 공정 윈도우(800)는 도즈, 포커스, 및 임계 치수 사이의 상호의존적인 관계를 나타내지만, 리소그래피와 관련하여 (예를 들어, 상이한 파라미터들을 이용하는) 공정 윈도우를 예시하는 다른 유용한 접근들이 존재한다. 일반적으로, 공정 윈도우는 고려 중인 리소그래피 공정이 의도된 목적에 충분한 이미징 특성들로 성공적으로 수행될 수 있는 파라미터들의 세트를 의미하는 것으로 이해될 수 있다. 이해하는 바와 같이, 공정 윈도우는 일반적으로 이미징 디바이스의 분해능, 기판 상의 레지스트의 특성들, 이미징된 패턴의 부분들과 OPC 피처들 간의 상호작용, 이미징될 피처들의 타입, 위치 및 밀도, 및 다른 인자들에 의존한다. 또한, 기판 상에 이미 배치된 피처들의 토포그래피(topography), 기판 표면 상의 층들로부터의 다중 반사들, 및 리소그래피 장치 핑거프린트는 주어진 이미징 작동에 대한 공정 윈도우의 모델링에 기여할 수 있다. 통상적으로, 공정 윈도우는 이미징될, 특히 조사(investigation) 중인 특정한 층에 이미징될 제품(예를 들어, 전자 디바이스)에 의해 정의될 것이다. 이해하는 바와 같이, 소위 임계 층(critical layer)에 대해, 공정 윈도우는 동일한 제품의 상이한 층에 대한 공정 윈도우보다 훨씬 더 작을 수 있다.
일 실시예에 따른 방법에서, 잠재적인 메트롤로지 타겟 후보들의 어레이를 이미징하는 공정의 리소그래피 시뮬레이션이 공정 윈도우 상의 다수 지점들(802, 804)에 대해 수행된다. 이 접근법에서는, 통상적으로 공정 설계자가 선택하고 있는 메트롤로지 타겟의 많은 상이한 구성들이 어레이에서 시뮬레이션될 것이다. 즉, 어레이 내의 각각의 메트롤로지 타겟 후보가 (격자) 라인 폭, (격자) 라인 세분화(line segmentation), (격자) 피치, 및/또는 변화될 수 있는 여하한의 다른 메트롤로지 타겟 파라미터에 대해 상이한 구성을 가질 수 있다. 일단 후보들의 어레이가 정의되면, 시뮬레이션이 진행될 수 있다.
예시된 실시예에서, 5 개의 지점들, 즉 공정 윈도우의 4 개의 "코너들"(802) 및 공정 윈도우의 중심부 또는 중심에 있는 것으로 간주되는 하나(804)가 선택된다. 일 실시예에서, 공정 윈도우의 상이한 수의 지점들이 선택될 수 있고, 및/또는 공정 윈도우의 지점들 각각의 상이한 위치가 선택될 수 있다. 바람직하게는, 공정 윈도우의 넓은 적용범위를 제공하기 위해, 1 이상의 최대 및/또는 최소에서의 지점들이 선택된다. 예를 들어, 도 9에 나타낸 바와 같이, 공정 윈도우의 "코너들"에서의 4 개의 지점들(802)이 선택될 수 있다. 공정 윈도우는, 예를 들어 제품(디바이스) 패턴 레이아웃의 임계 패턴(critical pattern)에 대해 시뮬레이션되거나 사용자 지정(user specified)될 수 있다.
따라서, 각각의 후보 메트롤로지 타겟에 대해, 5 개(또는 공정 윈도우 상의 많은 지점이 사용됨)의 대응하는 시뮬레이션된 이미지들이 존재한다(이는 Ti,n ; i=1..x, n=1..5로서 표시될 수 있으며, 이때 i는 x 개의 총 시뮬레이션된 메트롤로지 타겟들에 대한 메트롤로지 타겟 번호이고, n은 공정 윈도우 지점임). 리소그래피 시뮬레이션은 이미징될 특정 메트롤로지 타겟을 고려하여 수행되며, 예를 들어 광학/레지스트 모델[예를 들어, 모듈들(71 내지 75) 또는 모듈들(71 내지 76) 또는 몇몇 서브세트]을 이용하여 시뮬레이션될 수 있다.
리소그래피 시뮬레이션의 결과들이 검사되고, 타겟 이미지에서 치명적 오류가 생성되는 여하한의 메트롤로지 타겟 후보가 버려진다. 치명적 오류의 예시들은, 예를 들어 브리징(bridging), 라인들 간의 네킹(necking), 레지스트 붕괴(resist collapse), 1 이상의 추가 라인(extra line), 1 이상의 손실 라인, 과도한 최상부 손실, 최소 CD/간격 등을 포함한다. 즉, 치명적 오류는 제조된 구조체가 그 의도된 목적에 못 미치게 하는 여하한의 오류인 것으로 간주될 수 있다.
도 10a는 공정 윈도우 상의 중심 지점(804)에 대응하는 리소그래피 시뮬레이션의 결과를 개략적으로 예시한다. 예시적인 개략적 도면에서, 치명적 실패(catastrophic failure)를 나타내는 단일 타겟(810)을 제외하고, 제안된 메트롤로지 타겟들의 대다수가 시뮬레이션에서 잘-이미징된다.
대조적으로, 도 10b는 공정 윈도우 상의 코너 지점들(802) 중 하나에 대응하는 리소그래피 시뮬레이션의 결과를 개략적으로 예시한다. 공정 윈도우의 에지 더 가까이에서 작동한 결과로서, 더 많은 치명적 실패들(810)이 도 10b에 나타난다. 추가적인 코너 지점들(802) 각각에 대응하는 추가적인 예시들이 동일하거나 상이한 양 및/또는 타입의 치명적 실패들을 나타낼 수 있다.
치명적 오류를 나타내지 않는 1 이상의 타겟 후보들에 대해, 메트롤로지 시뮬레이션이 수행된다[예를 들어, 모듈(77)]. 즉, 치명적 오류를 나타내지 않는 공정 윈도우 내의 다양한 지점들로부터의 리소그래피 시뮬레이션으로부터 발생하는 시뮬레이션된 타겟들이 시뮬레이션된 메트롤로지 측정을 위한 기초로서 사용된다. 공정 윈도우 상의 5 개의 지점들이 시뮬레이션되는 경우에, 이는 메트롤로지 시뮬레이션이 시뮬레이션 실패 타겟들을 제외한 5 개의 타겟들의 어레이에 대해 수행됨을 의미할 것이다. 공정 윈도우의 상이한 영역들에 분포된 수 개의 지점들에 대한 이 시뮬레이션은 공정 윈도우에 걸쳐 시뮬레이션하는 것으로 간주될 수 있다. 시뮬레이션 실패 타겟들을 제외하는 것은, 공정 윈도우 내의 적어도 하나의 지점에서 실패한 여하한의 메트롤로지 타겟 후보에 대해 시뮬레이션이 수행되지 않음을 의미한다; 이에 따라, 공정 윈도우들 상의 1 이상의 지점에서 치명적 오류를 나타낸 메트롤로지 타겟 후보에 대해서는, 메트롤로지 타겟 후보가 치명적 오류를 나타내지 않은 공정 윈도우 지점들에서도 그 메트롤로지 타겟 후보에 대해 메트롤로지 시뮬레이션들이 수행되지 않는다.
메트롤로지 시뮬레이션은 광학 메트롤로지 툴, 및 일 실시예에서는 앞서 설명된 바와 같은 회절-기반 오버레이 툴의 작동을 시뮬레이션한다. 원칙적으로, 방법은 1 이상의 다른 광학(예를 들어, 이미지-기반 또는 회절 기반) 메트롤로지 툴들에 유사하게 적용될 수 있다.
메트롤로지 시뮬레이션의 출력은 각각의 공정 윈도우 조건에서의 남아있는 모든 잠재적인 타겟들에 대한 시뮬레이션된 오버레이 측정이다. 각각의 잠재적인 타겟에 대해, 그 타겟의 성능을 설명하는 파라미터가 결정될 수 있다. 일 실시예에서, 파라미터는 타겟 계수일 수 있다. 또 다른 실시예에서, 파라미터는 스택 민감도일 수 있다. 관심있을 수 있는 다른 파라미터들은 오버레이 영향, 수차 민감도 및/또는 공정 변동 민감도를 포함하고, 이는 타겟 선택 시 시뮬레이션되고 고려될 수 있다. 일 실시예에서, 메트롤로지 툴 변동에 대한 타겟 견고성을 특징짓는 여하한의 파라미터가 유사하게 사용될 수 있다.
일 실시예에서, 제안된 메트롤로지 타겟이 허용될 메트롤로지 타겟에 대한 모든 공정 윈도우 조건들에서의 소정 임계치를 통과해야 한다.
파라미터들 일부, 각각 또는 전부에 대해, 등급(ranking)이 각각의 타겟에 할당될 수 있다. 일 실시예에서, 유일한 관심 파라미터가 되도록 (예를 들어, 사용자에 의해) 특정 파라미터가 선택될 수 있고, 등급은 온전히 그 파라미터에 기초할 것이다. 일 실시예에서, 파라미터들은 가중된 형태 또는 간단한 조합으로, 예를 들어 사용자 선택에 의해 조합될 수 있다. 일 실시예에서, 선택된 가장 중요한 파라미터가 제 1 적용 필터로서 작용한 후 1 이상의 후속 파라미터가 제안된 메트롤로지 타겟들을 개량(refine)하도록 고려되는 다-단계 필터링 공정이 단독으로 또는 등급과 조합하여 사용된다. 다양한 파라미터들을 고려하거나 조합하는 특정한 방식은 모델링되는 특정 공정에 의존할 수 있다. 일 실시예에서, 상위 10 % 또는 상위 20 % 등급이 허용을 위한 메트롤로지 타겟을 한정할 수 있다.
따라서, 일 실시예에서, 리소그래피 모델을 이용하여 공정 윈도우에 걸쳐 다양한 메트롤로지 타겟 디자인들의 메트롤로지 타겟 프린트가능성을 시뮬레이션하는 방법이 제공된다. 예를 들어, 공정 윈도우의 에지에서 다양한 대표 지점들이 선택되고, 메트롤로지 타겟들이 시뮬레이션된다. 시뮬레이션된 메트롤로지 타겟이 치명적 오류(예를 들어, 1 이상의 추가 라인, 1 이상의 손실 라인, 레지스트 붕괴, 최소 CD/간격 등)를 야기하는 경우, 그 메트롤로지 타겟은 버려진다. 남은 메트롤로지 타겟 디자인들은 (예를 들어, 각각의 남은 메트롤로지 타겟 디자인에 대한 타겟 계수들을 결정하기 위해) 모든 공정 윈도우 조건들에서 메트롤로지 모델을 이용하여 시뮬레이션된다. 그 후, 메트롤로지 타겟 디자인들은 한정되고, 예를 들어 등급 및/또는 (예를 들어, 모든 공정 윈도우 조건들에 대한) 임계치를 초과하는 것에 기초하여 허용될 수 있다.
결과적으로, 리소그래피 공정 윈도우에 걸쳐 메트롤로지 타겟 디자인들을 선택하는 방법이 제공된다. 제안된 메트롤로지 타겟 디자인이 공정 윈도우 전체에 걸쳐 치명적 실패를 겪지 않는 것으로 평가되는 한, 그 메트롤로지 성능 지표들 중 1 이상이 시뮬레이션되고 메트롤로지 타겟 선택에 사용된다.
일 실시예에서, 본 명세서에서의 방법이 더 폭넓고 더 정확한 메트롤로지 타겟 선택을 가능하게 할 수 있다. 메트롤로지 타겟들은 엄격한 리소그래피 임계치(예를 들어, 기판 CD 변화)보다는, 메트롤로지 성능에 기초하여 평가될 수 있다. 따라서, 예를 들어 기판 CD 변화에만 기초하여 걸러질 수 있는 메트롤로지 타겟 디자인들이 여전히 평가될 수 있고, 심지어 선택될 수 있다.
본 명세서에 설명된 타겟 구조체들은 구체적으로 측정을 위해 디자인되고 형성되는 메트롤로지 타겟들이지만, 다른 실시예들에서는 기판 상에 형성된 디바이스들의 기능부들인 타겟들에 대해 특성들이 측정될 수 있다. 많은 디바이스들이 규칙적인 격자-형 구조체들을 갖는다. 본 명세서에서 사용된 바와 같은 '타겟', '타겟 격자' 및 '타겟 구조체'라는 용어들은, 구조체가 구체적으로 수행되는 측정을 위해 제공되었음을 요구하지 않는다.
격자들의 형태인 오버레이 타겟들이 설명되었지만, 일 실시예에서 박스-인-박스(box-in-box) 이미지 기반 오버레이 타겟들과 같은 다른 타겟 타입들이 사용될 수 있다.
오버레이를 결정하기 위한 메트롤로지 타겟들이 주로 설명되었지만, 메트롤로지 타겟들은 대안적으로 또는 추가적으로 포커스, 도즈 등과 같은 1 이상의 다른 특성을 결정하는 데 사용될 수 있다.
일 실시예에 따른 메트롤로지 타겟들은 픽셀-기반 데이터 구조 또는 다각형-기반 데이터 구조와 같은 데이터 구조를 이용하여 정의될 수 있다. 다각형-기반 데이터 구조는, 예를 들어 GDSII 데이터 포맷들을 이용하여 설명될 수 있고, 이들은 오히려 칩 제조 산업에서 일반적이다. 여전히, 여하한의 적절한 데이터 구조 또는 데이터 포맷이 실시예들의 범위를 벗어나지 않고 사용될 수 있다. 메트롤로지 타겟들은 데이터베이스에 저장될 수 있고, 이로부터 사용자가 특정 반도체 처리 단계에서 사용하기 위해 필요한 메트롤로지 타겟을 선택할 수 있다. 이러한 데이터베이스는 실시예에 따라 선택되는 단일 메트롤로지 타겟 또는 복수의 메트롤로지 타겟들을 포함할 수 있다. 또한, 데이터베이스는 복수의 메트롤로지 타겟들을 포함할 수 있고, 이때 데이터베이스가 복수의 메트롤로지 타겟들 각각에 대해 추가적인 정보를 포함한다. 이 추가적인 정보는 특정한 리소그래피 공정 단계에 대한 메트롤로지 타겟의 적절성 또는 품질에 관한 정보를 포함할 수 있으며, 심지어 상이한 리소그래피 공정 단계들에 대한 단일 메트롤로지 타겟의 적절성을 포함할 수 있다. 메트롤로지 타겟의 적절성은 특정한 리소그래피 공정 단계에 사용될 데이터베이스로부터 하나의 메트롤로지 타겟을 선택하는 최종 선택 공정 동안 사용될 수 있는 품질 값 또는 적절성 값으로 표현될 수 있다.
일 실시예에서, 컴퓨터 판독가능한 매체는 원격 시스템 또는 원격 컴퓨터로부터 컴퓨터 판독가능한 매체로의 연결을 이용하여 방법 단계들 중 적어도 일부를 활성화하는 명령어들을 포함할 수 있다. 이러한 연결은, 예를 들어 보안 네트워크에 걸쳐, 또는 월드-와이드-웹(인터넷)에 걸친 (보안) 연결을 통해 생성될 수 있다. 이 실시예에서, 사용자들은 예를 들어 원격 위치로부터 로그인하여, 메트롤로지 타겟의 적절성을 결정하기 위해 컴퓨터 판독가능한 매체를 이용할 수 있다. 제안된 메트롤로지 타겟은 원격 컴퓨터에 의해 제공될 수 있다. 이에 따라, 모델들을 이용하여 시뮬레이션되어야 하는 제안된 메트롤로지 타겟은 시뮬레이션 공정 동안 사용되는 모델들과 비교하여 상이한 실체 또는 회사에 의해 소유될 수 있다. 후속하여, 타겟 품질을 평가하기 위한 결과적인 결정된 시뮬레이션 파라미터 값은, 예를 들어 사용되는 시뮬레이션 파라미터들 또는 제안된 메트롤로지 타겟을 엑세스(excess)하는 어떠한 잔여 세부사항들도 남기지 않고 다시 원격 컴퓨터에 제공될 수 있다. 이러한 일 실시예에서, 고객은 그 원격 위치에서 소프트웨어의 복사본(copy)을 갖거나 소프트웨어를 소유하지 않고 개별적으로 제안된 메트롤로지 타겟들의 평가를 실행하는 옵션을 취득할 수 있다. 이러한 옵션은, 예를 들어 사용자 동의에 의해 얻어질 수 있다. 이러한 사용자 동의의 이점은, 시뮬레이션들에서 사용되는 모델들이 항상 여하한의 소프트웨어를 로컬 업데이트(locally update)할 필요 없이 이용가능한 가장 최근 및/또는 가장 상세한 모델들일 수 있다는 것일 수 있다. 또한, 모델 시뮬레이션 및 제안된 메트롤로지 타겟 제안을 분리함으로써, 처리에 사용되는 상이한 층들 또는 디자인된 마커들의 세부사항들은 두 회사들에 의해 공유되지 않아도 된다. 기판들 및 패터닝 디바이스들 상에 실현되는 바와 같은 타겟들의 물리적 격자 구조체들과 관련하여, 일 실시예는 타겟을 디자인하고, 기판 상에 타겟을 생성하며, 기판 상의 타겟을 측정하고, 및/또는 리소그래피 공정에 관한 정보를 얻도록 측정들을 분석하는 방법을 설명하는 기계-판독가능한 명령어들의 1 이상의 시퀀스를 포함한 컴퓨터 프로그램을 포함할 수 있다. 이 컴퓨터 프로그램은 예를 들어 도 2의 제어 유닛(LACU) 및/또는 도 3 및 도 4의 장치의 유닛(PU) 내에서 실행될 수 있다. 또한, 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)가 제공될 수 있다. 예를 들어, 도 1 내지 도 4에 나타낸 타입의 기존 장치가 이미 생산 중 및/또는 사용 중인 경우, 장치의 프로세서가 본 명세서에 설명된 바와 같은 방법을 수행하게 하는 업데이트된 컴퓨터 프로그램 제품들의 제공에 의해 일 실시예가 구현될 수 있다.
본 발명의 일 실시예는 본 명세서에 기재된 바와 같은 방법을 설명하는 기계-판독가능한 명령어들의 1 이상의 시퀀스를 포함한 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다. 또한, 기계 판독가능한 명령어는 2 이상의 컴퓨터 프로그램들로 구현될 수 있다. 2 이상의 컴퓨터 프로그램들은 1 이상의 상이한 메모리 및/또는 비-일시적인 데이터 저장 매체에 저장될 수 있다. 본 명세서에서 설명되는 여하한의 제어기들은 각각 또는 조합하여, 1 이상의 컴퓨터 프로그램이 리소그래피 장치의 적어도 하나의 구성요소 내에 위치되는 1 이상의 컴퓨터 프로세서에 의해 판독되는 경우에 작동가능할 수 있다. 제어기들은 각각 또는 조합하여, 신호들을 수신, 처리 및 송신하는 여하한의 적절한 구성을 가질 수 있다. 1 이상의 프로세서가 제어기들 중 적어도 하나와 통신하도록 구성된다. 예를 들어, 각각의 제어기가 앞서 설명된 방법들에 대한 기계-판독가능한 명령어들을 포함하는 컴퓨터 프로그램들을 실행하는 1 이상의 프로세서를 포함할 수 있다. 제어기들은 이러한 컴퓨터 프로그램들을 저장하는 데이터 저장 매체, 및/또는 이러한 매체를 수용하는 하드웨어를 포함할 수 있다. 이에 따라, 제어기(들)는 1 이상의 컴퓨터 프로그램의 기계 판독가능한 명령어들에 따라 작동할 수 있다.
본 발명은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 기판 상에 사용되는 메트롤로지 타겟을 선택하는 방법으로, 상기 방법은:
제안된 메트롤로지 타겟에 대하여 공정 윈도우 구역 상의 복수의 지점들에 대해 리소그래피 시뮬레이션을 수행하는 단계;
제안된 메트롤로지 타겟이 여하한의 복수의 지점들에서 치명적 오류를 갖지 않는 경우, 제안된 메트롤로지 타겟에 대하여 공정 윈도우에 걸쳐 파라미터에 대한 값을 결정하도록 메트롤로지 시뮬레이션을 수행하는 단계; 및
타겟 품질을 평가하도록 결과적인 결정된 시뮬레이션 파라미터 값을 이용하는 단계를 포함한다.
2. 1 항의 방법에서, 복수의 제안된 메트롤로지 타겟들에 대해 리소그래피 시뮬레이션을 수행하는 단계, 치명적 오류를 갖지 않는 제안된 메트롤로지 타겟들 중 1 이상에 대해 메트롤로지 시뮬레이션을 수행하는 단계, 및 제안된 메트롤로지 타겟들 중 1 이상의 타겟 품질을 평가하도록 1 이상의 결과적인 결정된 시뮬레이션 파라미터 값을 이용하는 단계를 포함한다.
3. 2 항의 방법에서, 결정된 시뮬레이션 파라미터 값들은 복수의 제안된 메트롤로지 타겟들에 등급을 매기는 데 사용된다.
4. 1 항 내지 3 항 중 어느 하나의 방법에서, 메트롤로지 시뮬레이션을 수행하는 단계는 공정 윈도우에 걸쳐 복수의 파라미터들에 대한 값들을 결정하는 단계를 포함하고, 결과적인 결정된 시뮬레이션 파라미터 값을 이용하는 단계는 타겟 품질을 평가하도록 복수의 결정된 시뮬레이션 파라미터들에 대한 값들을 이용하는 단계를 포함한다.
5. 4 항의 방법에서, 각각의 시뮬레이션된 파라미터는 복수의 제안된 메트롤로지 타겟들을 차례차례 점진적으로 걸러 내는 데 사용된다.
6. 4 항의 방법에서, 각각의 시뮬레이션된 파라미터는 복수의 제안된 메트롤로지 타겟들에 등급을 매기도록 가중된 조합으로 사용된다.
7. 1 항 내지 6 항 중 어느 하나의 방법에서, 리소그래피 시뮬레이션은 광학-레지스트 시뮬레이션을 포함한다.
8. 1 항 내지 7 항 중 어느 하나의 방법에서, 메트롤로지 시뮬레이션은 회절-기반 오버레이 시뮬레이션을 포함한다.
9. 1 항 내지 8 항 중 어느 하나의 방법에서, 치명적 오류는: 브리징, 라인들 간의 네킹, 레지스트 붕괴, 1 이상의 추가 라인, 1 이상의 손실 라인, 과도한 최상부 손실, 최소 임계 치수, 및 최소 라인 간격으로 구성된 그룹으로부터 선택되는 적어도 하나의 오류를 포함한다.
10. 1 항 내지 9 항 중 어느 하나의 방법에서, 공정 윈도우는 리소그래피 이미지 파라미터가 선택된 임계치와 같거나 이를 넘어서는 도즈/포커스 공간에서의 구역을 포함한다.
11. 기판 상에 사용되는 메트롤로지 타겟을 선택하는 방법을 수행하는 기계 실행가능한 명령어들로 인코딩되는 비-일시적인 컴퓨터 판독가능한 매체로, 상기 방법은:
제안된 메트롤로지 타겟에 대하여 공정 윈도우 구역 상의 복수의 지점들에 대해 리소그래피 시뮬레이션을 수행하는 단계;
제안된 메트롤로지 타겟이 여하한의 복수의 지점들에서 치명적 오류를 갖지 않는 경우, 제안된 메트롤로지 타겟에 대하여 공정 윈도우에 걸쳐 파라미터에 대한 값을 결정하도록 메트롤로지 시뮬레이션을 수행하는 단계; 및
타겟 품질을 평가하도록 결과적인 결정된 시뮬레이션 파라미터 값을 이용하는 단계를 포함한다.
12. 11 항의 컴퓨터 판독가능한 매체에서, 상기 방법은 복수의 제안된 메트롤로지 타겟들에 대해 리소그래피 시뮬레이션을 수행하는 단계, 치명적 오류를 갖지 않는 제안된 메트롤로지 타겟들 중 1 이상에 대해 메트롤로지 시뮬레이션을 수행하는 단계, 및 제안된 메트롤로지 타겟들 중 1 이상의 타겟 품질을 평가하도록 1 이상의 결과적인 결정된 시뮬레이션 파라미터를 이용하는 단계를 포함한다.
13. 12 항의 컴퓨터 판독가능한 매체에서, 결정된 시뮬레이션 파라미터 값들은 복수의 제안된 메트롤로지 타겟들에 등급을 매기는 데 사용된다.
14. 11 항 내지 13 항 중 어느 하나의 컴퓨터 판독가능한 매체에서, 메트롤로지 시뮬레이션을 수행하는 단계는 공정 윈도우에 걸쳐 복수의 파라미터들에 대한 값들을 결정하는 단계를 포함하고, 결과적인 결정된 시뮬레이션 파라미터 값을 이용하는 단계는 타겟 품질을 평가하도록 복수의 결정된 시뮬레이션 파라미터들에 대한 값들을 이용하는 단계를 포함한다.
15. 14 항의 컴퓨터 판독가능한 매체에서, 각각의 시뮬레이션된 파라미터는 복수의 제안된 메트롤로지 타겟들을 차례차례 점진적으로 걸러 내는 데 사용된다.
16. 14 항의 컴퓨터 판독가능한 매체에서, 각각의 시뮬레이션된 파라미터는 복수의 제안된 메트롤로지 타겟들에 등급을 매기도록 가중된 조합으로 사용된다.
17. 11 항 내지 16 항 중 어느 하나의 컴퓨터 판독가능한 매체에서, 메트롤로지 시뮬레이션은 광학 메트롤로지 시뮬레이션을 포함한다.
18. 17 항의 컴퓨터 판독가능한 매체에서, 광학 메트롤로지 시뮬레이션은 회절 기반 오버레이 메트롤로지 툴의 시뮬레이션을 포함한다.
19. 11 항 내지 17 항 중 어느 하나의 컴퓨터 판독가능한 매체에서, 기계 실행가능한 명령어들은 원격 컴퓨터로부터 컴퓨터 판독가능한 매체로의 연결을 이용하여 방법 단계들 중 적어도 일부를 활성화하는 명령어들을 더 포함한다.
20. 19 항의 컴퓨터 판독가능한 매체에서, 원격 컴퓨터와의 연결은 보안 연결이다.
21. 19 항 또는 20 항의 컴퓨터 판독가능한 매체에서, 제안된 메트롤로지 타겟은 원격 컴퓨터에 의해 제공된다.
22. 21 항의 컴퓨터 판독가능한 매체에서, 상기 방법은 타겟 품질을 평가하는 결과적인 결정된 시뮬레이션 파라미터 값을 다시 원격 컴퓨터에 제공하도록 더 구성된다.
23. 기판 상에 사용되는 메트롤로지 타겟을 선택하는 시스템으로, 상기 시스템은:
제안된 메트롤로지 타겟에 대하여 공정 윈도우 구역 상의 복수의 지점들에 대해 리소그래피 시뮬레이션을 수행하고;
제안된 메트롤로지 타겟이 여하한의 복수의 지점들에서 치명적 오류를 갖지 않는 경우, 제안된 메트롤로지 타겟에 대하여 공정 윈도우에 걸쳐 파라미터에 대한 값을 결정하도록 메트롤로지 시뮬레이션을 수행하며; 및
타겟 품질을 평가하도록 결과적인 결정된 시뮬레이션 파라미터 값을 이용하도록 구성되고 배치된 처리 유닛을 포함한다.
24. 방법으로,
치명적 오류에 대해 리소그래피 공정 윈도우의 복수의 지점들에서 복수의 메트롤로지 타겟 디자인들을 평가하는 단계;
여하한의 복수의 지점들에서 치명적 오류가 없는 것으로 평가되는 복수의 메트롤로지 타겟 디자인들에 대해, 치명적 오류가 없는 것으로 평가되는 복수의 메트롤로지 타겟 디자인들 각각에 대한 메트롤로지 성능 지표(metrology performance indicator)를 결정하는 단계; 및
메트롤로지 성능 지표에 기초하여 치명적 오류가 없는 것으로 평가되는 복수의 메트롤로지 타겟 디자인들의 평가를 제공하는 단계를 포함한다.
25. 메트롤로지 측정 시스템을 이용하여 측정되도록 구성된 메트롤로지 타겟으로, 메트롤로지 타겟은 1 항 내지 10 항 및 24 항 중 어느 하나의 방법 또는 11 항 내지 22 항 중 어느 하나의 컴퓨터 판독가능한 매체를 이용하여 선택된다.
26. 25 항에 따른 메트롤로지 타겟에서, 메트롤로지 측정 시스템은 회절 기반 측정 시스템을 포함한다.
27. 메트롤로지 측정 시스템으로, 1 항 내지 10 항 및 24 항 중 어느 하나의 방법 또는 11 항 내지 22 항 중 어느 하나의 컴퓨터 판독가능한 매체를 이용하여 선택되는 메트롤로지 타겟을 이용한다.
28. 메트롤로지 측정 시스템으로, 1 항 내지 10 항 및 24 항 중 어느 하나의 방법 또는 11 항 내지 22 항 중 어느 하나의 컴퓨터 판독가능한 매체를 이용하여 선택되는 메트롤로지 타겟을 측정하도록 구성된다.
29. 기판으로, 1 항 내지 10 항 및 24 항 중 어느 하나의 방법 또는 11 항 내지 22 항 중 어느 하나의 컴퓨터 판독가능한 매체를 이용하여 선택되는 메트롤로지 타겟을 포함한다.
30. 29 항에 따른 기판에서, 기판은 집적 회로의 층들 중 적어도 일부를 포함한 웨이퍼이다.
31. 리소그래피 이미징 장치로, 1 항 내지 10 항 24 항 중 어느 하나의 방법 또는 11 항 내지 22 항 중 어느 하나의 컴퓨터 판독가능한 매체를 이용하여 선택되는 메트롤로지 타겟을 이미징하도록 구성된다.
32. 리소그래피 이미징 장치로, 25 항 또는 26 항에 따른 메트롤로지 타겟을 이미징하도록 구성된다.
33. 데이터 구조로, 1 항 내지 10 항 및 24 항 중 어느 하나의 방법 또는 11 항 내지 22 항 중 어느 하나의 컴퓨터 판독가능한 매체를 이용하여 선택되는 메트롤로지 타겟을 나타낸다.
34. 데이터 구조로, 25 항 또는 26 항에 따른 메트롤로지 타겟을 나타낸다.
35. 데이터베이스로, 1 항 내지 10 항 및 24 항 중 어느 하나의 방법 또는 11 항 내지 22 항 중 어느 하나의 컴퓨터 판독가능한 매체를 이용하여 선택되는 메트롤로지 타겟을 포함한다.
36. 35 항에 따른 데이터베이스에서, 데이터베이스는 복수의 메트롤로지 타겟들을 포함하고, 각각은 1 항 내지 10 항 및 24 항 중 어느 하나의 방법 또는 11 항 내지 22 항 중 어느 하나의 컴퓨터 판독가능한 매체를 이용하여 선택된다.
37. 데이터베이스로, 33 항 또는 34 항에 따른 데이터 구조를 포함한다.
38. 37 항에 따른 데이터베이스에서, 데이터베이스는 복수의 데이터 구조들을 포함하고, 각각은 1 항 내지 10 항 및 24 항 중 어느 하나의 방법 또는 11 항 내지 22 항 중 어느 하나의 컴퓨터 판독가능한 매체를 이용하여 선택되는 메트롤로지 타겟을 나타낸다.
39. 35 항 내지 38 항 중 어느 하나에 따른 데이터베이스에서, 데이터베이스는 메트롤로지 타겟과 연계된 적절성 값을 포함하고, 적절성 값은 리소그래피 공정 단계에 대한 메트롤로지 타겟의 적절성을 나타낸다.
40. 데이터 이동매체(data carrier)로, 33 항 또는 34 항에 따른 데이터 구조를 포함하고, 및/또는 35 항 내지 39 항 중 어느 하나에 따른 데이터베이스를 포함한다.
41. 23 항에 따른 시스템에서, 시스템은 원격 시스템과 통신하는 네트워크로의 연결을 포함한다.
42. 41 항에 따른 시스템에서, 원격 시스템은 제안된 메트롤로지 타겟을 제공하도록 구성된다.
43. 41 항 또는 42 항에 따른 시스템에서, 시스템은 원격 시스템으로 시뮬레이션된 파라미터 값 및/또는 타겟 품질을 전송하기 위해 원격 시스템으로의 연결을 이용하도록 구성된다.
44. 25 항 또는 26 항에 따른 메트롤로지 타겟의 사용으로, 메트롤로지 타겟은 기판 상의 한 층의 또 다른 층에 대한 위치설정을 결정하고, 및/또는 리소그래피 이미징 장치의 투영 광학기에 대한 기판 상의 층의 정렬을 결정하며, 및/또는 기판 상의 구조체의 임계 치수를 결정하기 위해 사용된다.
이상, 광학 리소그래피와 관련하여 실시예들의 특정 사용예를 언급하였지만, 본 발명의 일 실시예는 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트가 경화된 후에 그 안에 패턴을 남기는 레지스트로부터 이동된다.
또한, 본 명세서에서는 IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한 번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 칭할 수도 있다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 355, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
앞선 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수 있다는 것을 분명히 알 것이다. 예를 들어, 1 이상의 실시예의 1 이상의 실시형태가 적절하다면 1 이상의 다른 실시예의 1 이상의 실시형태와 조합되거나 이를 대신할 수 있다. 그러므로, 이러한 응용예들 및 변형예들은 본 명세서에 나타낸 교시 및 안내에 기초하여, 기재된 실시예들의 균등물의 의미 및 범위 내에 있도록 의도된다. 본 명세서에서, 어구 또는 전문 용어는 예시에 의한 설명을 위한 것이며 제한하려는 것이 아니므로, 당업자라면 본 명세서의 전문 용어 또는 어구가 교시 및 안내를 고려하여 해석되어야 한다는 것을 이해하여야 한다. 본 발명의 범위와 폭은 상술된 예시적인 실시예들 중 어느 것에 의해서도 제한되지 않아야 하며, 다음의 청구항 및 그 균등물에 따라서만 정의되어야 한다.

Claims (15)

  1. 기판 상에 사용되는 메트롤로지 타겟(metrology target)을 선택하는 방법에 있어서:
    복수의 제안된 메트롤로지 타겟들에 대하여 공정 윈도우 구역 상의 복수의 지점들에 대해 리소그래피 시뮬레이션을 수행하는 단계;
    상기 제안된 메트롤로지 타겟들의 각각에 대하여 상기 복수의 지점들의 각각에서 치명적 오류(catastrophic error)의 존재 또는 부재를 결정하는 단계;
    상기 복수의 지점들 중 어디에서도 치명적 오류를 갖지 않는 각 제안된 메트롤로지 타겟에 대하여만 상기 공정 윈도우에 걸쳐 파라미터에 대한 값을 결정하도록 메트롤로지 시뮬레이션을 수행하는 단계; 및
    상기 제안된 메트롤로지 타겟들 중 1 이상의 타겟 품질(target quality)을 평가하도록 결과적인 결정된 시뮬레이션 파라미터 값(simulated parameter value)을 이용하는 단계
    를 포함하는 방법.
  2. 제 1 항에 있어서,
    결정된 시뮬레이션 파라미터 값들은 복수의 제안된 메트롤로지 타겟들에 등급을 매기는(rank) 데 사용되는 방법.
  3. 제 1 항에 있어서,
    상기 메트롤로지 시뮬레이션을 수행하는 단계는 상기 공정 윈도우에 걸쳐 복수의 파라미터들에 대한 값들을 결정하는 단계를 포함하고, 상기 결과적인 결정된 시뮬레이션 파라미터 값을 이용하는 단계는 타겟 품질을 평가하도록 복수의 결정된 시뮬레이션 파라미터들에 대한 값들을 이용하는 단계를 포함하는 방법.
  4. 제 3 항에 있어서,
    각각의 시뮬레이션 파라미터는 복수의 제안된 메트롤로지 타겟들을 차례차례 점진적으로 걸러 내는 데 사용되는 방법.
  5. 제 3 항에 있어서,
    각각의 시뮬레이션 파라미터는 복수의 제안된 메트롤로지 타겟들에 등급을 매기도록 가중된 조합(weighted combination)으로 사용되는 방법.
  6. 제 1 항에 있어서,
    상기 리소그래피 시뮬레이션은 광학-레지스트 시뮬레이션을 포함하는 방법.
  7. 제 1 항에 있어서,
    상기 메트롤로지 시뮬레이션은 회절-기반 오버레이 시뮬레이션을 포함하는 방법.
  8. 제 1 항에 있어서,
    상기 치명적 오류는: 브리징(bridging), 라인들 간의 네킹(necking), 레지스트 붕괴(resist collapse), 1 이상의 추가 라인(extra line), 1 이상의 손실 라인, 과도한 최상부 손실, 최소 임계 치수, 및 최소 라인 간격으로 구성된 그룹으로부터 선택되는 적어도 하나의 오류를 포함하는 방법.
  9. 제 1 항에 있어서,
    상기 공정 윈도우는 리소그래피 이미지 파라미터가 선택된 임계치와 같거나 상기 임계치를 넘어서는 도즈/포커스 공간에서의 구역을 포함하는 방법.
  10. 기판 상에 사용되는 메트롤로지 타겟을 선택하는 방법을 수행하는 기계 실행가능한 명령어들로 인코딩되는 비-일시적인(non-transitory) 컴퓨터 판독가능한 매체에 있어서,
    상기 방법은:
    복수의 제안된 메트롤로지 타겟들에 대하여 공정 윈도우 구역 상의 복수의 지점들에 대해 리소그래피 시뮬레이션을 수행하는 단계;
    상기 제안된 메트롤로지 타겟들의 각각에 대하여 상기 복수의 지점들의 각각에서 치명적 오류의 존재 또는 부재를 결정하는 단계;
    상기 복수의 지점들 중 어디에서도 치명적 오류를 갖지 않는 각 제안된 메트롤로지 타겟에 대하여만 상기 공정 윈도우에 걸쳐 파라미터에 대한 값을 결정하도록 메트롤로지 시뮬레이션을 수행하는 단계; 및
    상기 제안된 메트롤로지 타겟들 중 1 이상의 타겟 품질을 평가하도록 결과적인 결정된 시뮬레이션 파라미터 값을 이용하는 단계
    를 포함하는 비-일시적인 컴퓨터 판독가능한 매체.
  11. 제 10 항에 있어서,
    상기 메트롤로지 시뮬레이션을 수행하는 단계는 상기 공정 윈도우에 걸쳐 복수의 파라미터들에 대한 값들을 결정하는 단계를 포함하고, 상기 결과적인 결정된 시뮬레이션 파라미터 값을 이용하는 단계는 타겟 품질을 평가하도록 복수의 결정된 시뮬레이션 파라미터들에 대한 값들을 이용하는 단계를 포함하는 비-일시적인 컴퓨터 판독가능한 매체.
  12. 기판 상에 사용되는 메트롤로지 타겟을 선택하는 시스템에 있어서:
    복수의 제안된 메트롤로지 타겟들에 대하여 공정 윈도우 구역 상의 복수의 지점들에 대해 리소그래피 시뮬레이션을 수행하고;
    상기 제안된 메트롤로지 타겟들의 각각에 대하여 상기 복수의 지점들의 각각에서 치명적 오류의 존재 또는 부재를 결정하고;
    상기 복수의 지점들 중 어디에서도 치명적 오류를 갖지 않는 각 제안된 메트롤로지 타겟에 대하여만 상기 공정 윈도우에 걸쳐 파라미터에 대한 값을 결정하도록 메트롤로지 시뮬레이션을 수행하며; 및
    상기 제안된 메트롤로지 타겟들 중 1 이상의 타겟 품질을 평가하도록 결과적인 결정된 시뮬레이션 파라미터 값을 이용하도록 구성되고 배치된 처리 유닛을 포함하는 시스템.
  13. 방법에 있어서:
    치명적 오류에 대해 리소그래피 공정 윈도우의 복수의 지점들에서 복수의 메트롤로지 타겟 디자인들을 평가하는 단계;
    상기 복수의 지점들 중 어디에서도 치명적 오류가 없는 것으로 평가되는 복수의 메트롤로지 타겟 디자인들에 대해, 치명적 오류가 없는 것으로 평가되는 상기 복수의 메트롤로지 타겟 디자인들 각각에 대한 메트롤로지 성능 지표(metrology performance indicator)를 결정하는 단계; 및
    상기 메트롤로지 성능 지표에 기초하여 치명적 오류가 없는 것으로 평가되는 상기 복수의 메트롤로지 타겟 디자인들의 평가를 제공하는 단계
    를 포함하는 방법.
  14. 삭제
  15. 삭제
KR1020167021007A 2013-12-30 2014-12-04 메트롤로지 타겟의 디자인을 위한 방법 및 장치 KR101860038B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361921817P 2013-12-30 2013-12-30
US61/921,817 2013-12-30
PCT/EP2014/076545 WO2015101461A2 (en) 2013-12-30 2014-12-04 Method and apparatus for design of a metrology target

Publications (2)

Publication Number Publication Date
KR20160103132A KR20160103132A (ko) 2016-08-31
KR101860038B1 true KR101860038B1 (ko) 2018-05-21

Family

ID=52016068

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167021007A KR101860038B1 (ko) 2013-12-30 2014-12-04 메트롤로지 타겟의 디자인을 위한 방법 및 장치

Country Status (4)

Country Link
US (1) US9494874B2 (ko)
KR (1) KR101860038B1 (ko)
TW (1) TWI559099B (ko)
WO (1) WO2015101461A2 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101991418B1 (ko) 2014-06-10 2019-06-20 에이에스엠엘 네델란즈 비.브이. 컴퓨터를 이용한 웨이퍼 검사
CN107077077B (zh) 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
KR102166317B1 (ko) 2015-12-24 2020-10-16 에이에스엠엘 네델란즈 비.브이. 패터닝 공정의 제어 방법, 디바이스 제조 방법, 리소그래피 장치용 제어 시스템 및 리소그래피 장치
JP6682263B2 (ja) * 2015-12-25 2020-04-15 キヤノン株式会社 検出装置、露光装置および物品の製造方法
US10453758B2 (en) 2016-03-01 2019-10-22 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter using an asymmetric optical characteristic distribution portion
JP6497349B2 (ja) * 2016-04-13 2019-04-10 トヨタ自動車株式会社 車両走行制御装置
CN109923476B (zh) * 2016-09-01 2021-11-19 Asml荷兰有限公司 量测目标测量选配方案的自动选择
KR102224672B1 (ko) * 2016-10-26 2021-03-08 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법
EP3339958A1 (en) * 2016-12-22 2018-06-27 ASML Netherlands B.V. A method for optimization of a lithographic process
IL270315B2 (en) * 2017-05-03 2023-12-01 Asml Netherlands Bv Determining metrology parameters and choosing a metrology recipe
WO2018202388A1 (en) 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
WO2019029933A1 (en) * 2017-08-07 2019-02-14 Asml Netherlands B.V. COMPUTER METROLOGY
CN112689802B (zh) * 2018-08-28 2024-03-29 Asml荷兰有限公司 最佳量测指导的系统和方法
KR20200043585A (ko) * 2018-10-17 2020-04-28 삼성전자주식회사 반도체 패턴의 광 근접 보정 오차 최소화 방법 및 장치
JP7149870B2 (ja) * 2019-02-08 2022-10-07 キヤノン株式会社 インプリント装置および物品製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070050749A1 (en) * 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
JP2012514871A (ja) 2009-01-08 2012-06-28 ケーエルエー−テンカー・コーポレーション 光散乱計測ターゲット設計の最適化

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000057126A1 (fr) 1999-03-24 2000-09-28 Nikon Corporation Dispositifs et procedes de determination de position, d'exposition, et de determination d'alignement
DE10143723B4 (de) 2001-08-31 2006-09-28 Infineon Technologies Ag Verfahren zur Optimierung eines Layouts für eine Maske zur Verwendung bei der Halbleiterherstellung
JP4352458B2 (ja) 2002-03-01 2009-10-28 株式会社ニコン 投影光学系の調整方法、予測方法、評価方法、調整方法、露光方法及び露光装置、露光装置の製造方法、プログラム並びにデバイス製造方法
US6721691B2 (en) 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6869739B1 (en) * 2003-01-28 2005-03-22 International Business Machines Corporation Integrated lithographic print and detection model for optical CD
SG108975A1 (en) 2003-07-11 2005-02-28 Asml Netherlands Bv Marker structure for alignment or overlay to correct pattern induced displacement, mask pattern for defining such a marker structure and lithographic projection apparatus using such a mask pattern
JP2007535135A (ja) * 2004-04-20 2007-11-29 ライテル・インストルメンツ リソグラフ投影ツールのエミュレーション法
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
DE102005009071B4 (de) 2005-02-28 2008-06-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Prozesssteuerung
US7704605B2 (en) 2006-03-28 2010-04-27 Eastman Chemical Company Thermoplastic articles comprising cyclobutanediol having a decorative material embedded therein
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7925486B2 (en) 2006-03-14 2011-04-12 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US8045786B2 (en) 2006-10-24 2011-10-25 Kla-Tencor Technologies Corp. Waferless recipe optimization
US7873504B1 (en) * 2007-05-07 2011-01-18 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
NL1036750A1 (nl) 2008-04-14 2009-10-15 Brion Tech Inc A Method Of Performing Mask-Writer Tuning and Optimization.
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
TWI417942B (zh) 2009-12-17 2013-12-01 Ind Tech Res Inst 二維陣列疊對圖樣組之設計方法、疊對誤差量測方法及其量測系統
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US8468471B2 (en) 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US10354929B2 (en) 2012-05-08 2019-07-16 Kla-Tencor Corporation Measurement recipe optimization based on spectral sensitivity and process variation
NL2010717A (en) 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
KR102020021B1 (ko) 2013-03-04 2019-09-10 케이엘에이 코포레이션 계측 타겟의 식별, 디자인 및 검증

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070050749A1 (en) * 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
JP2012514871A (ja) 2009-01-08 2012-06-28 ケーエルエー−テンカー・コーポレーション 光散乱計測ターゲット設計の最適化

Also Published As

Publication number Publication date
TW201527902A (zh) 2015-07-16
WO2015101461A3 (en) 2015-08-20
WO2015101461A2 (en) 2015-07-09
TWI559099B (zh) 2016-11-21
US20150185626A1 (en) 2015-07-02
KR20160103132A (ko) 2016-08-31
US9494874B2 (en) 2016-11-15

Similar Documents

Publication Publication Date Title
US20220113638A1 (en) Method and apparatus for design of a metrology target
KR101860038B1 (ko) 메트롤로지 타겟의 디자인을 위한 방법 및 장치
US11320745B2 (en) Measuring a process parameter for a manufacturing process involving lithography
US9804504B2 (en) Method and apparatus for design of a metrology target
KR101860042B1 (ko) 메트롤로지 타겟의 디자인을 위한 장치 및 방법
US10725372B2 (en) Method and apparatus for reticle optimization
US7460237B1 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
CN109564393B (zh) 量测方法和设备、计算机程序和光刻系统
KR20190029698A (ko) 메트롤로지 타겟 필드의 디자인을 위한 장치 및 방법
NL2009294A (en) Method and apparatus for determining an overlay error.
US20080088854A1 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
IL258179B (en) Hierarchical representation of two-dimensional or three-dimensional shapes

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant