JP2007535135A - リソグラフ投影ツールのエミュレーション法 - Google Patents

リソグラフ投影ツールのエミュレーション法 Download PDF

Info

Publication number
JP2007535135A
JP2007535135A JP2006547641A JP2006547641A JP2007535135A JP 2007535135 A JP2007535135 A JP 2007535135A JP 2006547641 A JP2006547641 A JP 2006547641A JP 2006547641 A JP2006547641 A JP 2006547641A JP 2007535135 A JP2007535135 A JP 2007535135A
Authority
JP
Japan
Prior art keywords
machine
lithographic
reticle
image
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006547641A
Other languages
English (en)
Inventor
アドライ・エイチ・スミス
ロバート・オー・ハンター・ジュニア
ジョゼフ・ベンディック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Litel Instruments Inc
Original Assignee
Litel Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Litel Instruments Inc filed Critical Litel Instruments Inc
Publication of JP2007535135A publication Critical patent/JP2007535135A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/08HW-SW co-design, e.g. HW-SW partitioning

Abstract

仮想のウエハースとリソグラフのライブラリを使用することで、リソグラフのツールとプロセスのエミュレーションを生産するための技術が開示される。リソグラフ投影イメージ用マシンをエミュレートすることは、イメージマシン、イメージマシンで使用されるレチクル、および層固有のプロセスの特性を決定すること含む。そして、イメージマシン、レチクルおよび層固有のプロセスの特徴を用いて、仮想のウエハー上でエミュレーションを実行する。決定されたマシンの特徴は、露光源、レンズ異常、射出瞳、マシン、振動、校正オフセット、またはレジストを含む。決定されたレチクルの特徴は、明細書で述べたような歪み、臨界寸法、位相伝送エラー、マスククリップ、またはマスク位置を含む。また層固有のプロセスの特徴は、マシンモデル、マシン設定用識別子、およびフィールド露光順を含む。エミュレーション結果は、最適化器に入力でき、そして投影イメージ用マシンに関連する最適な運転条件が決定される。

Description

発明の詳細な説明
[発明の背景]
1.発明の分野
この発明は、一般に半導体の製造に関し、特にリソグラフ投影システムに関する。
2.背景
より短い期間で集積回路をより大きい機能性かつより高速(より小さいピッチ、k1等)に製造するために、半導体の製造が高速になるにつれ、プロセスを改善するための方法は、より困難となり、収益性のための要素を閉ざす。しかし、重要で、難しくて高価な半導体プロセスは、プロセス技師と一緒に設備メーカーが、解像度、焦点の深さ、およびオーバーレイ制御のような特徴に関連する基本的な物理的な制限がある状態で、高い生産を生み出すために期待されるリソグラフ(パターン描画)である。
半導体産業が、光学リソグラフの基本的な限界、リソグラフの製造性における改善、特に高度なプロセス制御に関連するものに向かって推し進められるとき、リソグラフのシミュレーション、およびツールの特徴付け/修正が必要となる。理論上の、および、適用されたアドバンスト プロセス コントロール (APC)の双方が進歩したが、リソグラフ製造の半導体メーカーに対する技術は、特に大きい鋳造は、きわめてゆっくりと受け入れられ、実行され、そして、技術を移転した。拒絶に対する理由は、複雑さ、投資還元の証拠(ROI)、互換性、可変性および、不完全なツール特殊化を処理するためにリンクされた低いアルゴリズム性能を含む。Tan その他による U.S. 特許 No. 6,263,255, 2001年7月 17日, 2001発効の "Advanced Process Control for Semiconductor Manufacturing"を参照。
産業は仮想のプロセス開発とリソグラフのプロセス最適化に大いに依存するので、リソグラフのシミュレーションにおける進歩、顕著な速度と複雑さは、重大になる。しかしながら、これに関し、リソグラフシミュレーションの出力と利点は、つまりプロセスの最適化は、ソースの特性、レンズの異常、レジストのモデリングパラメータなど、および、ツール(マシン)およびプロセスの変化に対する良好な理解のようなリソグラフ入力の正確さに大きく依存する。( C. Hwang その他による "Impact of Illumination Intensity Profile on Lithography Simulation", Optical Microlithography XVII, Proc. SPIE, 冊 5377-149, 2004, 頁1427-1434 および J. Cain その他による "Optimum Sampling for Characterization of Systematic Variation in Photolithography", Optical Microlithography, Proc. SPIE, 冊 4689-45を参照)。
投影イメージ用システムの特徴付けに対する正確な方法は、例えば、レンズ異常およびソースの非一様性を定量化する方法は、最近まで工業的に採用されてきた。(A. Smith その他による "Apparatus, Method of Measurement and Method of Data Analysis for Correction of Optical System", 1998年101月27日発効の U.S. 特許 No. 5,828,455 及び B. McArthur その他による "In-Situ Source Metrology Instrument and Method of Use",2002年3月12日発効のU.S. 特許 No. 6,356,345を参照)。これらの技術では、映像イメージシステムがしばしば性能仕様(副波長のリソグラフィ)を超えて使用され、そこでは、レンズ異常およびソースの非一様性がリソグラフの性能を著しく低下させるので、極めて高い精度が要求される。
リソグラフのプロセス特に投影イメージ用システムをモデル化またはシミュレートに対する能力は、低いK1マスクの組立およびリソグラフ処理に関連する製造能力を都合良く改善することを証明した。改善は、プロセスを迅速にかつ、安価にして最適化するツールを技術者に与えることによって、なされてきた。電磁(E&M)シミュレーションとリソグラフのプロセスモデリングについては、いくつかの古典的な書類でNeureutherにより議論された。W. Oldham その他による "A General Simulator for VLSI Lithography and Etching Processes", 冊 I Application to Projection Lithography IEEE Trans. Electron Devices, ED-26, No. 4, 1975, 頁 712-722, および M. Zuniga その他による "Reaction Diffusion Kinetics in Deep-UV Positive Tone Resist Systems", Microlithography, Proc. SPIE, 刊. 2438, 1995, 頁113-124を参照。今日、いずれかの低いK1のリソグラフプロセス(回路設計、マスク、およびプロセスの開発)の成功した開発は、専ら計算に大きく依存する層シフトマスク(PSM)および光学による近接修正(OPC)のような波面工学の技術の使用を必要とする。W. Grobman その他による "Reticle Enhancement Technology: Implications and Challenges for Physical Design", DAC, 2001年6月18-22日, Las Vegas, Nevada, 頁 6を参照。
単に物理的なリソグラフのモデルは、通常未知であるか見積もられる入力(統計的かつ絶対的の双方)を必要とするので、一般に、推計的なエンジンに結びつけられたリソグラフのシミュレータの性能が、プロセス性能を予測できる点でまだむしろ制限されていることに注意されるべきである。N. Jakatdar その他による "A Parameter Extraction Framework for DUV Lithography Simulation", Metrology, Inspection, and Process Control for Microlithography XIII, Proc. SPIE, 冊 3677, 1999, 頁 447-456を参照。 PROLITHTMかSOLID-CTMなどのリソグラフのシミュレーションエンジンは、ほぼ100個のモデリング用のパラメータを必要とし、その多くは、リソグラフプロセスの適したシミュレーションに対し単に未知である。
化学的に拡張された複合体のレジスト(CAR)の導入は、リソグラフのイメージを劇的に改善した。そのCARは、リソグラフのイメージを改善したが、レジストの性能を正確にモデル化するために、多くのパラメータ(主要な露光焼き、Fickian非拡散のような)を必要とするためのコストが加算された。H. Yoshinoによる "Simulation of Chemically Amplified Resists", Jpn. J. Appl. Phys., 冊 31, 1992, 頁4283-4287 および J. Byers その他による, "Lumped Parameter Model for Chemically Amplified Resists", Optical Microlithography XVII, Proc. SPIE 5377-152, 2004, 頁 1-13を参照。進歩は、展開しているより正確な電磁シミュレータおよびレジストのプロセスモデルで見られている。しかしながら、シミュレーションの予見性は、入力パラメータの信頼レベルによって門が閉ざされている(上記の "A Parameter Extraction Framework for DUV Lithography Simulation"を参照)。一般に、より多くがリソグラフプロセスの可変性およびマシン性能測定基準(ソースの不一様性、異常、同期エラー、焦点、いくつかを命名するテレセントリック)の根本的原因に関する知識がより詳細なほど、リソグラフの挙動と最適化プロセスの性能のシミュレーションが良くなる。最後に、半導体シミュレーションの他の多くの領域での進歩は、リソグラフのシミュレータ(いくつかを命名するために熱加工、堆積、エッチング、および、イオン注入を含む)の開発と平行に起きた (Silvacoによる "Process Simulation Paper General (Etch, Ion-Implant) Virtual Wafer Fab (Integrated TCAD Environment)", www.silvaco.com/products/interactive_tools/vwf.htmlを参照)。
リソグラフの可変性および、回路性能へのその影響を定量化するための方法は、CainおよびSpanosを含む数人の作者により、議論されている ( 上記の "Optimum Sampling for Characterization of Systematic Variation in Photolithography"を参照)。統計的手法はしばしば、リソグラフプロセスを実際にモデル化するために試みる推計的なアルゴリズム(ここでは、制限されたプロセスおよびマシンデータのみが存在する)によるリソグラフのシミュレーションに関連して使用される。(J. Wang その他による "A Novel Approach for Modeling and Diagnosing the Lithography Sequence", AEC/APC, 2001を参照)。したがって、リソグラフのシミュレータの性能を向上させるために、方法と装置に対する要求がある。
[発明の概要]
この発明の具体化によると、リソグラフのシミュレータの性能に対して技術が説明される。仮想のウエハースとリソグラフのライブラリを使用したリソグラフのツールおよびプロセスのエミュレーションを生産するための技術が説明される。
1実施例では、リソグラフ投影イメージ用マシンを用いた方法は、イメージ用マシン、イメージ用マシンで使用されるレチクル(望遠鏡の対物レンズの十字線)、および、層固有のプロセスの特性を決定することを含む。そして、イメージ用マシン、レクチルおよび層固有のプロセスの特性を用いて、仮想のウエハー上でエミュレーションを実行する。イメージ用マシンの決定された特徴の例は、露光源、レンズの変形、射出瞳、マシン、振動、校正のオフセットまたはレジストの特徴を含む。レチクルの決定された特徴の例は、歪み、臨界寸法、層伝導エラー、記述された仕様としての使用マスククリップ、またはマスクサイトを含む。そして、層固有のプロセスの特徴の例は、マシンモデル、マシン設定用識別および暴露順を含む。その特性はデータベースに格納できる。
仮想のウエハースの特性は、偏平プロフィール情報とウエハース識別番号を含むことができる。仮想のウエハーの特性に関する他の例は、ウエハーの位置合わせのマークと、プロセス層の識別と、マシン設定と、パターン結果を含む。データベースに仮想のウエハースの特性を格納することができる。エミュレーションを実行した後に、エミュレーションからの結果により、仮想のウエハー データベースをアップデートすることができる。
イメージ用マシンの特徴、レクチルおよび層固有のプロセスは、例えば、製作統計、スループット、費用問題、高度な工程管理、またはニューラル・ネットワークに基づき定期的にアップデートすることができる。
別の具体化では、リソグラフの投影イメージ用マシンをエミュレートする方法は、投影イメージ用マシンの露光源を特徴付けることを含む。また、投影イメージ用マシンのレンズ異常と射出瞳も特徴付けられる。さらに、投影イメージ用マシンの機構は、投影イメージ用マシンで使用されるレチクルおよび投影イメージ用マシンの層固有のプロセスと同様に、特徴付けられる。 仮想のウエハーが提供され、そして、シミュレーションは、特徴付けを用いて、仮想のウエハー上で実行される。そして、シミュレーションの結果で仮想のウエハーデータベースをアップデートする。
別の具体化は、リソグラフ投影マシンとプロセスから写真製版のチップマスク・ワークを生成するための方法を含む。その方法は、リソグラフの作業計画(DOE)の設計を含む。そして、イメージ用マシン、イメージ用マシンで使用されるレチクル、および層固有のプロセスの特徴を決定することによってDOEをエミュレートする。エミュレーションは、イメージ用マシン、レチクル、および層固有のプロセスの特徴を使用することで仮想のウエハーに実行される。
マイクロ電子工学のチップ生産システムは、リソグラフの投影システム、そのリソグラフの投影システムで使用されるレチクル、および層固有のプロセスの特徴を受け入れるために構成された生産システムコントローラを含むことができる。コントローラは、リソグラフの投影システム、レチクル、および層固有のプロセスの特徴を使用することで仮想のウエハースにエミュレーションを実行してもよい。また、システムは、リソグラフの投影イメージ用システムのスキャナを制御するスキャンコントローラを含むかもしれない。そして、プロセスコントローラは、リソグラフ仮想マシンのエミュレータと生産システムコントローラにより発生された出力に従って、スキャナの動作を調整する。そして、プロセスコントローラは、リソグラフ仮想マシンのエミュレータおよび生産システムコントローラにより発生された出力に基づき、スキャナの動作を調節する。
リソグラフ投影イメージ用マシンを制御する方法は、リソグラフのエミュレーションを実行することを含むことができる。そのエミュレーションは、イメージ用マシン、そのイメージ用マシンで使用されるレチクル、および層固有のプロセスの特性を決定することによって、実行される。シミュレーションは、イメージ用マシン、レチクル、および層固有のプロセスの特徴を使用することで仮想のウエハーに実行される。そして、エミュレーションの結果に応じて、投影イメージ用システムは調整される。例えば、投影イメージ用システムは、プロセス変化、生産ロス、またはマシンエラーを最小にするために、調整できる。
リソグラフの仮想マシンのエミュレータは、リソグラフシミュレータを使用して、リソグラフマシンおよびプロセスをエミュレートすることにより、調整されてもよい。測定されたリソグラフデータの1組の組立は、与えられ、そしてエミュレートされたリソグラフ出力と比較されてもよい。そして、エミュレーションのリソグラフ出力と測定されたリソグラフのデータとの差を最小にするように、その比較に応じて、シミュレーションモデルとパラメータを調整する。エミュレーション、比較および調整のプロセスは、リソグラフ出力と測定されたリソグラフデータとの間の所望の集合が達成されるまで、繰り返される。比較に使用されるリソグラフデータの例は、重要なサイズ、側壁の角度、レジストのロス、特徴的な位置、プロセスのウインドウ、Bossung プロット、DRM データ、 レジスト情報、または レジストスタックの断面情報を含むことができる。
別の具体化では、所有権の費用解析が実行される。その解析は、イメージ用マシン、そのイメージ用マシンで使用されたレチクル、および層固有のプロセスの特性を決定することを含んでいるイメージ用マシンのリソグラフのエミュレーションを実行することを含む。リソグラフのエミュレーションは必要な数のマシンのために実行される。その時、所有権の費用は、解析ソフトウェアを使用することで決定される。
この具体化は、計算機命令としてコンピュータ読み込み可能なメディアにコード化されるかもしれない。計算機命令は、具体化のステップを終了するために、プロセッサによって実行されてもよい。
技術を使用することができるリソグラフ投影イメージ用マシンに関する例は、ステッパー、1次元のスキャナ、2次元のスキャナ、EPLマシンまたはイメージ側の油浸レンズを含む。
オプティマイザ(最適化器)にエミュレーション結果を入れることができる。最適化器は、投影イメージ用マシンに関連する最適な運転条件を決定するかもしれない。そして、最適化された運転条件を使用して、ウエハーが暴露されてもよい。
ウエハーに関する例は、レジストでコーティングされたシリコンウエハー、レジストでコーティングされたフラットパネル、レジストでコーティングされた回路基板、または電子録音装置を含む。電子録音装置に関する例は、CCDかCMOSデバイスを含む。
この発明の他の特徴と利点は、この発明の態様の例で図示した以下の例示的な具体化の記述で明らかになるであろう。
[詳細な説明]
シミュレーションと新規な測定方法の結合使用でリソグラフ投影イメージ用システムを特徴付けることにより、顕著な進歩が見られた。測定技術における進歩は、リソグラフシステムの部品の特徴付けを改善した。例えば、レンズ異常の特徴付けのための方法は、Smithで見つけることができる( 上記 "Apparatus, Method of Measurement and Method of Data Analysis for Correction of Optical System", および、 Smith その他による "Apparatus, Method of Measurement and Method of Data Analysis for Correction of Optical System", U.S. 特許 No. 5,978,085, 1999年11月2日を参照)。また、イルミネーションソースの特徴付けのための方法をSmith ("In-Situ Source Metrology Instrument and Method of Use")で見つけることができる。そして、(系統的で無作為の同期誤りがあるとき、) ステッパーとスキャナの両方に関するレンズひずみの測定のための方法は、Smith ("Method and Apparatus for Self-Referenced Dynamic Step and Scan Intra-Field Lens Distortion", U.S. 特許出願 No. 10/252,020, 2002年9月20日 および A. Smith その他による "Method and Apparatus for Self-Referenced Projection Lens Distortion Mapping", U.S. 特許 No. 6,573,986, 2003年6月2日 を参照) で見ることができる。流動的なスキャン同期エラーの測定のための技術は Smith ( "Method and Apparatus for Self-Referenced Dynamic Step and Scan Intra-Field Scanning Distortion", U.S. 特許出願 No. 10/252,021, 2002年9月20日を参照) で見つけることができる。また、ウエハー湾曲とレンズ異常の存在下で焦点を決定するための技術は、Smith ( "Apparatus and Process for Determination of Dynamic Lens Field Curvature", U.S. 特許出願 No. 10/833,557, 2004年4月28日および "Apparatus and Process for Determination of Dynamic Scan Field Curvature", U.S. 特許出願 No. 10/833,781, 2004年4月28日)で見つけることができる。
投影イメージ用システムの性能を正確に測定する能力は、ツール承認、生産モニター、シミュレーション、および高度な工程管理アプリケーションに貴重であることが判明した ( P. DeBisschopによる "Evaluation of Litel's In-Situ Interferometer (ISI) Technique for Measuring Projection Lens Aberrations: An Initial Study", Optical Microlithography, Proc. SPIE, 冊. 5040, 2003, 頁11-23を参照)。
完全かつ正確にリソグラフの性能をエミュレートできるシステム(仮想の計算機エミュレータ)を作成するように、現在、リソグラフのシミュレーション、統計、マシンの特徴付け、および高度なプロセス管理(APC) でなされた進歩にリンクする機会がある。オリジナルのソースとほとんど同じ出力を起こすように、ソフトウェア、ハードウェア、および/またはプロセスでそのような方法でまねるためのエミュレーション、または能力は、何年間も望まれていた。正確なリソグラフのエミュレーションを実行する能力は、リソグラフの入力の信頼レベルに依存し、この要件は、エミュレータが確かに絶え間なく働くと予想されるので、そのようなシステムを作成する実用性を制限した。正確なリソグラフのエミュレータの構造は、重要なリスク/マシン調節のような報酬適用、マシン固有の生産品流動、ロット性質、ロットの再形成、プロセス開発および高度なプロセス管理アプリケーションが経済的に実行可能である意味で重要である。
仮想のウエハーとリソグラフのライブラリを使用することでリソグラフのツールとプロセスのエミュレーションを作り出す方法が説明される。最初に、投影イメージ用ツール、クチクル、ウエハーおよびリソグラフプロセスを特徴付けのための好ましい方法が、リソグラフのライブラリの構築のための方法を含んで説明される。次に、リソグラフのシミュレーションエンジンを使用することで仮想のウエハーを作成して、アップデートするための方法が説明される。リソグラフ特徴付け方法、リソグラフのライブラリ、リソグラフのシミュレータ、および仮想のウエハーは、正確にリソグラフの性能を予測することができるリソグラフの仮想計算機エミュレータ(VME)を形成するために、流動的なソフトウェアフレームワークと統合される。
図1は、5つの主なブロックから成るリソグラフのマシンエミュレーションに対するプロセスを例証する。「マシン」は、リソグラフ投影ステッパーかステップとスキャンツール (スキャナ)がおそらくリソグラフのトラックに統合されたことを意味する。 以下でさらに議論する図2で示されるように、VMEか仮想マシンエミュレータの枠組みでこの発明を実行することができる。VMEは、ソフトウェア(および、ことによるとハードウェア)インタフェースと、マシンと、プロセスの特徴付け率(それぞれ、Cmi と Cpi )の双方の実行モードにより決定された変化する時間スケール上の入力に応答することに気付くのが重要である。特徴付けの率 Cxi は、特定のマシンかプロセスの性能距離(i)における変化を反映するために、マシンまたはプロセスがいかにしばしばサンプリングされたかを示す。
特徴付け率は周知であり、そして、製作保守手順により一般に設定され、そして、典型的に、時間スケール上にあり、その時間スケールは、故障時間と統計的な最適化との間のバランスを示すか、または適切なAPC方法論により決定される。特徴付け率は単に、マシンとプロセス性能における変化を反映するために常にエミュレーション仮想ライブラリをアップデートしなければならないという事実を表す。
従来のリソグラフのシミュレーションと比べて、ここで説明されたリソグラフのエミュレーションの技術は非常に異なっている。例えば、エミュレーション技術は、リソグラフの製作の間に起こる変化を機械加工して、処理するために正確に反映して、応答(仮想のウエハースを作成)することができる。一方、従来のシミュレーションは、統計的な生産モデルで実行された時でも、固定された又は静止のリソグラフ法を示す。別の方向から見ると、ここで説明されるエミュレーションは、マシンとプロセスの性能の正確な(信用できる)休止を連続的に許可し、一方、従来のシミュレーションは、正確で正しい入力が与えられたマシン(およびプロセス)性能をまねる。
図1はエミュレーション手順の詳細な概観について説明するブロックダイアグラムである。そして、図2は流動的なリソグラフ VME のソフトウェアフレームワークの詳述を提供する。その出力は、マシン調整、マシン特定の製品流動、ロット性質、ロットの再動作、プロセス開発、および高度な工程管理のような重要なリスク/報酬動作が経済的に見えるために、十分に高い精度である。追加的な具体化は、集積回路を生産する方法と同様に、熱加工、堆積、エッチングおよびイオン移植と他の可能なものを含むために、測定法性能を定量化し、そしてエミュレーション・シミュレーションエンジンを広げるための方法を述べる。図2で示したVME「ソフトウェアパッケージ」は、プログラマブルディジタルコンピュータで実行する。
図1は、仮想マシンエミュレーションを実行するためのステップを例証する。図1で示されるように、ステップは5ブロックを用いて説明される。ブロック1は、リソグラフのマシンとプロセスを特徴付けることを含む。そして、ブロック2では、特徴付けとプロセスが1つ以上のライブラリに入れられる。ブロック3では、リソグラフシミュレータは、ブロック2のライブラリからの入力を用いて実行する。そして、ブロック4と5で、アップデートされた仮想のウエハーが作成され、そして、VWは再び処理される。 以下に、図1における、それぞれのブロックの詳述がされる。
[図1のブロック1]
マシンの光学および機構が、結像に関連するとき、それらは図1のブロック1に対して以下説明されるように特徴付けがされる。また、マシン特徴付けは、マシンのエミュレーション(マシン性能を忠実に休止させる能力)と、マシンのシュミレーション(与えられた正確な入力の情報をまねる能力)との間で強い区別を作成する。マシン特徴付けの用語は、ツール固有のパラメータの抽出(一般に好ましい方法を用いる)を意味するために使用される。さらに、マシン固有の情報を分類し、識別するための識別子(_ID)は、総合的なVME(図2を参照)および、仮想ライブラリとのその相互作用に関係するので、使用される。最後に、エミュレーションが流動的なプロセスであるので、タイムスタンプとデータ履歴は、VMEデータベースとライブラリをアップデートするために用いられる。ブロック1内でのマシン属性の特徴付けは、いずれかの望ましい順で達成できる。
マシンのソース特徴付け
レチクルへの入射が測定されるかもしれないので、ソース、例えば、ソースまたは放射強度のプロフィール(dE/do (nx,ny))、および光カラースペクトラム(例えばレーザのライン中心およびライン幅)が特徴付けられる。放射強度プロフィールの直接的な特徴付けは、複数の横向きのフィールドポイントでのde/doを決定するために、上記の"In-Situ Source Metrology Instrument and Method of Use"の方法及び装置を利用できる。VMEに関しては、dE/doは、マシンプログラミングのための公称の識別子を表すソース識別子(SID)により特定することができる。従って、SID=“標準1”は、所望の状態への照射を得るために、ステッパをプログラムするオペレータが、SIDをタイプするか、または選択することを意味する(例えば図2の参照番号210を参照)。ソース測定(上述の"In-Situ Source Metrology Instrument and Method of Use"を参照)のための特徴付けのサンプリング時間は、上述したような組立、または“fab”、スループット、fab統計学、APC方法の考察により決定される。更に、ソース分極マップ、レーザー・スペクトルの統計的な履歴、バックグラウンドのノイズスペクトル、および、レーザの内部データログまたは、スキャナ測定法データベースにより記録されるような統計を含むレーザ幅は、マシンライブラリデータベースに入力されてもよい。一方、上で説明されるようにタイムスケールで情報がアップデートされてもよい。更に、Kanda および Shibuyaによる研究で明記された方法により得られるような閃光データも、マシンライブラリデータベースに含むことができる ( T. Kanda その他による "0.85 NA ArF Exposure System and Performance", Optical Microlithography, Proc. SPIE, 冊 5040-5069, 2003, 頁789-800 および M. Shibuya その他による "Random Aberration and Local Flare", Optical Microlithography, Proc. SPIE, 冊 5377, 2004, 頁1910-1920を参照)。最後に、ソース・テレセントリックに関係する情報は、上述した“n-Situ Source Metrology Instrument and Method of Use”で明記された方法を使用することでマシンライブラリに入力されてもよい。また、ソース ID (SID), ソース測定データ, および 特定のマシン識別子 (MAID) の追加情報もマシンライブラリデータベースに入力されてもよい。図2の参照番号210を参照。そこには、MAIDは、重要な物理的なマシンに割り当てられた動作指示である。
マシンの異常特徴付け
レンズ異常が特徴付けられる。例えば、投影ツールに対するレンズ異常は、決定され、測定され、そしてマシンライブラリに入力される。干渉計を用いた投影イメージ用システムに対するレンズ異常を測定するための方法は、上述した“Apparatus, Method of Measurement and Method of Data Analysis for Correction of Optical System" および上述した "Apparatus, Method of Measurement and Method of Data Analysis for Correction of Optical System"に開示される。これらの方法は、本来のレチクルプレートを用いた投影イメージ用フィールド内の複数のポイントでの異常Φ (nx, ny)の特徴付けを許可する。また、さらに、レーザー・スペクトルパラメータが提供されるなら、波長シフト、帯域幅、スペクトル波形(強度)、および分極に応答するレンズ異常も提供されてもよい。継続中の明細書("Process and Method for Measurement of Crossfield Chromatic Response of Projection Imaging Systems", U.S. 仮特許出願 No. 60/627,688, 2004年11月12日出願)に開示された方法を、上述した量(つまり、dai/dlambda = 1波長あたりのZernike 係数の変化個数)を与えるために使用できる。レーザー・スペクトルパラメータに関連して使用されるZernike膨張は、波長に応答するシステムを特徴付けるのを役立つ。低いオーダーの歪み(ティルトの用語)を決定するための方法は、上記「自己参照する流動ステップおよびスキャンイントラ体物レンズのひずみのための方法と装置」を含む。その上、波長が移行するなら、帯域幅、スペクトル形(強度)、および分極はウエハー面で測定され、そして、より完全な分析が可能になる。最後に、上記「0.85のNa ArF暴露システムとパフォーマンス」で説明されたような長い幅の閃光は、つねに、高いオーダーのZernike膨張に対して説明できるので、それらを決定するための方法も、マシン特徴付けに含むことができる。
VMEのライブラリ入力(図2の残照番号210)、特有のMAIDを有し、ユーザー固有の投影レンズ調整の設定を持つスキャナーマシンは、ユニークな変形識別子(AID)により識別される。異なったAID設定は、マシンを整合するか、またはプロセス/層固有の最適化された異常を引き起こすための必要性で起こる。この調整能力のないマシンのために、VMEマシンライブラリはデフォルトのIDかAID='ゼロ'を使用する。
要約すると、異常Φ、閃光の拡大、AID、MAID、およびISI露出データは、マシンライブラリ(MA_LIB)に入力さてもよい。また、特徴付けに対する時間間隔は、fab統計、スループットバランス、APC方法、または、VME出力の変化により決定される(以下を参照)。
マシンの射出瞳特徴付け
射出瞳が特徴付けされる。例えば、射出瞳伝送機能は、決定されるか、測定されるかして、マシンライブラリ(MA_LIB)に入力される(MA_LIB)。射出瞳伝送機能T(nx, ny)を特徴付けるための方法は、フィールドの関数として、上の文献"Apparatus and Method for Measurement of Exit Pupil Transmittance"に記述される。その方法は、文献 "In-Situ Source Metrology Instrument and Method of Use"に記述されたものに似る。そこでは、レジストの測定が、放射強度の関数を構築するために用いられる。射出瞳の特徴付けの後、マシンライブラリデータベースは、露光データ、MAID、および射出瞳識別子(XID)に関係してもよい。分極化効果およびレチクル側テレセントリック(上の"Apparatus and Method for Measurement of Exit Pupil Transmittance"を参照)のような追加的な情報が入力されてもよい。
マシンの機構特徴付け
マシンの機構も特徴付けされてもよい。 例えば、マシンの機構は、決定されるか、測定され、そしてVMEマシンライブラリに入力される。スキャナーマシンの機構を特徴付けするための方法は、上記の"Method and Apparatus for Self-Referenced Dynamic Step and Scan Intra-Field Scanning Distortion"で見ることができき、そこで、横軸のスキャナ同期(TSS)エラーは、決定され、そしてレンズひずみの影響から切り離される。更に、ステージ速度(図2の参照番号210におけるVscan)を含む干渉計を用い、0に近いrms値があるMSDまたは流動的な振動要素を決定するための方法が、ステージエラーを系統的なスキャンを説明する較正と測定法オフセットを含むDUVリソグラフに対するステップおよびスキャンシステム(G. de Zwart, et. Al.; SPIE 冊 3051; 頁 817:835)の性能を参照して説明される。Smith( 上記の"Method and Apparatus for Self-Referenced Projection Lens Distortion Mapping", および "Method and Apparatus for Self-Referenced Wafer Stage Positional Error Mapping", U.S. 特許 No. 6,734,971, 2004年5月11日)で述べられているように、ステッパーとスキャナの双方に対するウエハーステージと、場合によるとレチクルの格子と船首エラーを決定するための方法は、ウエハーのステージ性能を横切って決定するために有用である。最後に、上記の"Apparatus and Process for Determination of Dynamic Lens Field Curvature", および上記の "Apparatus and Process for Determination of Dynamic Scan Field Curvature"で述べられているように、Z機構、内部フィールド静電気、および流動的な焦点面の偏差を特徴付けるための方法も重要である(図2の参照番号202および212)。一旦、決定されると、特殊化と測定法のデータのセット全体、またはセットの一部は、タイムスタンプ(測定日付)に沿って、仮想計算機ライブラリデータベース(図1のブロック2におけるMA_LIBを参照)に入力される。VME動作の間、マシンの機械的なデータは、横軸の機械的なオフセットモジュール(TMOSは図3を参照)とZ軸の機械的なオフセットシンセサイザによってマシンライブラリから抜粋される(ZMOSは図4を参照)。TMOSモジュールは、リソグラフシミュレータへの入力に対して、入力スキャンごとの横軸機械的なオフセットを供給する。ZMOSモジュールは、リソグラフシミュレータへの入力に対して、入力スキャンごとのウエハース偏平化とZ-同期/レベリングを供給する。静的なエミュレーション(ステッパのためのVME)において、上の特殊化手順の多くは必要ではない。
マシンのレジスト特徴付け
レジスト/プロセスが特徴付けされる。例えば、レジストプロセスを特徴付けするための方法は典型的に、
変換ツールを用いた反射率またはエリプソメトリの露光パラメータ(iラインのレジストに対するA, B, C または Dill パラメータ)を用いて(www.jawoollam.com, J. Woollam Companyを参照)、完全な薄いフィルムスタックの光学的性質(例えば各層に対するthk., n, k)を測定し、化学レジスト(CARかi-ライン)を指定し、FTIRか他の方法(上記の "Reaction Diffusion Kinetics in Deep-UV Positive Tone Resist Systems"を参照)を使用することで動的な挙動(反応と拡散)を測定するか、またはモデル化し、焼きプレート温度センサを用い、焼き方のパンプロフィール(プレートのプロフィールを含む焼きプロセスの温度)を決定し、DRM, Eoを用い、特定のレジスト開発モデルに独自に関係したパラメータを決定し、カープデータを揺らし、レジストのプロフィール(SWA, Resist Loss, and CD (上記 "Top Down Versus Cross Sectional SEM Metrology and its Impact on Lithography Simulation Calibration"を参照))を測定するか見積もるために必要な測定法を良好に理解することを含む。一般に、レジストおよびレジストのプロセスのモデル化は、(レジストのシステムおよびプロセスの最も簡単なものであつても)非常に複雑であり、そのことが、なぜ、簡単なプロセスモデルがしばしば用いられるかを示す(上記 "Approximate Models for Resist Processing Effects"を参照)。レジストおよびレジストプロセスを特徴付けるレジストパラメータの適した組みを構成するための適切で扱いやすい方法は、Jakadarその他 (上記 "A Parameter Extraction Framework for DUV Lithography Simulation"を参照)により説明されている。これらの方法は、実験的なものと見積もられたパラメトリックデータの双方を利用するので、これらの方法は有用である。さらに、枠組みは、さまざまな経験的モデルとシミュレーションエンジンをサポートする(上記の C. Mack "A Comprehensive Guide to Optical Lithography Simulation", Finle Technologies, ISBN 0-9650922-0-8, 1997, および "Approximate models for Resist Processing Effects"を参照) 。一度、レジストが特徴付けられると、データはマシンライブラリに入力される。
レチクルの一般を特徴付け
結像に関連してマシンで使用されるレチクルは、図1のブロック1に対して以下に説明されるように特徴付けられる。一方、レチクルの特徴付けは、マシンのエミュレーション(マシンのパフォーマンスを忠実に再製する能力)と、マシンのシミュレーション(与えられた正しい入力で機械的性能をまねる能力)との間で強い差異を生成する。
レチクルの歪みを特徴付け
レチクルの理想的な位置からのレチクルに関する特徴的な位置の歪みか変位は、レチクルの製造プロセスに固有である。50-100ナノメートル範囲で最大ひずみが通常、達成されているが、それは全体の予定の内でかなり大きい部分を表す。光学測定法ツールにより、10nmのレベル未満で正確にこのひずみを測定することができ( Actual Performance Data Obtained on New Transmitted Light Metrology System; K. Roeth, et. Al.; 18th European Mask Conference, 2002; および Performance Data on the LMS IPRO2; K. Roeth; Leicaを参照)、そしてマスクユーザに提供される。
レチクルのΔCDを特徴付け
構造のCD特徴(重要な寸法またはサイズ)は、光マスク性能での別の重大な局面である。リーディングエッジのプロセスのための典型的なマスクCDは400nm未満であり、そして、すべての要素(すなわち、マスク、マシン、プロセスなど)に割り当てられた全変動予定枠は±10%未満か、±10%近傍である。マスクがこの半分以下(±5%か、±20%nm未満)を占めるマスクに対し、マスクCD-SEMsは、±1-2nmに近い性能レベルを持つことを意味する。したがって、点検の過程で、記録後の測定マスクCDは、仮想のレチクルファイルへの併合のために、マスクユーザに提供することができる。
レチクルの位相伝送エラーを特徴付け
部分的に伝送し(6%前後)、相対的に位相シフト(180°シフト)した領域を持つ、減衰した位相シフトマスクの出現で、伝送(T)および位相(f)のマスクに対する絶対値および変化の双方を検証する必要がある。マスク品質管理の間に測定して、記録すると、仮想のレチクルファイルへの編入のためにそれらをユーザーに提供することができる。
レチクルのマスククリップ(第1および第2)を特徴付け
マスク(レチクル)レジストを特徴付けるための方法は、エミュレーションのためのすべての特徴を表す、測定法データの収集と、マスククリップの構成(GDSIIファイルから抽出)を伴う。一般に、GDSIIファイルは、通常、利用可能なレチクルに対する通常最も完全な仕様詳細であるが、それは、イメージパフォーマンス(好ましいVMEを伴う)の詳細な計算に対して直接に適していない。したがって、それは最初に、イメージフィールドを横切る多数の離散的な空間的なサイトに位置する、小さいシリーズ(2次元で2× 2um2未満か近傍で、かつ、1次元で5um未満か近傍)の周期的な“マスククリップ”に減少しなければならない(図6)。マスククリップのサイト密度は、より少なくあるべきである、最も短い相関距離のおよそ50%より小さくするか、それに等しくすべきであるが、与えられたマスククリップは、それの実際のレチクル上の発生箇所に、またはそこに近接した箇所に単に位置すればよい。サイトからサイトまでのマスククリップの幾何学変化は起こることができる。これは図7に図示されており、(関連したクリップ数を有する)絶縁されたライン特徴は、幅 (CD)、 位置ラー (XC)、 伝送 (T), および サイト位置で変化する位相 (P)を持つ。すべての関連マスクパラメータの直接測定か、抽出された測定値のどちらかが、これらの入力の基礎を形成することができる。
図24は、2次元でプリントされたフォトレジストの特徴(孤立化)に対するAsDrawn仕様(ADID)を示し、そこでは、ADID=孤立で、重要なパラメータの2次元配列および、2次元の複雑なマスク記述子のサンプルを有し、その記述子は、レチクル(CD、位相、伝送、および位置を含む)を横切るマスククリップでのパラメータの変化を扱う。
(いずれかの特定のプロセスに対する)全体のレチクルは、かなり複雑であり、多くの異なった回路、チップ、レチクルの位置合わせマーク(RAM)、ウエハー位置合わせマーク(WAM)、テスト構造、および測定法構造を含むことができる(例えば図8を参照)。(薄いマスク近似と比べて)3次元効果が重要である複雑なマスク解析のために、マスククリップは、伝送の特徴、位相、およびすべての関連マスクの位置の記述を含むであろう。これは、マスクによる光照射野の厳しい記述に対して重要である (T. Pistorによる "Rigorous 3D Simulation of Phase Defects in Alternating Phase-Shifting Masks", Panoramic Technology, Inc.を参照)。
レチクルのAsDrawnを特徴付け
AsDrawnパターンは特徴付けられてもよい。例えば、デバイスの設計者(AsDrawn仕様)によって描かれるような理想が特徴に対する仕様は、マシンのエミュレーション出力との比較のために用いることができる。VME操作において、"AsDrawn"仕様は、それを作るためにマスクセルにリンクされてもよく(図7)、そして、臨界のパラメータ(例えば、CD、シフト、レジスト損など)に制限(その制限を超えると故障とみなされる)を含んでもよい。この情報、'AsDrawn'仕様、およびパラメータ化されたマスククリップは、別の参照のために、仮想のレチクルライブラリ(VR_LIB)に入力されてもよい。
レチクルのマスクサイトを特徴付け
マスクサイトにより、私たちは、特定の特徴のクラスまたは特徴グループの位置を意図する。したがって、製品の特徴は、離散的なチップ(図8)上にあり、それのレチクル上のサイズおよび位置は、チップレイアウト仕様(CLS、図15)で特徴付けられる。実際の、そして、かつ測定されたレチクル位置合わせマークの位置は、層のオーバーレイを欠くように層を欠くのに不可欠です。それらは、横軸のレチクル整列プロセスを正確にモデル化することができるよう、提供される(図16、RAMTBL)。他の特別なマスクサイトは、ウエハーの位置合わせマークの位置である。これらは、横軸の整列のために、続く層によって使用され、そして、TMOS モジュールへの重要な入力となる。
プロセス/層を特徴付け
プロセス/層が特徴付けされてもよい。例えば、マシンモデル(MM)、(露光ツールおよびウエハートラックの双方に対する)マシン設定のID、フィールド露光の順(FES)、ウエハーのノッチ角方位、プロセス層固有の露光状態(焦点および露光)及び、露光データ(XPOD)のような実際のリソグラフ露光プロセスの正確な詳細は、参照のために用いられ、そして、VME内に入力できる(図2の204を参照)。説明されたようなプロセス/層の情報のための名称はP/Lである。
仮想ウエハー(VM)の一般を提供
最後に、VMEは、リソグラフのパターン結果を格納するために、仮想ウエハー(VW)を必要とし、ここで、図9の参照番号902, 904 and 906で示されるように、各リソグラフ処理ステップに先立ち、VMが完全に特徴付けされる。特徴付けは、各VWが一般のウエハーファイル(GWF)により示され得ることを示し、そのウエハーファイル(GWF)は、例えば、ウエハーのシリアル番号、ノッチ角、ウエハーサイズ、層固有のパターン結果、層固有のマシン設定、プロセス層のID、および平坦形状を含むことができる。仮想のウエハー加工は、図9に示され、VWがVMEを通って1ステップずつ移動する(図2、参照番号204を参照)。例えば、ウエハー加工の始めに、VWは、GWFと初期のウエハーの偏平形状を作成するブランクウエハー発生器(図10の参照番号1002と1004)を使用することで作成される。初期の偏平形状は、統計的なモデルおよび架空の測定法データにより、プロセス層の偏平発生器(P/L発生器は図9の参照番号902を参照)を使用することで決定されてもよい。この時点で、そのブランクVWは、図9の参照番号904で示されるように、VMEを通じた処理のために用意される。図の参照番号216と218は、VWをアップデートするか、または処理するプロセスを示し、そこでは、シミュレーション出力は、仮想のウエハーの束ねを用いて、オリジナルのVW GWFに結合される。VMEを通る通過数は、唯一、エミュレートされるウエハースのプロセスに依存する。ほとんどのリソグラフプロセスのために、通過する個数は、35パターンのステップの命令である。また、35のリソグラフのステップがあるかもしれないが、総合的なウエハーのプロセスが100またはそれ以上の処理ステップ(堆積、エッチングおよび他のものの変化を含む)を利用するかもしれないことに注意することは重要である( A. Landzbergによる "Microelectronics Manufacturing Diagnostics Handbook", 頁 63-64を参照)。この発明で説明されたシミュレーションエンジンは今までのところ、リソグラフのパターン処理に関係する。しかしながら、以下に述べるように、エッチング処理、堆積および移植を含むために、シミュレーション能力を単に広げることにより、VMEをより一般的に形成できることに気付くべきである。
マシンの特徴付けを実行する命令が、今までのところ図1で説明されたプロセスに続くことに注目される。多くの要素(特徴付け時間、ウエハー加工、および適用)により、習慣(VME操作の間の)実行時に、マシン特徴付けを実行する命令が決定されてよいことに気付くべきである。
フロック2(仮想ライブラリ、X _LIB の詳細)
上記の各ステップで説明したように(図1でのブロック1)、リソグラフのマシンとプロセスを特徴付ける方法に対する結果は、図1のブロック2に示されるように、1つ以上のライブラリに入れられるかもしれない。例えば、図2には、マシンライブラリ、仮想のレチクルライブラリ、およびプロセス/層のライブラリの3つのライブラリが図示される。VMEのための全体的な構造は図2で示され、そこでは、実行ソフトウェア・モジュールの仕様によって、各ライブラリモジュールはアクセスされ、アップデートされる(図2の参照番号206)。マシンライブラリ (MA_LIB), 仮想レチクルライブラリ (VR_LIB), プロセス/層 ライブラリ (P/L_LIB) は、図2で示されるように、TMOS, ZMOS, および リソグラフシミュレータへの入力を与える。
マシンライブラリ、例えば(MA_LIB)は、図11で示されるようなアクティブな情報検索システムを有するデータベース貯蔵場所として考えることができる。 ここに、マシンパラメータデータベース(マシン固有情報を保持するデータベース)の管理のための階層構造は、マシンデータベース相互参照テーブルに保存される迅速交差参照のハイレベルなマシンの特性に対して実行可能プログラム(MALibrarian.exe)を含むように示される(図12のMXT)。マシンライブラリに保存されたパラメータのリストは、先に説明されたようなリソグラフの特徴付けの適した方法に対する出力から発生する。マシン識別(MAID)、マシンモデル(M Model)、ウエハー直径(Dwaf)、波長(λ)、最大のフィールドサイズ(Fx、Fy)などの高いレベルのマシンの特性はMXTに保存される。全体のマシンデータベースの階層的なレイアウトは、図13で示されたディレクトリ構造のフォームを取るかもしれない。その全体 MA_LIB は、図2の参照番号210に統合されて示され、そこでは、実行マネージャを用いて、規定のエミュレーション機能に対してデータはアクセスされ得る(図2の参照番号206)。例えば、横軸の機械的なオフセットシンセサイザ(TMOS)は、横軸のスキャン同期パラメータに格納されたライブラリ、レチクルの位置合わせマークの位置、ウエハーステージの格子と機首、およびウエハー位置合わせマークの位置(図2の参照番号210,214を参照)を用い、スキャン固有の機械的な横軸のオフセット(図3)を生成する。同様の方法で、Z軸の機械的なオフセットシンセサイザモジュール(図4のZMOS)は、図2の参照番号 210 及び 212で示したようなMA_LIB からのz軸モードの情報をアクセスすることにより、サイトごとにウエハー偏平(同期化およびレベル処理のエラーを含む)を発生させる。
仮想のレチルルライブラリ(VR_LIB)は、仮想のレチクル(VR)に関係する情報(例えば、レティクル特徴付けを参照)を格納して、組織化する。それぞれの仮想のレチクルはユニークなレチクルシリアル番号およびプロセス層を持つユニークな物理的なレチクルに対応する(図14を参照)。エミュレーションにおいて、仮想のレチクルは、VMEおよび実行マネージャを用いたエミュレーションに適した形態または組みで、クリップレイアウト仕様 (図15および8のCLS), レチクル位置合わせマークテーブル (図16のRAMTBL), 皮膜情報, 公称レチクルデータ, 特徴(製品の特徴、ウエハー位置合わせマーク(WAMs)および測定法の特徴)を含む 物理的なレチクル(図14を参照)に対するすべての情報(データ)を含むユニークなレチクルのバンドルファイル(RBF)と同一視することができます。例えば、詳細な測定法の特徴(箱毎の目標、psm目標、およびウエハー整列マーク)はRBFに現れるかもしれない。特徴クラス=測定法の他の例は、光波散乱計測の目標、電気CD目標、およびウェーハー整列マークを含む。
より高いレベルでは、仮想のレチクルライブラリは、マスククリップファイルで構成された仮想のレチクル、AsDrawn (第1および第2の) 仕様ファイル, および臨界のマスク (またはシミュレーションサイト), および レチクル特徴付けのための方法で述べたようなマスク固有の情報 (伝送,材料, 位相, 歪み, CD 測定法)を含む。仮想のレチクルライブラリに対する階層構造は、マシンライブラリに対して説明された階層構造と同様である。例えば、図17では、エミュレーションのために、図18で示された相互参照テーブル(RXRT)を使用することで、仮想のレチクルを迅速に特定するために、実行可能プログラム(VRLibraian.exe)を使用することができる。仮想レチクルから、イメージ及びレジスト開発シミュレータへの情報の実行または抽出は、図2の参照番号208および214に示され、そして、VME(図2の参照番号206)の実行マネージャにより、達成される。
プロセスおよび層ライブラリまたはP/L_LIBは、ライブラリ管理マネージャを用いて、マシン設定用ID、フィールド露光順のようなプロセス固有の情報を格納し/組織化する。プロセス層の仕様管理のための階層構造は図19で示され、そこではまた、ライブラリマネージャ(_P/L Librarian.exe)が、プロセス層のデータベースに迅速にアクセスするために使用されます。また、プロセスおよび層のライブラリは、ユニークなプロセスのための詳細なフィールド露光順情報およびマシンセットアップ識別子(MSI)を含むプロセス層の仕様ファイル(P/L_S)を含む(図20を参照)。マシンセットアップ識別子は、ソース、射出瞳、トラック、異常、焦点、または、マシンおよびプロセス/層にユニークなdose(放射線照射1回分の量)情報を含む。マシンモデルおよびプロセスの範囲にわたる組織化されたマシンのエミュレーションに対するプロセスおよび層の仕様のプロセスの階層的なレイアウト(データベースかディレクトリ構造に関する)は図21と22で示される。
仮想のウエハース(VW)を提供するための方法で説明されたように、一般的な(GWFの)ウエハーのファイルか、ウエハーのバンドルファイルは、仮想のウエハースパターン用情報を保存するために使用される(図2の参照番号202、204、および206を参照)。GWFとその関連VWは、ユニークな製品idを持つユニークな物理的なウエハーを表す。パターン用情報は、プロセスおよび層id、各層またはプロセスに対する扁平形状、マシン設定およびパターン結果を含む。
ブロック3(マシンシュミレータの詳細)
VME(図2)を使用することで仮想ウエハーを加工処理するためのエミュレーションの実現は、リソグラフのシミュレータ(図2の参照番号214)の構成と操作に依存する。この発明に対し、リソグラフシミュレーションエンジンは、入力を受け入れるような方法で構成されてもよく、その入力のほとんどは、投影マシン、レチクルおよびプロセス層を特徴付けるための方法から抽出される。例えば、シミュレーションエンジンは、サイド毎のウエハーの偏平およびz軸-同期エラー(ZMOS出力)、ソースの記述、射出瞳伝送関数、レンズ異常、レジストの記述、スキャン毎の機械的な横軸エラー(TMOS 出力)、焦点設定、露光設定、仮想のマスクまたはレチクル、AsDrawn ファイル、適したシミュレーションサイト、閃光および振動データを受け入れるために構成されてもよい(図2の参照番号214を参照)。VME実行マネージャは、図2の参照番号206に示されるように、総合的なプロセス(シミュレータを通して仮想のウエハースを加工処理)を制御する。エミュレートされる実際のプロセス(例えば、実験か製作の実行)およびシミュレーションエンジンに依存するシミュレーション出力(図2の参照番号218)は、CD, ΔCD, 特徴位置, 側壁角, レジストロス, 実体のないイメージ, プロセス ウインドウ解析, 層毎の位置に対するオーバーレイ解析, 生産解析, エラー解析, 露光範囲, 焦点深さ, スループットを含むいくつかのリソグラフおよび工場の測定基準を含む ( 上記"A Comprehensive Guide to Optical Lithography Simulation"を参照)。今までのところ、都合のよい具体化のためのVMEシミュレーションエンジンは、リソグラフシミュレータとして役割を果たす。また、シミュレータは、堆積、熱処理、エッチング、イオン移植、および他の半導体のすばらしいプロセスに関連したシミュレータを実行するようにも構成できることを言及されるべきである。これらのプロセスを特徴付けるための方法は、いくつかの文献(上記 "Process Simulation Paper General (Etch, Ion-Implant) Virtual Wafer Fab (Integrated TCAD Environment)"参照)で見つけることができる。
通常、VMEへの入力(図2のブロック204)は、正しく、露出データ、レチクルシリアル番号、マシン識別子(MAID)、および仮想のウエハー(VW)である。さらに、プロセス/層の情報(P/L)は、VW内の固有のP/Lをくつがえすために、備えられ、これは診断のために使用されてもよい。また、VWに含まれたP/L情報に固有の値をくつがえすために、焦点(F)と投与量が手動で設定されてもよい。
そして、入力(204)は、インタフェースか入力モジュールに流れ、これは、入力情報を、次のものに分配する。内部(ブロック202内)のプロセス/層の仕様と、ウエハー位置合わせ記号(WAM)の位置(望ましくは実際の場所)と、ウエハー扁平形状(先の層の処理情報を有する統計的なモデルと、ウエハーチャックのクランプ機構との通常の組み合わせ)と、マスク組立のエラーを再現する管理可能なサイズ(2 x 2 mm2未満か近傍)のマスクおよびAsDrawクリップと、および、他のものの間のレチクル位置合わせマーク(RAM)の位置とである。
次にブロック210内部を見ると、マシンモデル(MM)を入手するために、マシンライブラリ(MA-LIB)内にMAIDを見つける。そして、プロセス/層のライブラリ(_P/L LIB)は、MM、P/L、および露出データ(XPOD)で照会され、これにより、スキャン速度(FES)と共にフィールドが露光される、焦点(F)、投与量(E)の標準マシン設定指示子(SID、XID、AID、TID)および、シーケンスか命令をもたらす。
次にブロック210内で左から右へ継続するために、FESおよびマシン設定指示子は、マシンライブラリ(MA_LIB)を質問し、そして、我々が測定したか、そうでなければ、特徴付け(上で参照)られた、詳細なマシンおよび設定用固有データが提供される。これは、ソースおよび射出瞳のプロフィール、レンズ異常、レジスト開発の速度/モデル、流動的に運転されるスキャナの横軸スキャン同期化パラメータ(TSS parameters) およびZスキャンパラメータ(つまりスキャンが誘発されるピストンとロール)に対する、マシン固有のパラメータおよびオフセットを有するレチクル位置合わせマークのモデル(RAM Model)、ウエハースステージの格子と船首揺れのモデルおよび、フィールド毎のウエハーステージのエラーを特徴付けるためのパラメータを含む。次に、この情報は、TMOSモジュール、ZMOSモジュール、またはイメージおよびレジスト開発シミュレータの1つ以上に流れる。
そして、ブロック210の中で右に対して継続され、WAM位置に追加される上述した情報のいくつか、フィールド露光順(FES)、RAM位置、およびVscanは、横軸の機械的なオフセットモジュールに供給され、そこで、個々のフィールド毎の、かつ、スキャン同期化の横軸(X, Y まはウエハー面内)のオフセットが計算される。図3は、TMOSモジュール内で実行する個々のプロセスをより詳細に示す。ウエハー位置合わせモジュール(WALモジュール)は、特定のウエハーに対するマシン位置合わせプロセスを効果的にモデル化するために、仮想ウエハー(VW)からのWAM位置と共に、MA_LIBから引き出されたWAM モデルを用いる。その特定のウエハーは、統計的な大きさがWAMモデルから来る無作為の貢献を含む。典型的に、また、これはP/L設定での仕様、ウエハー変位(ΔXw,(ΔYw)、回転(Yw)、スケール(SXw, SYw)、およびフィールドスケール(ΔFx,ΔFy)に依存し、これらは WAL モジュールにより設定される。
ウエハー格子および機首揺れは、WGYモジュールによりモデル化さ、これは、フィールド毎のウエハーステージエラーの繰り替えし可能なエラー成分と繰り替えし不能のエラー成分とを結合して、各露光フィールドで経験する追加的な移動および回転とする。RALモジュールは、マシン固有のRAMモデルおよび、レチクルバンドルファイル(RBF)から抽出した(測定された、かつ公称の)RAM位置を使用する。そのレチクルバンドルファイル(RBF)は、レチクルの平行移動(DXr, (DYr), 回転 (Ur), およびスケール (SXr, SYr)を決定するために、仮想レチクル(VR)を記述する。
スキャナでは、横軸の配置エラーである上記ソースに加えて、移動するレチクルステージと、TSSモジュールでモデル化されるウエハーステージとの間の同期エラーからの貢献が存在するであろう。それへの入力は、フィールドの位置、スキャン方向およびスキャン速度の関数としての反復可能および非反復可能な成分である。そして、フィールドの配列(FES)とスキャン速度(vscan)の入力は、どのTSSパラメータが即時の状況で適用されるかを選択する。
これらの4つのモジュール(WAS, WGY, RAL, TSS)からの出力は、 その後、フィールド毎に、かつ、各スキャン内でフォームを変える結合されたオフセットとして、出力を結合する結合モジュールに供給される。これらの出力は、ブロック214内へ流れる。
行われる次のプロセス(または、それはTMOSモジュール計算と平行にできる)は、図2中のブロック212である。これは、フィールド毎に(およびフィールド内のスキャナの場合に)機械的なピッチ、ロールおよび反-焦点を計算するZ-機械的オフセットモジュール(ZMOSモジュール)である。図4はZMOSモジュールへのさらに詳細に入力を例証する。その正味のZ-シフトは、様々な入力からの貢献の合計である。ウエハー偏平の仕様は、仮想のウエハー(VW)から由来し、そして、取り付けられたウエハー高さのプロフィールとして得るために、ウエハーチャックモデルに結合される。このプロフィールは、フィールド毎のウエハーのプロフィールで到達するように機構を合焦させるマシンのモデルに結合される。スキャナの場合、スキャン時の追加的なピストン、およびロールの同期エラーは、図4の“Z-スキャン同期モデル”を使用して説明される。結果は、私たちが、ブロック214への入力であるウエハーを横切った、サイト毎に基づき、有効な焦点を手に入れるということである。
ウエハーの各ポイントで機械的なXYZのオフセットを計算すれば、このポイントで、VWwで指定したシミュレーションサイト、イメージおよびレジストの開発シミュレータ(ブロック214)を実行できる。焦点値(F)は、実際の焦点値を得るために、ZMOSモジュールで決定された焦点値で加算される。これは、焦点値をブロック212で決定された焦点値の全体の範囲に加算し、そして、この新しい焦点範囲内で、一定の間隔でブロック214内にてシミュレーションを行うことにより、効果的に達成される。ウエハー上の特定のポイントで必要とした特定の焦点値で、CDまたは他の値を引き出すために、私たちは単に結果を補間する。TMOSモジュールからXYシフトを扱うことは、より簡単であり、私たちは、0オフセットでシミュレートして、次に要求されるオフセットを後で加算する。このプロセスから得られる結果は、CDおよびと位置のオフセット(DCDとDX)、レジストのロス、側壁角、および他のパラメータであり、これらは、標準のレポートに入力され、そのレポートは、仮想ウエハーVWの出力を生成するために、次に、入力の仮想ウエハーVWで束ねられる。
VW から VWへのアップデート用のプロセスは図9に示される。
[ブロック4及び5(アップデートされたVWの詳細を作成)]
リソグラフのシミュレータの実行に続いて、仮想のウエハーバンドラ(VWB)は、VW特徴付けの箇所で説明したように、現在のフムロセス層の結果があるVW GWFをアップデートする。いったんVW GWFをアップデートすると、再びVWを処理することができる(図9を参照)。
[信頼レベル(精度と再現可能性)]
マシンとリソグラフを特徴付けるための適した方法は、そのエミュレーションが可能かつ信頼できる、十分高い信頼レベルである。例えば、ソースを特徴付けするための(異常および楕円度)再現可能性は、上記の"In-Situ Source Metrology Instrument and Method of Use"で述べられているように、およそ1%未満で、全体の精度でおよそ0.5%未満であると報告されている。上記の"Apparatus, Method of Measurement and Method of Data Analysis for Correction of Optical System"に述べられているように、レンズ異常を特徴付ける再現可能性は、およそ0.2m波長波未満で、全体では、1.4m波長の精度であると報告されている。射出瞳を決定する好ましい方法に対する正確さおよび再現可能性は、ソースマッピングで開示されたものに似ており、双方の技術が同様な復元方法を用いるからである。マシンの機構を決定する適した方法のための再現可能性は、およそ.5nm未満であると報告されている ( J. Bendik その他による "A Simulation Performance Framework Using In-Situ Metrology", Optical Microlithography, Proc. SPIE, 2005を参照)。
レジストおよびレジストプロセス(モデリングパラメータの生成)を特徴付けるための好ましい方法は、強力ではあるが(上記 "A Parameter Extraction Framework for DUV Lithography Simulation"を参照)、いくつかの理由のために、いずれかの組みのレジストのシミュレーション・パラメータの精度を確認することはしばしば困難である。最初に、ほとんどのリソグラフシミュレータは、レジストの縦断面(2次元)をシミュレートし、そして、つぎに臨界パラメータ(CD、SWA、レジスト損失、および特徴シフト)を抽出する。しかしながら、ほとんどの組立は、レジスト特徴パターン上に位置するCDSEM(または、光学点検ツール)を用いて臨界パラメータを測定し、必ずない、これらの異なった測定法の技術の間には、1対1の対応が必ずしも必要ではない。縦断面SEMがあるクロス較正用のCDSEMデータのための方法は、Jonesによる研究で述べられている(上記 "Top Down Versus Cross Sectional SEM Metrology and its Impact on Lithography Simulation Calibration"を参照) 。この発明においては、完全なレジストのプロフィール(製作施設にかなり適する)を測定するための適した方法は、Baoによる研究で述べられており (J. Bao その他による "A Simulation Framework for Lithography Process Monitoring and Control Using Scatterometry", ACE/APC XIII Symposium, 2001, 頁 1-4を参照) 、そこでは、高精度、複数波長の光波散乱計測は、測定法、シミュレーション、およびリソグラフプロセス制御に使用される。第2に、1つのレジストおよび1つのレジストプロセスを特徴付けるために、多くの異なった測定法テスト(DRM、FEマトリクス、惰円測定法、拡散テスト、化学テスト、いくつかを命名する温度プレート測定値)が使用されるので、それぞれの技術の精度と再現可能性は、報告される必要がある。また、レジスト測定法のテストに対する精度および再現可能性が既知であったとしても、パラメータがしばしばクロス結合されているので(使用されるモデルに依存)、シミュレーション出力への衝撃を確かめることはしばしば難しい。これは、1組の正確なシミュレーション・パラメータを作り出すことが不可能であると言えないことによる。帰還システムが総合的なモデリング用パラメータを調整するのに使用され、シミュレーション結果が、十分な(実用的な)精度のすばらしい測定(光波散乱測定値を含んでいる)に整合するので、Jakatdarで述べられた方法( 上記 "A Parameter Extraction Framework for DUV Lithography Simulation"を参照)、は十分である。
最後に、より早く注意されていたように、マシンとプロセス状態変化を反映するために定期的にVME特徴付けライブラリをアップデートしなければならない。単に最も正確で反復可能な特徴付けデータがVMEに供給されることを保障することによって、以下の重要なリスク/報酬アプリケーション(マシン調整、マシン固有の生産フロー、ロット性質、ロット再稼働、プロセス開発、高度な工程管理)は、経済的で実際に実行可能である。事実上、VMEがいったん実行されると、エミュレーション結果がすばらしい測定結果と異なるなら、それはプロセス変化に注意するフラグとして役立つことができる。
別の実施例
好ましい実施例の多くの変化は可能であり、以下に何らかの詳細でもって説明される。
統合されたエミュレーション(第1の変形)
VMEの統合をAPC構造に反映するために、VMEのための構築方法を変えることができる(図2を参照)。APC構造は、上記 "Advanced Process Control for Semiconductor Manufacturing"に述べられており、そこでは、アプリケーションインタフェースは、工場の制御システムに、VMEおよびリソグラフエンジンの統合を許可する(例えばコラム7のライン15-18を参照)。ここで、エミュレーションエンジンは、総合的なすばらしい監視システムの裁量で動作するであろう。操作で、多くの異なったマシンとプロセスをまねて、私たちは、VMEが連続的に実行することができたことを意図する。VMEからの出力は、APC監視システムによって使用され、適切な製品ルーティングし、マシンのより整合を選出し(オーバーレイ制御)、制御戦略を提供し、マシンにフラグをたて、そして、エラー(リアルタイムの故障解析)およびいくつかの他のアプリケーションを処理することを保障する。適切なエミュレーションを保障するために、マシンとプロセス状態の変化に関連して、新情報で、絶え間なくVMEをアップデートしなければならない。ある意味で、したがって、マシンとプロセスの安定性によって、VMEは2つの状態(エミュレーション・モード、シミュレータモード)で作動する。
VME 整合 (第2の変形)
上記 "Advanced Process Control for Semiconductor Manufacturing"および 上記 "A Parameter Extraction Framework for DUV Lithography Simulation"で記述されているように、(VME動作の前で)セットアップの間に、より良い性能のために、VMEをAPCフレームワークかフィードバックのフレームワークにリンクすることによって、VMEを調整することができる(図5を参照)。これは、生産か実験的実行から得られた実際のリソグラフの測定法(CD、SWA、位置、およびレジスト損失)を整合するような方法により、シミュレーションエンジンの内部のモデルとパラメータを調整することによって、動作する。
一般シミュレータへのより一般のエミュレーションと統合(第3の変形)
先に述べたように、VMEはリトグラフのマシンと過程を特徴付けるための適した方法から得られた入力を受け入れるリソグラフシミュレータ(図2の参照番号214)で構成されるかもしない。より一般的なシミュレータが、リソグラフシミュレーションモジュールを含み、かつ、適正に構成されるなら、より一般的な半導体プロセスのシミュレータは、リソグラフシミュレータで代替することができる。図23は、1つの可能なVME構造を示し、ここでは、極めて一般的な半導体プロセスのシミュレータ(一般的なプロセスシミュレータは、シミュレートリソグラフ、エッチング、イオン注入、堆積などをシミュレートできる)は、都合のよい具体化と一致した方法で作動することができるリソグラフシミュレータを含む。より一般的な半導体プロセスのシミュレータを含む目的は、(ある時間で)エッチングプロセスか、好ましい実施例で述べた精度のよい堆積プロセスを、エミュレートすることが可能であるかもしれない。この方法、つまり、エミュレータは、仮想の半導体エミュレータかVSEの外観を呈するであろう。
工場および CoO エミュレーション(第4の変形)
今までのところ、VMEエンジンはリソグラフのエミュレータか、より一般的なプロセスのエミュレータとして記述されている。また、半導体ビジネスはコストのかかる運用であり、そして、リソグラフプロセスは最も高価であるので、所有権の費用(CoO)またはより一般的な投資利益率決定などのビジネス・アプリケーションのための工場のシミュレータへのVMEの統合も可能である。例えば、新しいセットのリソグラフ投影イメージツールが生産を改善し、そして、より古いツールをアップグレード(例えば新しいレーザまたは新しいステージ)することと比較して、経済的に実行可能であるか、または、マシンの生産フロー(オーバーレイを改善)により良好なマシンを選出するかどうかを決めるのにVMEを使用することができる。これは、他のビジネス用ソフトでVMEを実行し、そして、入力に基づきリソグラフの生産を予測することによって、実現できる。さらに、ビジネス・アプリケーション(スループット、生産、ルーティング、および「先に進む」か「止める」かの測定基準)のための必要な入力のいくつかを提供するために、この発明で説明されたVMEを構成することができる。
アプリケーションの例
VMEは、以下の(実質的に)難しいアプリケーションを保証するためには十分高い信頼性がある。各動作(アプリケーション)のための費用が非常に高価であるので、以下のアプリケーションを実際に実行する能力は非常に有用である。
マシン調整: VME出力で反映されたマシン性能(ソース、レンズ、射出瞳、およびマシン)における変化は、迅速(リアルタイム)なマシン調整のために、APC工場のコントローラか生産メンテナンス行列にフィードバックでき、その方法は、Nikon Corpによる "Method of Forming and Adjusting Optical System and Exposure Apparatus, and for Determining Specification Thereof and Related Computer System", 欧州特許 No. EP 1231516 A2, 2002, または上記 "Advanced Process Control for Semiconductor Manufacturing"で開示された方法に似る。
マシン固有の製品フロー:同時に多くのマシンとプロセスを実行するためにVMEを構成することができるので、マシンプロセスフローへの最適なリソグラフマシン(最も高い生産性または最良の性能の確保)を見つけるために、VMEを最適化エンジンにリンクすることができる。例えば、各投影マシンはわずかに異なったオーバーレイの特性(マシン)があるので、ベストな総合的なオーバーレイ性能を与える組みのマシンを見つけるために、連続的な基礎に基づきVMEを使用することができる (M. Dusa その他による "Comprehensive Focus-Overlay Correlation to Identify Photolithographic Performance", Optical Microlithography, Proc. SPIE, 冊 2726, 1996, 頁 545-554を参照)。
ロット配置およびロットは再形成:プロセス生産、測定法(CD、およびオーバレイ)のようなリソグラフの性能を予測するVMEの能力は、ロット配置を重要なツールにする。ミス処理が競争相手に利点を与えるかもしれない、ある金属接触層(DRAM処理)に達する重要な研究ウエハーのロットを例えば考察する。問題のマシンとプロセスに達するロットに前(多分、ウエハーの1日以上前)に、VMEが実行されるなら、VMEは、潜在的な生産問題(CDとオーバレイエラー)を特定し、そして適切な処理のために正しいマシンの特性があるマシンにロットを導くことができる。時間がそれほど重要でないなら、重要な(または所望される)の生産要求によって、ロットが作りなおされるべきであるかどうか決めるためにVMEを使用することができる。
プロセスの開発
VMEシミュレーションエンジンからの出力が測定法データ(CDおよびオーバレイ情報)を含むので、極めて短い期間内に、例外的に高い生産のリソグラフを設計するために、VMEを使用することができる。これは、VME出力(測定法かパターンデータ)を、Jakatdarで説明したこれらの方法 (上記 "A Parameter Extraction Framework for DUV Lithography Simulation" を参照)のような最適化器と統合し、そして、収束(VME出力と必要なリソグラフの測定基準(臨界パラメタ)が一致)に至るまで実行されることによって、現実化される。
図25は上で説明した動作を実行するための模範的コンピュータ2500を示す。コンピュータ2500は、他のコンピュータとのコミュニケーションを可能にするネットワークでつながれた環境で動作してもよい。コンピュータ2500は、Santa Clara(カリフォルニア)米国のインテル社から利用できる能です。“ペンティアム”マイクロプロセッサや関連集積回路チップのような、中央処理ユニット(CPU)2502の命令下で動作する。コンピュータユーザは、キーボードとコンピュータマウス2504からコマンドとデータを入力することができ、そして、ディスプレイ2506で入力とコンピュータ出力を見ることができる。また、コンピュータ2500は、ハード・ディスク・ドライブなどの直接アクセス記憶装置(DASD)2508を通常含む。メモリ2510は揮発性の半導体ランダムアクセスメモリー(RAM)を通常備える。望ましくは、各コンピュータ2500は、プログラム製品記憶装置2514を受け入れるプログラム製品リーダー2512を含み、プログラム製品記憶装置2514から、プログラム製品リーダー2512はデータをリードでき、(そして、そのデータに対してデータをライトできる)。プログラム製品リーダーは例えばディスクドライブを備えることができ、そして、プログラム製品記憶装置2514は、磁気フロッピーディスク、CD-Rディスク、CD-RWディスク、またはDVDディスクなどのリムーバブルストレージメディアを備えることができる。
コンピュータ2500は、ネットワークでつながれるなら、コンピュータネットワーク2520(インターネット、イントラネット、または無線通信チャンネルなど)上で、ネットワーク2520とコンピュータとの間の接続2522を通じて通信を可能にするネットワークインタフェース2518を通じて、いかなる他のコンピュータとも通信を可能にする。ネットワークインタフェース2518は、さまざまなネットワーク、またはワイヤレスのモデムを通じて、例えばNetwork Interface Card(NIC)か通信を可能にするモデムを通常備える。
CPU2502は、コンピュータ2500に関するメモリ2510に一時保存されるプログラミング命令の制御下で動作する。プログラミング命令が実行されたとき、コンピュータ2500は機能を実行する。したがって、プログラミングは、上で説明されたシステムの機能性を実装する。DASD2508からプログラム製品記憶装置2514を通して、または、ネットワーク接続2522を通して、プログラミングステップを受けることができる。プログラム製品記憶ドライブ2512は、プログラム製品2514を受け、そこに記録されたプログラミング命令を読み込み、そして、CPU2502で実行されるために、プログラミングのステップをメモリ2510に転送することができる。上で述べたように、プログラム製品記憶装置2514は、コンピュータ読み込み可能な命令を記録した複数の取り外し可能な移動可能なメディアのいずれか1つを備えることができき、磁気フロッピーディスクとCD-ROM格納ディスクを含む。他の適当なプログラム製品記憶装置2514は、磁気テープと半導体メモリ用チップを含むことができる。このように、この発明に基づく操作に必要な処理ステップをプログラム製品上に具体化することができる。
代わりに、ネットワーク2520の上にプログラム・ステップを動作用メモリ2510に受け取ることができる。ネットワーク方法では、コンピュータ2500は、当業者には周知のネットワーク接続2522上でネットワーク通信が確立された後に、ネットワークインタフェース2518を通してメモリ2510へのプログラム・ステップを含むデータを受け取る。そして、プログラム・ステップは、コンピュータプロセスを備えることによって、CPU2502により実行されます。
この発明は、この発明の理解を伝えられるように、現在で好ましい実施例に基づき上で説明してきた。しかしながら、射出瞳の透過率を決定するため、ここで述べたものに限定されることなく、この発明が適用できる多くの構成がある。したがって、この発明は、この場所に説明された特定の実施例で制限されないことは明白であり、この発明はイメージ投影システムに広い適用性があることが理解されるべきである。したがって、添付のクレームの範囲の中にあるすべての変更か、変更か、同等な構成および実施は、この発明の範囲内にあることが理解されるべきである。
仮想のマシンエミュレーションを実行するためのステップを例証するブロック図を示す。 コンピュータで実行される流動的なリソグラフのVMEソフトウェアフレームワークの詳述を例証するブロック図である。 横軸の機械的なオフセットシンセサイザモジュール(TMOS)を示す。 z軸の機械的なオフセットシンセサイザモジュール(ZMOS)を示し、これは、ウエハー高さおよびマシンのレベル処理性能の挙動を発生するために使用される。 パターン化および未パターン化の特徴付けの試験値の組みを用いた、リソグラフシミュレータの自動較正のためにフレームワークを例証するフローチャートを示す。 図式的に詳細に示されるマスククリップの位置でのレチクルを示す。 1-Dの印刷されたフォトレジストの特徴のためのAsDrawn仕様(ADID)を示し、ここでは、ADID = ISOLINEであり、臨界パラメータ[臨界寸法 (CD), 位置 (XC), およびレジストロス (RL)]の1-D アレイを有し、マスククリップ幾何学形状、理想的な幾何学形状からの変更、および、MSKID = Isoラインの隔離されたマスク特徴に対するレチクル上の物理的な配置を詳しく述べる複雑なマスク記述子のサンプルを有する。 レチクル上のチップのレイアウトおよび、レチクル位置合わせマークの位置との関係を示す。 VMEを使用して依存するプロセスを加算するための仮想のウエハース加工に示す。 現実のブランクの仮想ウエハーの生成のプロセスを示す。 MA_LIB、MALibrarian.exe、MXT相互参照表、およびMAID構造を含むマシンパラメータデータベースを管理するために階層構造を示す。 マシンid(MAID)、マシンモデル(MMODEL)、受け入れられたウエハー直径(Dwaf)、公称の動作波長(λ)、および最大のx、yイメージフィールドサイズ(Fx、Fy)を掲載したMXT相互参照表を示す。 マシン運転状態条件の範囲にわたって組織化されたマシンエミュレーションのためのマシンデータベースの階層的なレイアウト、マシン運転状態の範囲を例証するマシンデータベースのためのディレクトリ構造を例証する。 レチクルのシリアル番号および、使用されるための固有のプロセス/層により特定された物理的なレチクルを表す仮想レチクル(VR) としてのレチクルバンドルファイル(RBF)を示す。 レチクルバンドルファイルのためのチップレイアウト仕様(CLS)を示す。 それぞれのウエハーの位置合わせマーク(RAMID)に対する公称で正確な物理的な位置の測定を記載するサンプルレチクル位置合わせマークのテーブル(RAMTBL)を示す。 レチクル相互参照表(RXRT)にアクセスして、マシンエミュレーションに対する仮想のレチクルの迅速な選択を可能にするための仮想レチクルライブラリ(VRLIB)およびVRLibrarian.exeの参照プログラムを用いて、仮想のレチクルバンドルの管理のための階層構造を示す。 レチクルとプロセス層の相互参照テーブル(RXRT)の記述を示す。 ライブラリのマネージャを含むプロセス/層の仕様管理のために階層構造を示す。 DRAM回路用の「溝」の層のプロセスのためのサンプルプロセス/層の仕様を示す。 組織化されたVMEに対するプロセスと層の仕様の階層的なレイアウトを示す。 複数のプロセスのためのプロセスおよび層のデータ階層構造に関する例を示し、そこでは、マシンセットアップ識別子がモデル毎に異なるので、一般に、マシンモデルは同じプロセス/層の組み合わせのために別々の仕様を必要とする。 仮想計算機エミュレータとして構成されたリソグラフのシミュレーションエンジンを含んでいる一般的なプロセスシミュレータと統合した別のVME構成を例証するブロック図である。 レチクルを横切るマスククリップ内のパラメトリック変化を詳しく述べる隔てられたラインおよび対応するCMDのためのAsDrawn仕様(ADID)を例証する。 リソグラフ投影ツールのエミュレーションを実行するための模範的コンピュータのブロック図である。
符号の説明
204 VMEへの入力
210 VMEのライブラリ入力
218 シミュレーション出力
214 リソグラフシミュレータ
2500 コンピュータ
2502 中央処理ユニット(CPU)
2504 キーボードとコンピュータマウス
2506 ディスプレイ
2508 直接アクセス記憶装置(DASD)
2510 動作用メモリ
2514 プログラム製品記憶装置

Claims (30)

  1. リソグラフ投影イメージ用マシンのシミュレーション動作のコンピュータ方法であり、
    イメージ用マシンの特徴を決定し、
    イメージ用マシンで使用されたレチクルの特徴を決定し、
    層固有のプロセスの特徴を決定し、そして
    イメージ用マシン、レチクルおよび層固有のプロセスの特徴を用いて、仮想ウエハー上でイメージ用マシンの動作のエミュレーションを生成することを備える方法。
  2. イメージ用マシンの特徴を決定するステップは、少なくとも露光源、レンズ異常、射出瞳、機構、振動、校正オフセットまたはレジストの特徴を決定することを備える請求項1記載の方法。
  3. レチクルの特徴を決定するステップは、少なくとも、歪み、臨界寸法、位相伝送エラー、仕様として記述されるようなマスククリップまたはマスクサイトの特徴を決定することを備える請求項1記載の方法。
  4. 層固有のプロセスの特徴を決定するステップは、少なくとも、マシンモデル、マシン設定用識別子、およびフィールド露光順の特徴を決定することを備える請求項1記載の方法。
  5. 決定された特徴を格納するデータベースを更に備える請求項1記載の方法。
  6. エミュレーションからの結果で仮想ウエハーのデータベースをアップデートすることを更に備える請求項1記載の方法。
  7. リソグラフイメージ用マシンは、ステッパーまたはスキャナである請求項1記載の方法。
  8. 仮想のウエハーは、
    平坦プロフィール情報および
    ウエハー識別の数を備える請求項1記載の方法。
  9. 仮想ウエハーは、
    ウエハー位置合わせマーク、
    プロセスの層識別
    マシン設定および
    パターン用の結果を更に備える請求項8記載の方法。
  10. イメージ用マシン、レチクル、および層固有のプロセスの特徴を決定するステップは、製作統計、スループット、コスト、考察、高度なプロセス制御または神経ネットワークに基づき、周期的にアップデートされる請求項1記載の方法。
  11. エミュレーション結果を最適化器へ入力し、そして、投影イメージ用マシンに関連した最適な動作状態を決定するステップを更に備える請求項1記載の方法。
  12. 最適化された運転状態を用いてウエハーを露光することを更に備える請求項11記載の方法。
  13. リソグラフ投影イメージ用マシンをエミュレートする方法であり、
    投影イメージ用マシンの露光源を特徴付けし、
    投影イメージ用マシンのレンズ異常を特徴付けし、
    投影イメージ用マシンの射出瞳を特徴付けし、
    投影イメージ用マシンの機構を特徴付けし、
    投影イメージ用マシンにより露光されたレジストを特徴付けし、
    投影イメージ用マシンで使用されたレチクルを特徴付けし、
    投影イメージマシンの層固有のプロセスを特徴付けし、
    仮想ウエハーを備え
    その特徴付けを用いて、仮想ウエハー上でのシミュレーションを実行し、そして
    シミュレーションの結果で、仮想のウエハーデータベースをアップデートすることを備える方法。
  14. リソグラフ投影イメージ用マシンの運転をエミュレートするコンピュータ方法であり、
    イメージ用マシンで使用されるレチクル、および、層固有のプロセスのイメージ用マシンの特徴を受信し、そして
    イメージ用マシン、レチクルおよび層固有のプロセスの特徴を用いて、仮想ウエハー上にイメージ用マシン動作をシミュレーションすることを備え、
    そのシミュレーションは、特徴内での変化に流動的に応答する方法。
  15. マシンに以下の運転を実行するために、マシン読み出し可能なメディアのプログラムコードを備えるプログラム生産であり、
    イメージ用マシンの特徴を受信し、
    イメージ用マシンで使用されたレチクルの特徴を受信し、
    層固有のプロセスの特徴を受信し、そして
    イメージ用マシン、レチクル、および層固有のプロセスの特徴を用いて、仮想ウエハー上にイメージ用マシン動作のエミュレーションを生成することを備えるプログラム生産。
  16. イメージ用マシンに関連して最適な運転状態を決定するために、エミュレーション結果を用いることを更に備える請求項15記載のプログラム生産。
  17. 最適な運転状態を用いて、ウエハーを露光することを更に備える請求項16記載のプログラム生産。
  18. リソグラフ投影マシンおよびプロセスからフォトリソグラフのチップマスク製品を製作するための方法であり、
    リソグラフ作業計画(DOE)を設計するステップと、
    イメージ用マシンの特徴を決定し、イメージ用マシンで使用したレチクルの特徴を決定し、層固有のプロセスの特徴を決定し、イメージ用マシン、レチクルおよび層固有プロセスの特徴を用いて、仮想ウエハー上でエミュレーションを実行することを備え、前記DOEをエミュレートするステップと、
    エミュレーション結果を最適化器に入力し、そして、投影マシンおよびプロセスに関連した最適な運転状態を決定するステップと、
    最適な運転状態を用いてウエハーを露光するステップとを備える方法。
  19. リソグラフ投影マシンは、ステッパー、1次元スキャナ、2次元スキャナ、EUVスキャナ、EPLマシンまたはイメージ側浸レンズを備える請求項18記載の方法。
  20. ウエハーは、レジストでコーティングされたシリコンウエハー、レジストがコーティングされたフラットパネル、レジストがコーティングされた回路ボードまたは、電子記録デバイスを備える請求項18記載の方法。
  21. 電子記録用デバイスは、CCDかCMOSデバイスを備える請求項20記載の方法。
  22. マイクロ電子チップ生産システムであり、
    リソグラフの投影システムの特徴、リソグラフ投影システムで使用されるレチクルの特性、および、層固有のプロセスの特徴を受け取り、そして、リソグラフ投影システム、レチクル、および層固有のプロセスの特徴を用いて、仮想ウエハー上でエミュレーションを実行するように構成された投影システムコントーラと、
    リソグラフ投影イメージとシステムのスキャナを制御するスキャン コントローラと、および、
    リソグラフ仮想マシンエミュレータおよび製品システム コントローラにより発生された出力に従って、スキャナの円転を調節するプロセス コントローラとを備える生産システム。
  23. リソグラフ投影イメージ用マシンを制御する方法であり、
    イメージ用マシンの特徴を決定し、イメージ用マシンで使用されるレチクルの特徴を決定し、層固有のプロセスの特徴を決定し、イメージ用マシン、レチクル、および層固有のプロセスを使用して仮想ウエハー上でシミュレーションを実行することを備えるリソグラフエミュレーションを実行するステップと、および
    エミュレーションの結果に基づき投影イメージ用システムを調整するステップとを備える方法。
  24. 投影イメージ用システムは、プロセス変化を最小にするために調節される請求項23記載の方法。
  25. 投影イメージ用システムは、生産ロスおよびマシンエラーを最小にするために調節される請求項23記載の方法。
  26. 投影イメージ用システムは、マシンエラーを最小にするために調節される請求項23記載の方法。
  27. リソグラフ仮想マシンエミュレータを調整する方法であり、
    リソグラフのシミュレータを使用してリソグラフのマシンおよびプロセスをエミュレートし、
    測定されたリソグラフの1組の組立データを与え、
    エミュレートされたリソグラフ出力を、測定されたリソグラフの1組の組立データと比較し、
    エミュレートされたリソグラフ出力と、測定されたリソグラフの1組の組立データと差を最小にするために、前記比較に従ってシモュレーションモデルとパラメータを調節し、そして
    エミュレートされたリソグラフ出力と、測定されたリソグラフの1組の組立データとの間の所望の収束が達成されるまで、エミュレートし、比較しそして調節することを備える方法。
  28. リソグラフデータは、臨界寸法、側壁角度、レジストのロス、特徴位置、プロセスウィンドウ、Bossungプロット、DRMデータ、レジスト情報またはレジストスタックの断面情報を備える請求項27記載の方法。
  29. 所有コストの解析を達成する方法であり、
    イメージ用マシンの特徴を決定し、イメージ用マシンで使用されたレチクルの特徴を決定し、層固有のプロセスの特徴を決定することを備える、イメージ用マシンのリソグラフエミュレーションを実行するステップと、
    マシンの所望の数に対してリソグラフエミュレーションを達生することを繰り返すステップと、
    所有コスト解析ソフトウェアを与えるステップと、そして、
    解析ソフトウェアを用いて所有コストを決定するステップとを備える方法。
  30. イメージ用マシンは、ステッパー、1次元のスキャナ、2次元のスキャナ、EUVスキャナー、EPLマシン、またはイメージ側浸レンズを備える請求項29記載の方法。
JP2006547641A 2004-04-20 2005-04-20 リソグラフ投影ツールのエミュレーション法 Pending JP2007535135A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US56409404P 2004-04-20 2004-04-20
PCT/US2005/013403 WO2005103819A2 (en) 2004-04-20 2005-04-20 Method of emulation of lithographic projection tools

Publications (1)

Publication Number Publication Date
JP2007535135A true JP2007535135A (ja) 2007-11-29

Family

ID=34979976

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006547641A Pending JP2007535135A (ja) 2004-04-20 2005-04-20 リソグラフ投影ツールのエミュレーション法

Country Status (3)

Country Link
US (1) US20050240895A1 (ja)
JP (1) JP2007535135A (ja)
WO (1) WO2005103819A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007142275A (ja) * 2005-11-21 2007-06-07 Toshiba Corp フォトマスクの判定方法、半導体装置の製造方法及びプログラム
JP2009521107A (ja) * 2005-12-22 2009-05-28 カール ツアイス エスエムエス ゲゼルシャフト ミット ベシュレンクテル ハフツング 結像光学系の結像動作を検査する方法および装置
JP2016512641A (ja) * 2013-03-14 2016-04-28 コヴェンター・インコーポレイテッド 予測3d仮想製作システムおよび方法
US11144701B2 (en) 2017-06-18 2021-10-12 Coventor, Inc. System and method for key parameter identification, process model calibration and variability analysis in a virtual semiconductor device fabrication environment

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7261983B2 (en) * 2000-12-08 2007-08-28 Litel Instruments Reference wafer and process for manufacturing same
US6734971B2 (en) * 2000-12-08 2004-05-11 Lael Instruments Method and apparatus for self-referenced wafer stage positional error mapping
US7871002B2 (en) * 2000-12-08 2011-01-18 Litel Instruments Method and apparatus for self-referenced wafer stage positional error mapping
US6699627B2 (en) 2000-12-08 2004-03-02 Adlai Smith Reference wafer and process for manufacturing same
US7268360B2 (en) * 2001-09-20 2007-09-11 Litel Instruments Method and apparatus for self-referenced dynamic step and scan intra-field scanning distortion
US7853904B2 (en) * 2002-06-07 2010-12-14 Cadence Design Systems, Inc. Method and system for handling process related variations for integrated circuits based upon reflections
US20050234684A1 (en) * 2004-04-19 2005-10-20 Mentor Graphics Corp. Design for manufacturability
US7198873B2 (en) * 2003-11-18 2007-04-03 Asml Netherlands B.V. Lithographic processing optimization based on hypersampled correlations
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
EP1745373A4 (en) * 2004-05-09 2009-04-15 Mentor Graphics Corp DEFEKTORT IDENTIFICATION FOR MICROELECTION MANUFACTURING AND VERIFICATION
JP4488822B2 (ja) * 2004-07-27 2010-06-23 株式会社東芝 露光用マスクの製造方法、露光装置、半導体装置の製造方法およびマスクブランクス製品
US7544449B1 (en) * 2004-11-12 2009-06-09 Litel Instruments Method and apparatus for measurement of crossfield chromatic response of projection imaging systems
US20060190915A1 (en) * 2005-01-19 2006-08-24 Smith Adlai H Machine specific and machine group correction of masks based on machine subsystem performance parameters
US7184853B2 (en) * 2005-05-18 2007-02-27 Infineon Technologies Richmond, Lp Lithography method and system with correction of overlay offset errors caused by wafer processing
US7334202B1 (en) * 2005-06-03 2008-02-19 Advanced Micro Devices, Inc. Optimizing critical dimension uniformity utilizing a resist bake plate simulator
US7846624B2 (en) * 2006-02-17 2010-12-07 Litel Instruments Systems and methods for determination of focus and telecentricity, amelioration of metrology induced effects and application to determination of precision bossung curves
US7875851B1 (en) * 2006-05-01 2011-01-25 Advanced Micro Devices, Inc. Advanced process control framework using two-dimensional image analysis
WO2008089222A1 (en) 2007-01-18 2008-07-24 Nikon Corporation Scanner based optical proximity correction system and method of use
TW200836215A (en) * 2007-02-27 2008-09-01 Univ Nat Taiwan Science Tech Inverse method of fiber probe aperture size by non-destructive method and prediction fabrication profile method of near field photolithography
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US8037575B2 (en) * 2008-02-28 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shape and timing equivalent dimension extraction
US8078309B1 (en) * 2008-03-31 2011-12-13 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method to create arbitrary sidewall geometries in 3-dimensions using liga with a stochastic optimization framework
US7974819B2 (en) * 2008-05-13 2011-07-05 Aptina Imaging Corporation Methods and systems for intensity modeling including polarization
NL2003718A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Methods and system for model-based generic matching and tuning.
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
JP2010211046A (ja) * 2009-03-11 2010-09-24 Toshiba Corp パターン検証方法およびパターン検証プログラム
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US20110307083A1 (en) * 2010-06-10 2011-12-15 Siemens Product Lifecycle Management Software Inc. System and Method for Physics-Oriented System Configuration
US8555210B2 (en) 2011-04-29 2013-10-08 Micron Technology, Inc. Systems and methods for stochastic models of mask process variability
US8736814B2 (en) 2011-06-13 2014-05-27 Micron Technology, Inc. Lithography wave-front control system and method
US8572518B2 (en) 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
US8510683B2 (en) * 2011-12-07 2013-08-13 Synopsys, Inc. Spatial map of mask-pattern defects
US8745546B2 (en) * 2011-12-29 2014-06-03 Nanya Technology Corporation Mask overlay method, mask, and semiconductor device using the same
US9164398B2 (en) * 2013-02-27 2015-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay metrology method
US9965577B2 (en) 2013-03-14 2018-05-08 Coventor, Inc. System and method for performing directed self-assembly in a 3-D virtual fabrication environment
US9245067B2 (en) * 2013-03-15 2016-01-26 General Electric Company Probabilistic method and system for testing a material
WO2015101461A2 (en) * 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
KR102185281B1 (ko) * 2014-01-09 2020-12-01 삼성전자 주식회사 자기 정렬 더블 패터닝 공정을 이용하여 반도체 소자의 패턴을 형성하는 방법
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
WO2017210153A1 (en) 2016-05-30 2017-12-07 Coventor, Inc. System and method for electrical behavior modeling in a 3d virtual fabrication environment
US10657420B2 (en) 2018-07-17 2020-05-19 International Business Machines Corporation Modeling post-lithography stochastic critical dimension variation with multi-task neural networks
EP3629087A1 (en) * 2018-09-26 2020-04-01 ASML Netherlands B.V. Method of manufacturing devices
US11087065B2 (en) 2018-09-26 2021-08-10 Asml Netherlands B.V. Method of manufacturing devices
CN109583092B (zh) * 2018-11-30 2020-07-14 中南大学 一种多层次多模式特征提取的智能机械系统故障诊断方法
CN114167695B (zh) 2020-09-11 2022-11-22 长鑫存储技术有限公司 对准标记评估方法及对准标记评估系统

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3331822B2 (ja) * 1995-07-17 2002-10-07 ソニー株式会社 マスクパターン補正方法とそれを用いたマスク、露光方法および半導体装置
US5801954A (en) * 1996-04-24 1998-09-01 Micron Technology, Inc. Process for designing and checking a mask layout
US5978085A (en) * 1997-03-07 1999-11-02 Litel Instruments Apparatus method of measurement and method of data analysis for correction of optical system
US5828455A (en) * 1997-03-07 1998-10-27 Litel Instruments Apparatus, method of measurement, and method of data analysis for correction of optical system
US6356345B1 (en) * 1998-02-11 2002-03-12 Litel Instruments In-situ source metrology instrument and method of use
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
WO2000072090A2 (en) * 1999-05-20 2000-11-30 Micronic Laser Systems Ab A method for error reduction in lithography
US6734971B2 (en) * 2000-12-08 2004-05-11 Lael Instruments Method and apparatus for self-referenced wafer stage positional error mapping
US6573986B2 (en) * 2000-12-08 2003-06-03 Litel Instruments Method and apparatus for self-referenced projection lens distortion mapping
JP2002190443A (ja) * 2000-12-20 2002-07-05 Hitachi Ltd 露光方法およびその露光システム
US6906303B1 (en) * 2001-09-20 2005-06-14 Litel Instruments Method and apparatus for self-referenced dynamic step and scan intra-field scanning distortion
US6906780B1 (en) * 2001-09-20 2005-06-14 Litel Instruments Method and apparatus for self-referenced dynamic step and scan intra-field lens distortion
JP3875158B2 (ja) * 2002-08-09 2007-01-31 株式会社東芝 露光装置判定システム、露光装置判定方法、露光装置判定プログラム及び半導体装置の製造方法
US20050114822A1 (en) * 2003-03-03 2005-05-26 Valery Axelrad Integrated scheme for yield improvement by self-consistent minimization of IC design and process interactions
EP1496397A1 (en) * 2003-07-11 2005-01-12 ASML Netherlands B.V. Method and system for feedforward overlay correction of pattern induced distortion and displacement, and lithographic projection apparatus using such a method and system
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US20050137734A1 (en) * 2003-12-23 2005-06-23 Asml Netherlands B.V. Method of operating a lithographic apparatus or lithographic processsing cell, lithographic apparatus and lithographic processing cell
US7080349B1 (en) * 2004-04-05 2006-07-18 Advanced Micro Devices, Inc. Method of developing optimized optical proximity correction (OPC) fragmentation script for photolithographic processing

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007142275A (ja) * 2005-11-21 2007-06-07 Toshiba Corp フォトマスクの判定方法、半導体装置の製造方法及びプログラム
US7925090B2 (en) 2005-11-21 2011-04-12 Kabushiki Kaisha Toshiba Method of determining photo mask, method of manufacturing semiconductor device, and computer program product
JP2009521107A (ja) * 2005-12-22 2009-05-28 カール ツアイス エスエムエス ゲゼルシャフト ミット ベシュレンクテル ハフツング 結像光学系の結像動作を検査する方法および装置
JP2016512641A (ja) * 2013-03-14 2016-04-28 コヴェンター・インコーポレイテッド 予測3d仮想製作システムおよび方法
US10242142B2 (en) 2013-03-14 2019-03-26 Coventor, Inc. Predictive 3-D virtual fabrication system and method
US11048847B2 (en) 2013-03-14 2021-06-29 Coventor, Inc. System and method for performing a multi-etch process using material-specific behavioral parameters in a 3-D virtual fabrication environment
US11074388B2 (en) 2013-03-14 2021-07-27 Coventor, Inc. System and method for predictive 3-D virtual fabrication
US11630937B2 (en) 2013-03-14 2023-04-18 Coventor, Inc. System and method for predictive 3-D virtual fabrication
US11144701B2 (en) 2017-06-18 2021-10-12 Coventor, Inc. System and method for key parameter identification, process model calibration and variability analysis in a virtual semiconductor device fabrication environment
US11861289B2 (en) 2017-06-18 2024-01-02 Coventor, Inc. System and method for performing process model calibration in a virtual semiconductor device fabrication environment

Also Published As

Publication number Publication date
WO2005103819A3 (en) 2006-02-02
US20050240895A1 (en) 2005-10-27
WO2005103819A2 (en) 2005-11-03

Similar Documents

Publication Publication Date Title
JP2007535135A (ja) リソグラフ投影ツールのエミュレーション法
JP6824999B2 (ja) パターニングプロセスパラメータを決定する方法及び装置
TWI754263B (zh) 用於判定圖案化製程之製程窗的方法
TWI721298B (zh) 度量衡方法及相關之電腦程式產品
CN101258498B (zh) 用于形成光刻工艺的焦点曝光模型的系统和方法
TWI466171B (zh) 選擇圖案子集的方法、執行該方法之電腦程式產品及執行光源遮罩最佳化之方法
KR101279462B1 (ko) 소스, 마스크 및 투영 광학기의 최적화 플로우
CN102466985B (zh) 包括通过投影光学装置的光操纵的独立于图案和混合型匹配/调节
CN110383177A (zh) 计算量测法
CN102566299B (zh) 包括通过投影光学装置的光操纵的依赖图案的邻近匹配/调节
JP6931119B2 (ja) パターニングプロセスパラメータを決定する方法
CN111512235B (zh) 基于计算量测的校正和控制
JP2021504954A (ja) パターニングプロセスパラメータを決定する方法および装置
TW201011474A (en) Model-based process simulation systems and methods
CN112543892A (zh) 针对模拟系统的用于确定晶片的层的蚀刻轮廓的方法
JP2021505973A (ja) パターニングプロセスについての情報を決定する方法、測定データにおける誤差を低減する方法、メトロロジプロセスを較正する方法、メトロロジターゲットを選択する方法
TWI824809B (zh) 用於校準模擬製程之方法及其相關非暫時性電腦可讀媒體
TWI708116B (zh) 引導式圖案化裝置檢測
JP2020533622A (ja) パターニングプロセスパラメータを決定するための方法及びメトロロジ装置
KR20180072760A (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
JP2021513098A (ja) メトロロジプロセスを最適化する方法
TW202217462A (zh) 基於失效率之製程窗
KR20210037696A (ko) 매칭 퓨필 결정
TWI831362B (zh) 基於失效率之製程窗
TW202414116A (zh) 用於校準模擬製程之方法及其相關非暫時性電腦可讀媒體

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090924

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100302