KR101279462B1 - 소스, 마스크 및 투영 광학기의 최적화 플로우 - Google Patents

소스, 마스크 및 투영 광학기의 최적화 플로우 Download PDF

Info

Publication number
KR101279462B1
KR101279462B1 KR1020110116604A KR20110116604A KR101279462B1 KR 101279462 B1 KR101279462 B1 KR 101279462B1 KR 1020110116604 A KR1020110116604 A KR 1020110116604A KR 20110116604 A KR20110116604 A KR 20110116604A KR 101279462 B1 KR101279462 B1 KR 101279462B1
Authority
KR
South Korea
Prior art keywords
projection optics
optimization
design
cost function
mask
Prior art date
Application number
KR1020110116604A
Other languages
English (en)
Other versions
KR20120050392A (ko
Inventor
두안-푸 흐수
루오치 첸
한윙 펭
라파엘 씨. 하웰
신지안 저우
이-팡 첸
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20120050392A publication Critical patent/KR20120050392A/ko
Application granted granted Critical
Publication of KR101279462B1 publication Critical patent/KR101279462B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70066Size and form of the illuminated area in the mask plane, e.g. reticle masking blades or blinds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명의 실시예들은 투영 광학기를 최적화하는 것을 포함한 리소그래피 투영 장치를 최적화하는 방법들을 제공한다. 본 실시예들은 소스, 마스크, 및 투영 광학기를 최적화하는 것을 포함하는 몇몇 플로우들; 및 투영 광학기, 마스크 및 소스 중 어느 것을 조합한 순차적으로 반복적인 다양한 최적화 공정들을 포함한다. 투영 광학기는 때때로 "렌즈"라고 폭넓게 지칭되며, 따라서 최적화 공정은 소스 마스크 렌즈 최적화(SMLO)로 칭해질 수 있다. SMLO는 부분적으로 최적화에서 투영 광학기를 포함하는 것은 투영 광학기의 복수의 조정가능한 특성을 도입함으로써 더 큰 공정 윈도우를 유도할 수 있기 때문에, SMLO는 기존의 소스 마스크 최적화(SMO) 공정 및 투영 광학기 최적화를 포함하지 않는 다른 최적화 공정들을 능가하여 바람직할 수 있다. 투영 광학기는 리소그래피 투영 장치에서 파면을 성형하는데 사용될 수 있으며, 전체 이미징 공정의 수차 제어를 가능하게 한다.

Description

소스, 마스크 및 투영 광학기의 최적화 플로우{OPTIMIZATION FLOWS OF SOURCE, MASK AND PROJECTION OPTICS}
본 청구 발명은 리소그래피 장치 및 공정들에 관한 것이며, 더 상세하게는 리소그래피 장치 및 공정들에서 사용하기 위한 조명 소스, 마스크/설계 레이아웃 및 투영 광학기들을 동시에 또는 번갈아(alternatively) 최적화하는 툴들에 관한 것이다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별 층에 대응하는 회로 패턴("설계 레이아웃")을 포함할 수 있으며, 이 회로 패턴은 마스크 상의 회로 패턴을 통해 타겟부를 조사하는 것과 같은 방법들을 이용하여, 방사선-감응재("레지스트") 층으로 코팅된 기판(실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사될 수 있다. 일반적으로, 단일 기판은 회로 패턴이 리소그래피 투영 장치에 의해 한번에 하나의 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치들에서, 전체 마스크의 회로 패턴은 한번에 하나의 타겟부로 전사되며, 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는, 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 마스크에 걸쳐 스캐닝되는 한편, 이 기준 방향과 평행하게(같은 방향으로 평행하게) 또는 역-평행하게(반대 방향으로 평행하게) 기판을 동기적으로 이동시킨다. 마스크의 회로 패턴의 상이한 부분들이 점진적으로(progressively) 하나의 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치는 배율 인자 M(일반적으로 < 1)를 가질 것이기 때문에, 기판이 이동되는 속도(F)는, 투영 빔이 마스크를 스캔하는 것의 인자 M 배가 될 것이다. 본 명세서에 설명되는 바와 같은 리소그래피 장치들에 관한 더 많은 정보는, 예를 들어 US 6,046,792로부터 수집될 수 있으며, 이는 본 명세서에서 인용 참조된다.
마스크로부터 기판으로 회로 패턴을 전사하기 이전에, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 과정들을 거칠 수 있다. 노광 후, 기판은 노광후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 과정들을 거칠 수 있다. 이러한 일련의 과정들은 디바이스, 예컨대 IC의 개별 층을 제조하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 폴리싱 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별 층을 마무리하도록 의도된다. 디바이스에 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복되어야 할 것이다. 최후에는, 기판의 각 타겟부에 디바이스가 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어에 장착될 수 있고, 핀에 연결될 수 있다.
유의되는 바와 같이, 마이크로리소그래피는 IC의 제조에서 핵심 단계이며, 기판들에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC의 기능성 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이, MEMS(micro-electro mechanical system) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 공정들이 계속 진보함에 따라, 통상적으로 '무어의 법칙(Moore's law)'이라 칭하는 추세를 따라 기능성 요소들의 치수들이 계속 감소된 한편, 디바이스당 트랜지스터와 같은 기능성 요소들의 양은 10 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 DUV(deep-ultraviolet) 조명 소스로부터의 조명을 이용하여 기판에 설계 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되며, 100 nm보다 한참 낮은, 즉 조명 소스로부터 나온 광의 파장의 절반보다 작은 치수들을 갖는 개별 기능 요소들을 생성한다.
이 공정(이 공정에서는, 리소그래피 투영 장치의 통상적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트됨)은 통상적으로 분해능 식 CD = k1×λ/NA에 따른 낮은(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택된 방사선의 파장(현재 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치의 투영 광학기의 개구수(numerical aperture)이며, CD는 '임계 치수' - 일반적으로, 프린트되는 최소 피처 크기 - 이고, k1은 경험적인 분해능 인자(empirical resolution factor)이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 웨이퍼에 재현(reproduce)하기가 더 어려워진다. 이러한 난제들을 극복하기 위해, 정교한 미세조정 단계들이 설계 레이아웃뿐만 아니라 리소그래피 투영 장치에도 적용된다. 이러한 단계들은, 제한하는 것은 아니지만, 예를 들어 NA 및 광 간섭 설정(optical coherence setting)들의 최적화, 맞춤(customized) 조명 방식, 위상 시프팅 마스크들의 사용, 설계 레이아웃에서의 광 근접성 보정(OPC, 때로는 "광학 및 처리 보정"이라고 칭해지기도 함), 또는 일반적으로 "분해능 향상 기술(resolution enhancement technique: RET)"로 정의된 다른 방법들을 포함한다.
중요한 일 예시로서, OPC는 기판에 투영된 설계 레이아웃 이미지의 최종 크기 및 배치가 마스크 상의 설계 레이아웃의 크기 및 배치와 동일하지 않거나, 단순히 마스크 상의 설계 레이아웃의 크기 및 배치에만 의존할 것이라는 사실을 다룬다. "마스크" 및 "레티클"이라는 용어들은 본 명세서에서 상호교환가능하게 이용된다는 것을 유의한다. 또한, 당업자라면, 특히 리소그래피 시뮬레이션/최적화와 관련하여, 리소그래피 시뮬레이션/최적화에서 물리적인 마스크가 반드시 사용될 필요는 없으며, 물리적인 마스크를 나타내는데 설계 레이아웃이 사용될 수 있음에 따라, "마스크" 및 "설계 레이아웃"이라는 용어는 상호교환가능하게 사용될 수 있음을 이해할 것이다. 몇몇 설계 레이아웃에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들이 존재하거나 부재하는지에 따라 어느 정도 영향을 받을 것이다. 이 근접 효과들은 하나의 피처에서 다른 피처로 커플링된(coupled) 소량의 광, 및/또는 회절 및 간섭과 같은 비-지오메트리(non-geometrical) 광학 효과들에 기인한다. 유사하게, 근접 효과들은 일반적으로 리소그래피 다음에 행해지는 노광후 베이크(PEB), 레지스트 현상, 및 에칭 시 확산 및 다른 화학적 영향들에 기인할 수 있다.
설계 레이아웃의 투영된 이미지가 주어진 타겟 회로 설계 요건들에 따르는 것을 보장하기 위하여, 근접 효과들은 정교한 수치 모델들, 설계 레이아웃의 전치-왜곡(pre-distortion) 또는 보정들을 이용하여 예측되고 보상되어야 할 필요가 있다. 논문 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design[C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005)]"은 현재 "모델-기반" 광 근접성 보정 공정들의 개요를 제공한다. 전형적인 고성능(high-end) 설계에서는, 타겟 설계에 대해 투영된 이미지의 높은 충실도(fidelity)를 달성하기 위해, 설계 레이아웃의 거의 모든 피처가 약간의 수정을 필요로 한다. 이 수정들은, 다른 피처들의 투영을 돕도록 의도된 '어시스트(assist)' 피처들의 적용뿐만 아니라, 에지 위치 또는 라인 폭들의 시프팅 또는 바이어싱(biasing)을 포함할 수 있다.
타겟 설계에 모델-기반 OPC의 적용은, 통상적으로 수백만 개의 피처들이 칩 설계에 존재한다고 할 때, 양호한 공정 모델 및 상당한 연산 자원(computational resource)을 필요로 한다. 하지만, OPC를 적용하는 것은, 일반적으로 "정밀 과학(exact science)"이 아니라, 경험적이고 반복적인 공정이며, 따라서 모든 가능한 근접 효과를 항상 보상하지 않는다. 그러므로, OPC의 효과, 이를테면 OPC 및 여하한 다른 RET의 적용 후의 설계 레이아웃들은, 마스크 제조 시 설계 결함(design flaw)들이 도입될 가능성을 최소화하기 위해, 캘리브레이션된(calibrated) 수치 공정 모델들을 이용하여, 설계 검사, 즉 집중적 풀-칩 시뮬레이션(intensive full-chip simulation)에 의해 검증되어야 할 필요가 있다. 이는, 고성능 마스크를 제조하는데 있어서 수백만 달러 범위로 운용되는 막대한 비용에 의해, 또한 마스크들이 제조된 후, 실제 마스크들을 재작업하거나 수선(repairing)하는데 따른 왕복 소요시간(turn around time)의 영향에 의해 추진된다.
OPC 및 풀-칩 RET 검증 둘 모두는, 예를 들어 "Optimized Hardware and Software For Fast, Full Chip Simulation[Y. Cao 외 다수, Proc. SPIE, Vol. 5754, 405(2005)]"이라는 제목의 논문, 및 미국 특허 출원 번호 10/815,573에 개시된 바와 같은 수치 모델링 시스템 및 방법들에 기초할 수 있다.
또한, 설계 레이아웃들 또는 마스크들에 대한 최적화(예를 들어, OPC) 이외에도, 전체 리소그래피 충실도를 개선하려는 노력으로, 마스크 최적화와 함께 또는 별도로 조명 소스가 최적화될 수 있다. 1990년대 이후, 환형(annular), 4-극(quadrupole), 및 2-극(dipole)과 같은 다수의 오프-액시스(off-axis) 조명 소스들이 도입되었으며, OPC 설계에 더 많은 자유를 제공함에 따라, 이미징 결과들을 개선하였다. 알려진 바와 같이, 오프-액시스 조명은 마스크에 포함된 미세 구조들(즉, 타겟 피처들)을 분해하는 입증된 방식이다. 하지만, 종래의 조명 소스와 비교할 때, 오프-액시스 조명 소스는 통상적으로 에어리얼 이미지(aerial image: AI)에 낮은 광 세기를 제공한다. 따라서, 더 미세한 분해능과 감소된 광 세기 사이에서 최적의 균형을 달성하기 위해서는, 조명 소스를 최적화하려는 시도가 필수적이다. 본 명세서에서, "조명 소스" 및 "소스"라는 용어는 상호교환가능하게 사용된다.
다수의 조명 소스 최적화 접근법들은, 예를 들어 Rosenbluth 외 다수가 쓴 "Optimum Mask and Source Patterns to Print A Given Shape[Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, (2002)]"이라는 제목의 논문에서 찾을 수 있다. 이 소스는 수 개의 영역들로 분할되어 있으며, 이 각각은 퓨필 스펙트럼(pupil spectrum)의 소정 영역에 대응한다. 그 다음, 소스 분포는 각 소스 영역에서 균일하다고 가정되며, 각 영역의 밝기는 공정 윈도우(process window)에 대해 최적화된다. 하지만, 소스 분포가 각 소스 영역에서 균일하다는 이러한 가정은 항상 유효하지 않으며, 그 결과로 이 접근법의 유효성(effectiveness)이 논란이 된다. Granik가 쓴 "Source Optimization for Image Fidelity and Throughput[Journal of Microlithography, Microfabrication, Microsystems 3(4), pp.509-522, (2004)]"이라는 제목의 논문에 설명된 또 다른 예시에서는, 현존하는 몇 가지 소스 최적화 접근법들이 소개되어 있으며, 소스 최적화 문제를 음이 아닌 최소 제곱 최적화들의 급수(a series of non-negative least square optimization)로 전환시키는 일루미네이터 픽셀들에 기초한 방법이 제안된다. 이러한 방법들은 몇몇 성공들을 증명하였지만, 상기 방법들은 통상적으로 수렴(converge)을 위해 다수의 복잡한 반복들을 필요로 한다. 또한, 소스의 평활도 요건(smoothness requirement)과 웨이퍼 이미지 충실도를 최적화하는 것 사이의 상관관계(trade-off)에 영향을 주는(dictate) Granik 방법에서 γ와 같은 몇몇 여분의 파라미터들에 대해 적절한/최적의 값들을 결정하는 것이 어려울 수 있다.
낮은 k1 포토리소그래피에 대해, 임계 회로 패턴들의 투영을 위한 실행가능한 공정 윈도우를 보장하는데 소스 및 마스크 둘 모두의 최적화가 매우 유용하다. 몇몇 알고리즘들(Socha 외 다수, Proc. SPIE vol. 5853, 2005, p.180)은 조명을 독립적인 소스 지점들로, 마스크를 공간 주파수 도메인(spatial frequency domain)에서 회절 차수(diffraction order)들로 이산화하고(discretize), 소스 지점 세기들 및 마스크 회절 차수들로부터 광학 이미징 모델들에 의해 예측될 수 있는 노광 관용도(exposure latitude)와 같은 공정 윈도우 메트릭(process window metric)에 기초하여, (선택된 설계 변수들의 함수로서 정의되는) 비용 함수를 별도로 공식화한다. 본 명세서에 사용되는 바와 같은 "설계 변수들"이라는 용어는 리소그래피 투영 장치의 한 세트의 파라미터들, 예를 들어 리소그래피 투영 장치의 사용자가 조정할 수 있는 파라미터들을 의미한다. 소스, 마스크, 투영 광학기의 특성을 포함한, 리소그래피 투영 장치의 여하한의 특성은 최적화에서 설계 변수들 사이에 있을 수 있음을 이해하여야 한다. 비용 함수는 흔히 설계 변수들의 비-선형 함수이다. 이후, 비용 함수를 최소화하기 위해 표준 최적화 기술들이 사용된다.
비용 함수를 공식화하는 이러한 알고리즘들이 갖는 한 가지 문제는, 이러한 알고리즘들이 최적 소스와 마스크 둘 모두의 수렴에 도달하기 이전에 다수의 풀-포워드 광학 이미징 모델 시뮬레이션(full forward optical imaging model simulation)들을 필요로 하는 것이다. 매질 복잡성(medium complexity)의 클립(clip)(리소그래피 투영 장치의 최적화에 사용될 수 있는 캘리브레이션 피처들을 갖는 설계 레이아웃의 일부분으로 정의되며, 이후 상세한 설명 부분에서 자세히 설명하기로 함)을 이용하여 리소그래피 투영 장치를 최적화하는 것은, 최신 표준 PC 하드웨어에서 수 주, 또는 심지어 수 개월이 걸릴 수 있으며, 이는 일반적으로 실행 불가능한 것으로 여겨진다. 현실적인 최적화 공정은 일반적으로 24 시간 미만이 소요된다.
이와 관련하여, EUV 리소그래피의 지연(delay) 및 더 감소하는 설계 규칙들의 압박(pressure)은, 기존의 193 nm ArF 리소그래피를 이용하는 낮은 k1 리소그래피 시대로 더 깊이 들어가도록 반도체 칩제조업자들을 몰고 갔다. 더 낮은 k1을 지향하는 리소그래피는 RET, 노광 툴, 및 리소-우호적 설계(litho-friendly design)를 상당히 요구한다(heavy demand). 1.35 ArF 하이퍼 개구수(hyper NA) 노광 툴은 향후 2년 내에 칩 제조에 사용되는 노광 툴일 것이다. 작업가능한 공정 윈도우를 이용하여 기판에 회로 설계가 생성될 수 있도록 보장하기 위해; 소스-마스크 최적화(source-mask optimization: SMO)는 2x nm 노드(node)에 요구되는 중요한 RET이 되고 있다.
실행가능한 양의 시간 내에 제약 없이, 비용 함수를 이용하여 소스 및 마스크의 동시 최적화를 허용하는 소스 및 마스크(설계 레이아웃) 최적화 방법 및 시스템은 2009년 11월 20일에 공통적으로 출원된(commonly assigned) 국제 특허 출원 번호 PCT/US2009/065359에 개시되고, WO2010/059954에 "Fast Freeform Source and Mask Co-Optimization Method"이라는 제목으로 공개되며, 이는 본 명세서에서 전문이 인용 참조된다.
리소그래피 투영 장치들의 하드웨어 및 소프트웨어의 새로운 개발은 그 내부의 투영 광학기들을 조정가능하게 함으로써 더 많은 유연성을 제공한다. 본 명세서에 사용되는 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처 및 카타디옵트릭(catadioptric) 광학기들을 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이러한 설계 타입들들 중 어느 하나에 따라 작동하는 구성요소들을 집합적으로 또는 개별적으로 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로에서 어디에 위치되더라도, 리소그래피 투영 장치의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 광이 마스크를 통과하기 전에 소스로부터 나온 광을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 광이 마스크를 통과한 후에 상기 광을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기들은 일반적으로 소스 및 마스크를 포함하지 않는다.
예를 들어, 투영 광학기의 조정가능한 파라미터["노브(knob)"]들은 더 많은 자유도(degree of freedom)로 [예를 들어, 파면 형상(wavefront shape), 세기 분포 등으로] 광을 성형(shape)할 수 있으며, 및/또는 기존의 SMO 기술들에 의해 제공되는 것보다 넓은 범위의 소스 및 마스크 조건들을 수용하게 한다[즉, 더 큰 공정 윈도우(PW)를 제공하게 한다].
하지만, 이러한 추가 노브들을 최적화하는 것은 매우 높은 연산 비용(computation cost)을 수반한다. 그러므로, 소스 및 마스크와 연계된 노브들과 함께 투영 광학기와 관련된 이러한 노브들의 최적화를 단순화하거나 가속화하는 방법들이 바람직하다.
그러므로, (투영 광학기 시스템의 설정을 포함한) 리소그래피 장치의 설정이 결정되고 마스크가 설계되는 것에 기초하여, 리소그래피 공정을 특성화하는 연산 효율적이고 종합적인(computationally-efficient comprehensive) 최적화 방법 및 플로우들이 요구된다.
본 명세서에 설명되는 바와 같은 실시예들은, 내부의 투영 광학기를 개선 또는 최적화하는 것을 포함하고, 바람직하게는 이와 동시에 또는 대안적으로 소스, 마스크 및 투영 광학기를 동시에 또는 번갈아 개선하거나 최적화할 수 있는 능력을 포함하는 리소그래피 투영 장치를 개선하거나 최적화하는 방법들 및 플로우들을 제공한다. 이러한 플로우들은, 예를 들어 특정 설계를 위해 설계 레이아웃과 함께 리소그래피 투영 장치를 최적화하거나 개선하는데 사용될 수 있는 가능한 작업 흐름들을 나타내는 것이 목적이다. 투영 광학기는 때때로 "렌즈"라고 칭해지며, 따라서 결합 최적화 공정은 소스 마스크 렌즈 최적화(SMLO)라고 칭해질 수 있다. SMLO 공정을 설명하는데 사용되는 또 다른 용어는, 몇몇 실시예들에서 투영 광학기의 퓨필 평면에 대해 렌즈 최적화가 행해짐에 따라, 소스 마스크 퓨필 최적화(SMPO)라고 칭해진다. 하지만, 본 발명의 범위는 퓨필 평면에서의 최적화로만 제한되지 않는다. 부분적으로, 최적화에서 투영 광학기를 포함하는 것은 투영 광학기의 복수의 조정가능한 특성을 도입함으로써 더 큰 공정 윈도우를 유도할 수 있기 때문에, SMLO는 기존의 소스 마스크 최적화(SMO) 공정 및 투영 광학기 최적화를 고려하지 않는 다른 최적화 공정들을 능가하여 바람직하다. 투영 광학기는 리소그래피 투영 장치에서 파면을 성형하는데 사용될 수 있다. 본 명세서의 실시예들에 따르면, 투영 광학기의 조정가능한 특성은 SMO로 이전에 가능한 것보다 큰 공정 윈도를 허용한다. 투영 광학기, 소스 및 마스크의 조정가능한 특성들이 본 실시예들의 설명에 사용되더라도, 도즈(dose) 및 포커스와 같은 리소그래피 투영 장치의 다른 조정가능한 특성들이 최적화에서 조정될 수 있다.
본 명세서의 실시예들은 소스, 투영 광학기, 및 마스크의 특성일 수 있는 설계 변수들의 적합한 비용 함수를 최소화함으로써 리소그래피 투영 장치를 개선하거나 최적화한다. 비용 함수의 비-제한적인 예시들이 주어진다. 또한, 다른 형식의 비용 함수가 가능하며, 광범위하고 다양한 리소그래피 메트릭(lithography metric)을 수용할 수 있다. 비용 함수는 설계 변수들의 선형 또는 비-선형 함수일 수 있다.
동시 SMLO 및 교번(alternative) SMLO의 방법들이 개시되었다. SMLO 공정은 SMLO를 다양한 패턴 선택 방법들과 조합함으로써 가속될 수 있다. 또한, 풀-칩 SMLO를 포함하는 플로우들이 포함되며, 여기서 SMLO는 초기에 설계 부분들의 선택된 서브-그룹에 적용되며, 이후, 예를 들어 소스 및 렌즈 파라미터들이 고정된 채로 유지되는 동안, 최종 마스크 최적화 단계를 통해 칩의 나머지 부분이 보정된다.
본 발명의 일 실시예에서, 본 발명의 방법에 속한 최적화 단계들의 출력들 및 최적화된 공정 레시피(process recipe)는 전반적 최적치 솔루션(globally optimum solution)일 필요는 없다. 이보다는, 본 명세서에서 최적화라는 용어는, 파라미터들의 초기 세트의 값들에 비해, 적어도 하나의 관련 메트릭에서 개선, 예를 들어 국부적 최적치(local optimum)를 제공하는 이미징 파라미터들에 대한 값들을 식별하는 공정을 일컫는데 사용된다. "최적치" 및 다른 관련 용어들은 이에 따라 해석되어야 한다. 본 명세서의 일 실시예에서는, 1 이상의 메트릭에서 추가 개선들을 제공하기 위해 최적화 단계들이 반복적으로 적용될 수 있다.
당업자라면, 첨부된 도면들과 연계하여 본 발명의 특정 실시예들의 다음의 설명을 검토함으로써, 본 발명의 상기 및 다른 실시형태들, 그리고 특징들을 이해할 수 있을 것이다:
도 1은 본 발명의 예시적인 구현들에 따른 리소그래피 장치의 다양한 서브시스템들의 블록도;
도 2는 도 1의 서브시스템들에 대응하는 시뮬레이션 모델들의 블록도;
도 3은 본 발명에 따른 결합 최적화(joint optimization)의 예시적인 방법의 실시형태들을 예시하는 흐름도;
도 4a는 본 발명의 일 실시예에 따른 최적화 방법 또는 최적화 플로우의 일 실시예를 도시하는 도면;
도 4b는 렌즈 및 패턴/설계 레이아웃 최적화가 반복적으로 수행되는 또 다른 최적화 방법의 일 실시예를 도시하는 도면;
도 4c는 렌즈 및 패턴/설계 레이아웃 최적화가 공동-최적화(co-optimization)를 통해 수행되는 또 다른 최적화 방법의 일 실시예를 도시하는 도면;
도 4d는 소스, 마스크/설계 레이아웃 및 렌즈 최적화가 공동-최적화 공정을 통해 수행되는 최적화 방법의 일 실시예를 도시하는 도면;
도 4e 내지 도 4g는 패턴 선택 또는 게이지(gauge) 선택이 최적화 공정에 통합된 SMLO 방법의 다양한 실시예들을 도시하는 도면들;
도 5 내지 도 7은 본 발명의 실시예들에 따른 다양한 최적화 공정들의 예시적인 흐름도들;
도 8a 내지 도 8f는 설계 일부분에 적용된, 도 4b에 도시된 바와 같은 소스-마스크-최적화를 나타내는 도면;
도 9는 본 발명의 실시예들이 구현될 수 있는 예시적인 컴퓨터 시스템의 블록도; 및
도 10은 본 발명의 실시예들이 적용될 수 있는 리소그래피 투영 장치의 개략도이다.
본 명세서에서는, IC의 제조에 있어서 본 발명의 특정 사용예에 대하여 언급되지만, 본 발명은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "마스크", "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어와 상호교환가능한 것으로 간주되어야 한다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는데 사용된다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는, 리소그래피의 공정들 및/또는 결과들이 기판 상으로의 설계 레이아웃의 투영의 더 높은 정확성, 더 큰 공정 윈도우 등과 같은 더 바람직한 특성을 갖도록 리소그래피 투영 장치를 조정하는 것을 의미한다.
또한, 리소그래피 투영 장치는 2 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가 테이블들이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다. 트윈 스테이지 리소그래피 투영 장치들은 예를 들어 US 5,969,441에 개시되며, 본 명세서에 인용 참조된다.
앞서 언급된 마스크는 설계 레이아웃들을 포함한다. 설계 레이아웃들은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 공정은 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 설계 레이아웃/마스크들을 생성하기 위해 한 세트의 사전설정된 설계 규칙들을 따른다. 이러한 규칙들은 처리 및 설계 제한들에 의해 설정된다. 예를 들어, 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, 설계 규칙들은 (게이트, 캐패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이에 간격 공차(space tolerance)를 정의한다. 설계 규칙 제한들은 통상적으로 "임계 치수(CD)"라고도 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 설계된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목적들 중 하나는 원래 회로 설계를 (마스크를 통해) 기판에 충실하게 재현하는 것이다.
본 명세서에서 채택된 바와 같은 마스크라는 용어는 기판의 타겟부에 생성될 패턴에 대응하는 패터닝된 단면을 입사하는 방사선 빔에 부여하는데 사용될 수 있는 일반적인 패터닝 수단을 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 본 명세서에서 사용될 수도 있다. 전형적인 마스크[투과 또는 반사; 바이너리(binary), 위상-시프팅(phase-shifting), 하이브리드(hybrid) 등] 이외에, 이러한 다른 패터닝 수단의 예시로는 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시로는 점탄성(viscoelastic) 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이 있다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들에서는 입사광을 회절광(diffracted light)으로서 반사시키는 반면, 어드레스되지 않은 영역들에서는 입사광을 비회절광으로서 반사시키는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 광만을 필터링하여 회절광만을 남게 할 수 있다; 이러한 방식으로, 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 요구되는 매트릭스 어드레싱은 적합한 전자 수단을 이용하여 수행될 수 있다. 이러한 거울 어레이들에 관한 더 많은 정보는, 예를 들어 미국 특허 5,296,891 및 US 5,523,193으로부터 얻을 수 있으며, 이는 본 명세서에서 인용 참조된다.
- 프로그램가능한 LCD 어레이. 이러한 구성의 일 예시는 미국 특허 5,229,872에 개시되어 있으며, 이는 본 명세서에서 인용 참조된다.
간단한 소개(brief introduction)로서, 도 1은 예시적인 리소그래피 장치(10)를 나타낸다. 주요 구성요소들은 DUV(deep-ultraviolet) 엑시머 레이저 소스, 또는 극자외(EUV) 소스를 포함하는 다른 타입의 소스들일 수 있는 조명 소스(12); 부분 간섭(partial coherence)(시그마로서 나타냄)을 정의하고, 상기 소스(12)로부터 광을 성형하는 광학기들(14, 16a 및 16b)을 포함할 수 있는 조명 광학기; 마스크 또는 레티클(18); 및 기판 평면(22)에 레티클 패턴의 이미지를 투영하는 투과 광학기(16c)이다. 투영 광학기의 퓨필 평면에서 조정가능한 필터 또는 어퍼처(20)는 기판 평면(22)에 입사되는(impinge) 빔 각도의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수를 정의한다[NA = sin(Θmax)].
시스템의 최적화 공정에서, 상기 시스템의 성능 지수(a figure of merit)는 비용 함수로 나타내어질 수 있다. 최적화 공정은, 요약하면, 비용 함수를 최소화하는 시스템의 한 세트의 파라미터들(설계 변수들)을 찾는 공정이다. 비용 함수는 최적화 목적에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 특성들의 의도된 값들(예를 들어, 이상적인 값들)에 대한 시스템의 (평가 지점에서의) 소정 특성들의 편차들의 가중평균제곱근(weighted root mean square: RMS)일 수 있으며; 또한, 비용 함수는 이러한 편차들의 최대치일 수 있다. 본 명세서에서 "평가 지점들"이라는 용어는 상기 시스템의 여하한의 특성들을 포함하는 것으로 폭넓게 해석되어야 한다. 상기 시스템의 설계 변수들은 시스템의 구현들의 실용성(practicality)들로 인해 상호의존적, 및/또는 유한 범위들로 한정될 수 있다. 리소그래피 투영 장치의 경우, 제약들은 흔히 조율가능한 범위 및/또는 마스크 제조가능성(mask manufacturability) 설계 규칙과 같은 하드웨어의 물리적 속성들 및 특성들과 연계되며, 평가 지점들은 도즈 및 포커스와 같은 비-물리적 특성들뿐만 아니라, 기판 상의 레지스트 이미지의 물리적 지점들을 포함할 수 있다.
리소그래피 투영 장치에서, 소스는 조명(즉, 광)을 제공하며; 투영 광학기는 마스크를 통해 기판 상으로 상기 조명을 지향시키고 성형한다. 본 명세서에서, "투영 광학기"라는 용어는 방사선 빔의 파면을 변화시킬 수 있는 여하한의 구성요소를 포함하도록 폭넓게 정의된다. 예를 들어, 투영 광학기는 구성요소들(14, 16a, 16b 및 16c) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판에서의 광 세기 분포이다. 기판의 레지스트 층이 노광되며, 에어리얼 이미지는 잠상(latent) "레지스트 이미지(RI)"로서 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층의 레지스트의 용해도의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 공통적으로 출원된 미국 특허 출원 일련번호 12/315,849에서 찾을 수 있으며, 이 내용은 본 명세서에서 전문이 인용 참조된다. 레지스트 모델은 오직 레지스트 층의 속성들(예를 들어, 노광, PEB 및 현상 동안 일어나는 화학 처리들의 효과들)과만 관계된다. 리소그래피 투영 장치의 광학 속성들(예를 들어, 소스, 마스크 및 투영 광학기의 속성들)은 에어리얼 이미지에 영향을 준다. 리소그래피 투영 장치에 사용되는 마스크가 변화될 수 있기 때문에, 적어도 소스 및 투영 광학기를 포함하는 리소그래피 투영 장치의 나머지 부분의 광학 속성들과 마스크의 광학 속성들을 분리시키는 것이 바람직하다.
리소그래피 투영 장치에서 리소그래피를 시뮬레이션하는 예시적인 흐름도가 도 2에 도시된다. 소스 모델(31)은 (광 세기 분포 및/또는 위상 분포를 포함하는) 소스의 광학 특성들을 나타낸다. 투영 광학기 모델(32)은 (투영 광학기에 의해 유도된 위상 분포 및/또는 광 세기 분포에 대한 변화들을 포함하는) 투영 광학기의 광학 특성들을 나타낸다. 본 명세서에서 이후에 설명되는 바와 같이, 소스 모델(31) 및 투영 광학기 모델(32)은 투과 교차 계수(transmission cross coefficient: TCC) 모델(34)로 조합될 수 있다. 설계 레이아웃 모델(35)은 마스크 피처들의 배치를 나타내는 [주어진 설계 레이아웃(33)에 의해 유도된 위상 분포 및/또는 광 세기 분포에 대한 변화들을 포함하는] 설계 레이아웃(33)의 광학 특성들을 나타낸다. 에어리얼 이미지(36)는 투과 교차 계수(34) 및 설계 레이아웃 모델(35)로부터 시뮬레이션될 수 있다. 레지스트 이미지(38)는 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지의 윤곽 및 CD들을 예측할 수 있다.
더 구체적으로, 소스 모델(31)은, 제한하는 것은 아니지만, NA-시그마(σ) 설정들, 그리고 여하한의 특정 조명 소스 형상(예를 들어, 환형, 4-극, 및 2-극 등과 같은 오프-액시스 광원들)을 포함하는 소스의 광학 특성들을 나타낼 수 있음을 유의한다. 투영 광학기 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수 등을 포함하는 투영 광학기의 광학 특성들을 나타낼 수 있다. 또한, 설계 레이아웃 모델(35)은, 예를 들어 본 명세서에서 전문이 인용 참조되는 미국 특허 번호 7,587,704에 개시된 바와 같은 물리적 마스크의 물리적 속성들을 나타낼 수 있다. 시뮬레이션의 목적은, 이후 의도된 설계와 비교될 수 있는, 예를 들어 에지 배치들 및 CD들을 정확히 예측하는 것이다. 의도된 설계는 일반적으로 전(pre)-OPC 설계 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있다.
이 설계 레이아웃으로부터, 1 이상의 부분들이 식별될 수 있으며, 이들은 클립(clip)"이라고 칭해진다. 본 발명의 특정 실시예에서는, 설계 레이아웃 내의 복잡한 패턴들을 나타내는 풀 세트의 클립들이 추출된다(통상적으로, 50 내지 1000 개의 클립들; 하지만 여하한의 수의 클립들이 사용될 수도 있다). 당업자라면 이해할 수 있는 바와 같이, 이러한 패턴들 또는 클립들은 설계의 작은 부분들(즉, 회로, 셀 또는 패턴들)을 나타내며, 특히 클립들은 각별한 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다. 다시 말해, 클립들은 설계 레이아웃의 일부분들일 수 있거나, 유사할 수 있거나, 설계 레이아웃의 일부분들의 유사한 동작을 가질 수 있으며, 그 부분들에서 임계 피처들은 경험에 의해(고객에 의해 제공되는 클립들을 포함함), 시행착오에 의해, 또는 풀-칩 시뮬레이션을 실행시키는 것 중 어느 하나에 의해 식별된다. 클립들은 통상적으로 1 이상의 테스트 패턴 또는 게이지 패턴(gauge pattern)들을 포함한다.
특정 이미지 최적화를 필요로 하는 설계 레이아웃 내의 알려진 임계 피처 영역들에 기초하여, 초기 더 큰 세트의 클립들이 선험적으로 고객에 의해 제공될 수 있다. 대안적으로, 본 발명의 또 다른 실시예에서는, [머신 비전(machine vision)과 같이] 몇몇 종류의 자동화된, 또는 임계 피처 영역들을 식별하는 수동 알고리즘을 이용함으로써, 전체 설계 레이아웃으로부터 초기 더 큰 세트의 클립들이 추출될 수 있다.
전체 연산량(computation burden)을 감소시키기 위해, 다양한 최적화 방식들이 고려될 수 있다. 일 실시예에서는, 먼저 회절 시그너처 분석(diffraction signature analysis) 또는 여하한의 다른 방법에 기초한 패턴 선택 방법에 의해 한 세트의 클립들이 선택되고, 이후 SMLO 공정이 수행된다. 대안적으로, 먼저 풀-칩 시뮬레이션이 수행되고, 상기 풀-칩 시뮬레이션으로부터 '핫 스폿(hot spot)' 및/또는 '웜 스폿(warm spot)'들이 식별되며, 이후 패턴 선택 단계가 수행된다. 최적화는 선택된 패턴들에 기초하여 행해진다. (회절 시그너처 분석 또는 다른 방법들에 기초한) 패턴 선택 알고리즘은 SMLO 공정과 매끄럽게(seamlessly) 통합될 수 있다.
리소그래피 투영 장치에서, 일 예시로서 비용 함수는:
Figure 112011088591445-pat00001
(수학식 1)
로서 표현될 수 있으며,
여기서, (z 1,z 2,...,z N )은 N 개의 설계 변수들 또는 이의 값들이고; f p (z 1,z 2,...,z N )은 (z 1,z 2,...,z N )의 설계 변수들의 한 세트의 값들에 대해 p-번째 평가 지점에서의 특성의 의도된 값과 실제 값 간의 차이이다. w p p-번째 평가 지점에 할당된 가중 상수(weight constant)이다. 다른 것들보다 임계적인 평가 지점 또는 패턴에 더 높은 w p 가 할당될 수 있다. 또한, 더 많은 수의 발생(occurrence)들을 갖는 패턴들 및/또는 평가 지점들에 더 높은 w p 가 할당될 수 있다. 평가 지점들의 예시들은 웨이퍼 상의 물리적 지점 또는 패턴, 또는 가상 설계 레이아웃 또는 레지스트 이미지 또는 에어리얼 이미지 상의 여하한의 지점일 수 있다. 비용 함수는 기판 또는 리소그래피 투영 장치의 여하한의 적합한 특성들, 예를 들어 포커스, CD, 이미지 시프팅, 이미지 왜곡, 이미지 회전 등을 나타낼 수 있다. 이는, 흔히 기판의 회로 패턴에 영향을 주는 레지스트 이미지이기 때문에, 비용 함수는 흔히 레지스트 이미지의 몇몇 특성들을 나타내는 함수들을 포함한다. 예를 들어, 이러한 평가 지점의 f p (z 1,z 2,...,z N )는 단순히 레지스트 이미지의 일 지점과 그 지점의 의도된 위치 간의 거리[즉, 에지 배치 오차 EPE p (z 1,z 2,...,z N )]일 수 있다. 설계 변수들은 소스, 마스크, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터들과 같은 여하한의 조정가능한 파라미터들일 수 있다. 바람직하게, 설계 변수들 중 적어도 몇몇은 투영 광학기의 조정가능한 특성들이다. 투영 광학기는 파면의 형상 및 세기 분포, 및/또는 조사 빔(irradiation beam)의 위상 시프트를 조정하는데 사용될 수 있는 집합적으로 "파면 조작기(wavefront manipulator)"로서 칭해지는 구성요소들을 포함할 수 있다. 바람직하게, 투영 광학기는 마스크 이전, 퓨필 평면 부근, 이미지 평면 부근, 초점 평면 부근과 같이, 리소그래피 투영 장치의 광학 경로를 따른 여하한의 지점에서 파면 및 세기 분포를 조정할 수 있다. 투영 광학기는, 예를 들어 소스, 마스크, 리소그래피 투영 장치의 온도 변동, 리소그래피 투영 장치의 구성요소들의 열 팽창에 의해 유도된 파면 및 세기 분포의 특정 왜곡(또는 수차)들을 보정하거나 보상하기 위해 사용될 수 있다. 파면 및 세기 분포를 조정하면, 비용 함수 및 평가 지점들의 값들을 변화시킬 수 있다. 이러한 변화들은 모델로부터 시뮬레이션될 수 있거나 실제로 측정될 수 있다.
f p (z 1,z 2,...,z N )의 정규(normal) 가중 제곱평균제곱근(RMS)은
Figure 112011088591445-pat00002
으로서 정의되며, 따라서 f p (z 1,z 2,...,z N )의 가중 RMS를 최소화하는 것은 수학식 1에 정의된 비용 함수
Figure 112011088591445-pat00003
를 최소화하는 것과 같다. 따라서, 수학식 1 및 f p (z 1,z 2,...,z N )의 가중 RMS는 표시의 간명함을 위해 본 명세서에서 상호교환가능하게 이용될 수 있다.
또한, PW(공정 윈도우)를 최대화한다고 가정하면, 수학식 1의 비용 함수에서 상이한 PW 조건들로부터 상이한 평가 지점들과 동일한 물리적 위치를 고려할 수 있다. 예를 들어, N의 PW 조건들을 고려하면, 이러한 PW 조건들에 따라 평가 지점들을 분류할 수 있으며, 다음과 같이 비용 함수를 나타낼 수 있다:
Figure 112011088591445-pat00004
여기서,
Figure 112011088591445-pat00005
u-번(u=1,...U) PW 조건 하에서 (z 1,z 2,...,z N )의 설계 변수들의 한 세트의 값들에 대해 p i -번 평가 지점의 의도된 값과 실제 값 간의 차이이다. 이 차이가 EPE인 경우, 상기 비용 함수를 최소화하는 것은, 다양한 PW 조건들 하의 에지 시프트를 최소화하는 것과 같으며, 따라서 PW를 최대화하는 것과 같다. 특히, PW가 상이한 마스크 바이어스로 구성된 경우, 상기 비용 함수를 최소화하는 것은 MEEF(Mask Error Enhancement Factor)의 최소화를 포함하며, 이는 웨이퍼 EPE와 유도된 마스크 에지 바이어스 간의 비율(ratio)로서 정의된다.
설계 변수들은 제약들을 가질 수 있으며, 이는 (z 1,z 2,...,z N ) ∈ Z로서 표현될 수 있으며, 여기서 Z는 설계 변수들의 한 세트의 가능한 값들이다.
그러므로, 최적화 공정은 제약들 (z 1,z 2,...,z N ) ∈ Z 하에서 비용 함수를 최소화는 설계 변수들의 한 세트의 값들을 찾는 것이며, 다시 말해
Figure 112011088591445-pat00006
SMLO 공정의 상세한 수학적 프레임워크(mathematical framework)는 본 출원과 동일한 날에 출원된 Feng 외 다수가 쓴 "Optimization of Source, Mask and Projection Optics[P-3745.000-US; 대리인 문서 번호(atty. Docket no.) 081468.0387211]"이라는 제목의 동시계류 공유 가출원(provisional application)에서 찾을 수 있으며, 이는 본 명세서에서 전문이 인용 참조된다.
일 실시예에 따라 리소그래피 투영 장치를 최적화하는 일반적인 방법이 도 3에 예시된다. 이 방법은 복수의 설계 변수들의 다-변수 비용 함수를 정의하는 단계 302를 포함한다. 설계 변수들의 적어도 일부는 단계 300B에 나타낸 바와 같이 투영 광학기의 특성들이다. 다른 설계 변수들이 조명 소스(단계 300A) 및 설계 레이아웃(단계 300C)과 연계될 수 있다. 단계 304에서는, 비용 함수가 수렴에 가까워지도록 설계 변수들이 동시에 조정된다. 단계 306에서는, 사전정의된 종료 조건을 만족하는지가 결정된다. 사전설정된 종료 조건은 다양한 가능성들을 포함할 수 있으며, 다시 말해 사용되는 수치 기술에 의해 요구되는 바와 같이 비용 함수가 최소화 또는 최대화될 수 있고, 비용 함수의 값은 임계값과 같게 되거나 또는 임계값을 넘어서게(cross) 되며, 비용 함수의 값은 사전설정된 오차 한계에 도달하거나, 또는 사전설정된 수의 반복들에 도달한다. 단계 306의 조건들 중 어느 하나가 만족되면, 상기 방법이 종료된다. 단계 306의 조건들 중 어느 것도 만족되지 않으면, 원하는 결과가 얻어질 때까지, 단계 304 및 단계 306이 반복적으로 되풀이된다.
리소그래피 투영 장치에서는, 실시예들에 따라 소스, 마스크 및 투영 광학기가 번갈아 최적화되거나("교번 최적화"라고 칭해짐), 동시에 최적화될 수 있다["동시 최적화"라고 칭해짐]. 본 명세서에서 사용되는 바와 같은 "동시", "동시에", "결합" 및 결합하여"라는 용어는, 소스, 마스크, 투영 광학기의 특성들의 설계 변수들 및/또는 여하한의 다른 설계 변수들이 동시에 변화될 수 있음을 의미한다. 본 명세서에 사용되는 바와 같은 "교번" 및 "번갈아"라는 용어는, 모든 설계 변수들이 동시에 변화될 수 없음을 의미한다.
도 3에서, 모든 설계 변수들의 최적화는 동시에 실행된다. 이러한 플로우를 동시 플로우 또는 공동-최적화 플로우라 한다. 대안적으로, 모든 설계 변수들의 최적화는 도 4a에 예시된 바와 같이 번갈아 수행된다. 이러한 플로우에서는, 각각의 단계에서 몇몇 설계 변수들이 고정되는 동안, 비용 함수를 최소화하도록 다른 설계 변수들이 최적화되며; 다음 단계에서 상이한 세트의 변수들이 고정되는 동안, 비용 함수를 최소화하도록 다른 변수들이 최적화된다. 수렴 또는 정해진 종료 조건들이 충족될 때까지, 이러한 단계들은 번갈아 실행된다. 도 4a의 비-제한적인 예시 흐름도에 나타낸 바와 같이, 먼저 설계 레이아웃을 얻고(단계 402), 이후 단계 404에서 SMO(Source-Mask-Optimization)의 단계를 실행하며, 이때 투영 광학기로부터의 모든 설계 변수들이 고정되는 동안, 비용 함수를 최소화도록 (조명 소스 및 마스크 설계 레이아웃으로부터의) 다른 모든 설계 변수들이 최적화된다. 이 SMO에서, 소스는 독립 소스 지점들에 의해 특성화될 수 있고, SMO에 사용되는 마스크 레이아웃 또는 설계 레이아웃의 일부분은, 예를 들어 공간 주파수 도메인에서 회절 요소들로서 특성화될 수 있다. 이는 실제로 동시계류 공유 출원 WO 2010/059954에 개시된 것과 유사하며, 이는 전문이 본 명세서에서 인용 참조된다. 최적화 결과들에 기초한 마스크 레이아웃 또는 설계 레이아웃의 일부분의 재구성은 광 근접성 보정(OPC)을 이용한 재구성과, 재구성된 마스크를 재특성화하는(recharacterizing) 것을 포함한다. 이후, 다음 단계 406에서 LO(렌즈 최적화)가 수행되며, 조명 소스 및 마스크 설계 레이아웃으로부터의 모든 설계 변수들이 고정되는 동안, 비용 함수를 최소화하도록 투영 광학기로부터의 설계 변수들이 최적화된다. 단계 408에서는 정해진 종료 조건들이 충족될 때까지, 이러한 두 단계들이 번갈아 실행될 수 있다. 비용 함수의 값이 임계 값과 같게 되거나, 비용 함수의 값이 임계 값을 넘어서거나, 비용 함수의 값이 사전설정된 오차 한계에 도달하거나, 또는 사전설정된 수의 반복들에 도달하는 것 등과 같이, 다양한 종료 조건들이 사용될 수 있다. 교번 플로우에 대한 일 예시로서 SMO-LO-교번-최적화를 사용한 것에 유의한다. 교번 플로우는 SO-LO-MO-교번-최적화와 같이 다수의 상이한 형태들을 취할 수 있으며, SO(소스 최적화), LO(렌즈 최적화) 및 MO(마스크 최적화)를 번갈아 또한 반복적으로 실행하거나; 또는 먼저 SMO를 한번 실행한 다음, LO 및 MO를 번갈아 또한 반복적으로 실행할 수 있다. 마지막으로, 단계 410에서 최적화 결과의 출력이 얻어지며, 상기 공정이 중지된다. 이러한 플로우들의 또 다른 예시들은 다음의 도면들에 예시될 것이다.
도 4b는 본 발명의 일 실시예에 따른 소스-마스크-렌즈 플로우를 나타내며, 단계 4010에서 초기 소스 및 초기 타겟 설계 레이아웃이 얻어진다. 초기 타겟 설계 레이아웃은, 예를 들어 실제 설계 레이아웃 또는 실제 설계 레이아웃의 일부분, 또는 클립 또는 한 세트의 클립들, 또는 의도된 설계 레이아웃의 여하한의 다른 표시(representation)일 수 있다. 다음, 초기 소스와 함께 초기 타겟 설계 레이아웃 상에서 소스-마스크-최적화가 수행되며, 이는 단계 4020에 나타나 있다. 소스-마스크-최적화는 서브-분해능 어시스트 피처(sub-resolution assist features: SRAF)들의 생성 및 삽입(inclusion)을 포함할 수 있다. 일 실시예에서, SMO는 자유-형태의 SMO를 이용하여 수행된다. 또한, 이 SMO 단계는, 예를 들어 초기 더 큰 세트의 클립들 또는 설계 레이아웃의 일부분들로부터, SMO를 수행하는 더 작고 더 제한된 세트의 클립들 또는 설계 레이아웃의 일부분들을 선택하는 방법을 포함할 수 있다. 클립들 또는 설계 레이아웃의 일부분들의 서브세트를 선택함에 의한 이러한 축소 단계(reduction step)는 통상적으로 계산 및 최적화 공정을 가속시킨다. 또한, 이러한 선택 공정들은 2010년 10월 28일에 공통적으로 출원된 미국 특허 출원 일련번호 12/914,954, 및 2010년 10월 26일에 공통적으로 출원된 출원 WO 특허 출원 일련번호 PCT/EP2010/066106으로부터 알 수 있으며, 이는 모두 본 명세서에서 인용 참조된다. 초기 단계로서 이 단계 4020을 수행하는 이유는 전체 SMLO의 최적화가 최적화 공정의 전체 최소치(global minimum)에서 또는 이 부근에서 발생하는 것을 보장하기 위해서이다. 이 SMO 공정으로부터, 최적화된 소스 및 최적화된 마스크 또는 설계 레이아웃의 일부분이 유도되고, 이는 도 4b에서 단계 4030 및 4040에 각각 나타나 있다. 현재 공정에서, 설계 레이아웃(4030)이 고정되고, 이후 최적화된 마스크 또는 설계 레이아웃의 최적화된 일부분이 4050으로 나타낸 반복 공정에 사용되며, 상기 공정은 단계 4060에 도시된 반복적인 위상 보정, 및 단계 4070에 나타낸 바와 같은 마스크 보정 또는 설계 레이아웃의 일부분의 보정을 포함한다. 위상 보정 단계 4060에서는, 마스크(또는 설계 레이아웃의 일부분) 및 소스를 나타내는 설계 변수들이 일정하게 유지되고, (투영 광학기와 연계된) 위상을 나타내는 설계 변수들만이 변동되게 하여, 위상이 최적화되는 비용 함수가 생성된다. 위상 최적화는 렌즈/투영 광학기 최적화의 일 형태이다. 마스크(또는 설계 레이아웃의 일부분) 보정 단계 4070에서는, 위상 및 소스를 나타내는 설계 변수들이 일정하게 유지되고, 마스크(또는 설계 레이아웃의 일부분)를 나타내는 설계 변수들만이 변동되게 하여, 마스크가 최적화되는 비용 함수가 생성된다. 이러한 반복적인 공정이 사전설정된 종료 조건을 준수하게 되면, 이 반복적인 공정이 중지되며, 단계 4080에서 최종 소스, 마스크 및 위상 보정들이 얻어진다.
도 4c는 도 4b와 유사한 플로우를 나타내며, 그 중에서도 초기 소스 및 타겟 설계 레이아웃 또는 마스크 또는 설계 레이아웃의 일부분을 제공하는 단계 4010, 및 SMO를 수행하는 단계 4020이 도 4b의 플로우와 유사하다. 또한, 단계 4030은 이 예시에 대해 최적화된 소스 레이아웃이 고정됨을 나타내지만, 마스크(또는 설계 레이아웃의 일부분) 및 위상을 최적화하는 최적화 공정은 이제 단계 4055에서 공동-최적화 공정으로 수행된다. 이 공동-최적화 공정 4055에서, 소스를 나타내는 설계 변수들이 일정하게 유지되고, 마스크(또는 설계 레이아웃의 일부분) 및 위상을 나타내는 설계 변수들만이 변동되게 하여, 위상과 함께 마스크가 동시에 최적화되거나, 공동-최적화되는 비용 함수가 생성된다. 이전과 마찬가지로, 이러한 반복적인 공정이 사전설정된 종료 조건을 준수하게 되면, 이 반복적인 공정이 중지되며, 단계 4080에서 최종 소스, 마스크 및 위상 보정들이 얻어진다.
도 4d에서는, 전체 소스-마스크-렌즈-최적화를 나타낸 플로우가 도시된다. 초기 소스 및 타겟 설계 또는 마스크 또는 설계 레이아웃의 일부분을 제공하는 단계 4010은 여전히 유사하지만, 이전 플로우들에 나타낸 바와 같은 SMO 단계 4020 대신, 이제 단계 4100에서 전체 소스-마스크-렌즈 최적화 공정이 수행된다. 이 공동-최적화 공정 4100에서, 소스, 마스크(또는 설계 레이아웃의 일부분) 및 위상을 나타내는 설계 변수들이 모두 변동되게 하여, 3 개의 모든 파라미터들이 함께 동시에 최적화되거나, 공동-최적화되는 비용 함수가 생성된다. 선택적인 조율 단계 4090에서는, 예를 들어 SMLO 단계 4100에 비해 종료 조건을 더 엄격하게 하거나 변화시킴으로써 마스크 및 위상 보정들을 더욱 최적화하기 위해, 각각 단계 4092 및 4094에서 추가 마스크 및/또는 위상 조율이 적용될 수 있다. 또한, 모든 종료 조건들이 충족되면, 단계 4080에서 최종 소스, 마스크 및 위상 보정들이 얻어진다.
도 4b 내지 도 4d의 예시적인 공정들에서는 다양한 최적화 단계들에 대해 별도의 비용 함수들이 공식화될 수 있음을 유의하여야 한다. 예를 들어, SMO에 대한 비용 함수 및 후속 LO에 대한 비용 함수가 동일하지 않을 수도 있다. 이후 단계의 최적화에 대한 비용 함수는 상이한 비용 함수를 이용하는 이전 단계의 최적화로부터 얻어진 결과들에 기초하여 반복적으로 업데이트될 수 있다. 또한, 이와 유사하게, 각 최적화 단계의 종료에서 종료 조건들은 상이하거나 동일할 수 있다. 청구항 부분에서 이 개념에 대해 더욱 자세히 설명된다.
또한, 당업자라면, 이전에 설명된 바와 같은 패턴 선택 알고리즘이 동시 또는 교번 SMLO와 통합될 수 있음을 이해할 것이다. 예를 들어, 교번 SMLO가 채택되는 경우, 먼저 풀-칩 SMO가 수행될 수 있고, '핫 스폿(hot spot)' 및/또는 '웜 스폿(warm spot)'들이 식별되며, 이후 LO 또는 SLO(소스-렌즈 최적화)가 수행된다. 당업자라면, 본 명세서의 관점에서, 원하는 최적화 결과들을 달성하기 위해 다수의 치환(permutation) 및 서브-최적화들의 조합이 가능함을 이해할 것이다.
도 4e의 흐름도에 도시된 예시적인 실시예에서는, 최적화 공정을 더욱 가속시키기 위해 패턴 선택이 SMLO로 통합되며, 이는 풀-칩(또는 전체 설계 레이아웃) 최적화에 특히 유용하다. 너무 많은 평가 지점들 및/또는 너무 많은 테스트 패턴들(또한, "게이지" 또는 "게이지 패턴들"이라고도 알려짐)을 갖는 너무 많은 클립들이 존재하는 경우, 최적화가 너무 느릴 수 있거나 너무 많은 저장을 필요로 할 수 있다. 다수의 게이지 패턴들을 갖는 큰 설계 레이아웃에 대한 연산 비용을 감소시키기 위해, 모든 게이지 패턴들에 패턴 선택을 적용시킨다. 예를 들어, 단계 420에서는 (예를 들어, 회절 특성들에 기초하여) 몇몇 중요한 클립들을 식별할 수 있고, 이후 단계 422에서는 이러한 클립들 내의 모든 평가 지점들에 대해 SMLO를 수행할 수 있으며, 이후 단계 424에서는 SMLO 결과들로부터 조명 및 투영 광학기 함수들을 고정하고, 전체 설계 레이아웃에 대해 마스크 최적화를 수행할 수 있다. 단계 426에서, 이 공정은 종료 조건들이 만족되는 경우에 종료된다.
대안적으로, 핫 스폿들 또는 웜 스폿들, 즉 결함들 또는 거의 결함들인 패턴들을 통해 게이지들을 식별할 수 있다. 이러한 대안적인 플로우가 도 4f에 도시되어 있다. 특히, 먼저 적어도 투영 광학기 특성들만을 고정시키는 풀-칩 SMO 또는 MO를 실행시키고(단계 430), 단계 432에서 핫 스폿들 및 웜 스폿들을 식별할 수 있다. 단계 434에서 결정된 바와 같이 공정 윈도우가 충분히 양호하지 않은 경우, 단계 436에서 핫 스폿들 및 웜 스폿들은 게이지들로 변환된다. 단계 438에서는, 게이지들의 수를 줄이고 선택된 게이지들을 게이지 풀(gauge pool)에 추가하기 위해 게이지 선택 또는 패턴 선택 방법이 수행된다. 단계 440에서는, 선택된 게이지 풀에서 SMLO가 실행된다. 필요하다면, 이 공정은 되풀이될 수 있다. 도 4e 및 4f의 이러한 2 개의 교번 플로우들은 다른 수정들과 조합하여 적용될 수 있음을 유의한다. 예를 들어, 먼저 도 4a의 제 1 플로우를 적용하고, 이후 결과적인 핫 스폿들 및 웜 스폿들을 식별하며, 이후 도 4f의 제 2 플로우를 적용할 수 있다.
도 4g의 흐름도와 연계하여, 본 발명의 실시예들에 따른 예시적인 SMLO 방법이 설명될 것이다. 이와 유사한 방법은 2010년 10월 28일에 공통적으로 출원된 미국 특허 출원 일련번호 12/914,946에서 찾을 수 있으며, 이는 전문이 본 명세서에서 인용 참조된다. '946 출원과 본 출원 간의 차이는, '946 출원은 SMO를 강조하는 한편, 본 출원은 SMLO를 강조한다는 점이다.
도 4g에서, 리소그래피 공정이 최적화될 (통상적으로, OASIS, GDSII 등과 같은 표준 디지털 포맷으로 레이아웃을 포함하는) 타겟 설계 레이아웃(4300)은 메모리, 테스트 패턴들 및 논리를 포함한다. 이 설계 레이아웃으로부터, 풀 세트(full set)의 클립들(4302)이 추출되며, 이는 설계 레이아웃(4300) 내의 모든 복잡한 패턴들(통상적으로, 약 50 내지 1000 개의 클립들)을 나타낸다. 당업자라면 이해할 수 있는 바와 같이, 이러한 클립들은 각별한 주의 및/또는 검증이 요구되는 설계의 작은 부분들(즉, 회로, 셀 또는 패턴들)을 나타낸다.
4304에 일반적으로 나타낸 바와 같이, 풀 세트(4302)로부터 작은 서브세트의 클립들(4306)(예를 들어, 15 내지 50 개의 클립들)이 선택된다. 아래에 더욱 자세히 설명되는 바와 같이, 클립들의 선택은, 선택된 패턴들의 공정 윈도우가 가능한 한 풀 세트의 임계 패턴들에 대한 공정 윈도우와 매칭되도록 바람직하게 수행된다. 또한, 이러한 선택의 유효성(effectiveness)은 전체 소요 실행 시간(turn run time)(패턴 선택 및 SMLO) 감소에 의해 측정된다.
4308에서, 선택된 패턴들(15 내지 50 개의 패턴들)(4306)을 이용하여 SMLO가 수행된다. 더 구체적으로, 선택된 패턴들(4306)에 대해 조명 소스가 최적화된다. 이 최적화는 폭넓게 알려진 다수의 방법들, 예를 들어 미국 특허 공개공보 2004/0265707에 개시된 방법들 중 어느 것을 이용하여 수행될 수 있으며, 이 내용은 본 명세서에서 인용 참조된다.
4310에서, 4308에서 얻어진 소스 및 투영 광학기를 이용하여 선택된 패턴들(4306)의 제조가능성 검증이 수행된다. 더 구체적으로, 검증은 최적화된 소스 및 투영 광학기 및 선택된 패턴들(4306)의 에어리얼 이미지 시뮬레이션을 수행하고, 이러한 패턴들이 충분히 넓은 공정 윈도우를 가로질러 프린트될 것인지를 검증하는 것을 포함한다. 이러한 검증은 폭넓게 알려진 다수의 방법들, 예를 들어 미국 특허 7,342,646에 개시된 방법들 중 어느 것을 이용하여 수행될 수 있으며, 이 내용은 본 명세서에서 인용 참조된다.
4312에서 결정되는 바와 같이, 4310의 검증이 만족스러운 경우, 처리는 4314의 풀-칩 최적화로 진행한다. 그렇지 않으면, 처리는 4308로 복귀되며, 상이한 소스 또는 한 세트의 패턴들을 이용하여 SMLO가 다시 수행된다. 예를 들어, 검증 툴에 의해 평가된 공정 성능은 노광 관용도 및 초점심도와 같은 정해진 공정 윈도우 파라미터들에 대한 임계값들에 대해 비교될 수 있다. 이러한 임계값들은 사전설정될 수 있거나, 사용자에 의해 설정될 수 있다.
4316에서, 선택된 패턴들이 4312에서 결정된 바와 같은 리소그래피 성능 사양을 충족한 후, 최적화된 소스 및 투영 광학기들(4314)은 풀 세트의 클립들의 최적화에 사용되거나, 심지어는 실제 풀-칩 또는 클립들의 세트에 의해 나타내어지고 기판에 패터닝될 전체 타겟 설계 레이아웃에 사용될 수 있다.
4318에서, 풀 세트의 클립들(4316) 내의 모든 패턴들에 대해 또는 풀-칩 또는 전체 타겟 설계 레이아웃에 대해 광 근접성 보정(OPC) 및 모델-기반 서브-분해능 어시스트 피처(MB-SRAF) 배치가 수행된다. 이 공정은 폭넓게 알려진 다수의 방법들, 예를 들어 미국 특허 5,663,893, 5,821,014, 6,541,167 및 6,670,081에 개시된 방법들 중 어느 것을 이용하여 수행될 수 있다.
단계 4310과 유사한 공정들을 이용하는 4320에서는, 최적화된 소스 및 투영 광학기(4314) 및 풀 세트의 클립들(4316) 또는 4318에서 보정된 바와 같은 풀-칩을 이용하여 전체 패턴 시뮬레이션 기반 제조가능성 검증이 수행된다.
4322에서, 풀 세트의 클립들(4316) 또는 풀-칩 또는 전체 타겟 설계 레이아웃의 성능(예를 들어, 노광 관용도 및 초점심도와 같은 공정 윈도우 파라미터들)이 (블록 4313에 의해 표시되는 바와 같이) 서브세트의 클립들(4306)과 비교된다. 일 예시적인 실시예에서는, 패턴 선택이 완전하게 고려되며, 및/또는 (15 내지 20 개의) 선택된 패턴들(4306) 및 (50 내지 1000 개의) 모든 임계 패턴들(4316) 둘 모두에 대해 유사한(< 10 %) 리소그래피 성능들이 얻어질 때, 소스 및 투영 광학기는 풀-칩에 대해 완전히 적격화된다.
그렇지 않으면, 4324에서 핫 스폿들이 추출되고, 4326에서는 이러한 핫 스폿들이 서브세트(4306)에 추가되며, 공정이 재개된다. 예를 들어, 검증(4320) 동안 식별된 핫 스폿들[즉, 공정 윈도우 성능을 제한하는 풀 세트의 클립들(4316) 사이의 피처들)은 추가 소스 조율을 위해 또는 SMLO를 재실행하기 위해 사용된다. 풀 세트의 클립들(4316)의 공정 윈도우가 마지막 실행과 마지막 실행 이전의 실행(4322) 사이에서 동일할 때, 소스 및 투영 광학기는 완전히 수렴된 것으로 간주된다.
그러므로, 상기의 비-제한적인 예시들로부터, SMLO는 다양한 형태로 기존의 최적화 프레임워크에 용이하게 수용됨을 이해하여야 한다.
앞서 설명된 바와 같이, 비용 함수(CF)의 최적화는 SMLO 방법의 중심에 있다. CF는 몇몇 리소그래피 메트릭의 RMS 값일 수 있다. 물론, CF(z 1,z 2,...,z N )는 f p (z 1,z 2,...,z N )의 RMS로 제한되지 않는다. CF(z 1,z 2,...,z N )는 여하한의 다른 적절한 형태로 되어 있을 수 있다.
도 5는 비용 함수가 최소화되는 예시적인 최적화 방법을 나타낸다. 단계 S502에서는, 만약 있다면, 조율 범위들을 포함하는 설계 변수들의 초기 값들이 얻어진다. 단계 S504에서는, 다-변수 비용 함수가 설정된다. 단계 S506에서는, 제 1 반복 단계(i=0) 동안 설계 변수들의 시작점 값 주위의 작은 필요 인접 구역(small enough neighborhood) 내에서 비용 함수가 확장된다. 단계 S508에서는, 비용 함수를 최소화하기 위해 표준 다-변수 최적화 기술들이 적용된다. 최적화 문제는 S508에서 최적화 공정 동안 또는 최적화 공정 이후의 단계에서 조율 범위와 같은 제약들을 적용할 수 있음을 유의한다. 단계 S520은 리소그래피 공정을 최적화하기 위해 선택된 식별된 평가 지점들에 대한 주어진 테스트 패턴들(또한, "게이지들"이라고도 알려짐)에 대해 각각의 반복이 행해짐을 나타낸다. 단계 S510에서는, 리소그래피 반응이 예측된다. 단계 S512에서는, 단계 S522에서 얻어진 원하는 또는 이상적인 리소그래피 반응 값과 단계 S510의 결과가 비교된다. 단계 S514에서 종료 조건이 만족되면, 다시 말해 최적화가 원하는 값과 충분히 근사한 리소그래피 반응 값을 생성하면, 단계 S518에서 설계 변수들의 최종 값이 출력된다. 또한, 출력 단계는, 퓨필 평면(또는 다른 평면들)에서의 파면 수차-조정된 맵, 최적화된 소스 맵, 및 최적화된 설계 레이아웃 등을 출력하는 단계와 같이, 설계 변수들의 최종 값들을 이용하여 다른 함수들을 출력하는 단계를 포함할 수 있다. 종료 조건이 만족되지 않는 경우, 단계 S516에서 i-번 반복의 결과로 설계 변수들의 값이 업데이트되며, 상기 공정은 단계 S506으로 되돌아간다.
도 6은 제 2 최적화 공정의 핵심 단계들을 나타내는 예시적인 흐름도이다. 여기서 다르게 설명되지 않는다면, 도 6의 대부분의 단계들은 도 5의 대응하는 단계들과 매우 유사하다. 한가지 주요한 차이는, 단계 S604에서 다-변수 비용 함수가, 예를 들어 투과 교차 계수(TCC)들의 편도함수들과 관련된 적합 계수(fitting coefficient)들을 포함하는 고차(예컨대, 4차) 다항식으로서 표현된다는 점이다. 단계 S606에서, TCC는 각각의 반복에 대해 시작점 주위로 확장되며, 단계 S607에서는 에어리얼 이미지, 레지스트 이미지 및 에지 배치 오차(EPE)가 확장된다. 나머지 단계들은 도 5에 설명된 대응 단계들과 유사하다. 위의 설명으로부터, 당업자는 본 발명의 특정 실시예에서 반복 회수가 심지어 한 번일 수 있으며, 단일 계산 시퀀스(calculation sequence)를 유도한다는 것을 알 수 있을 것이다. 이는, 예를 들어 초기에 몇몇 설계 변수들의 설명(description of some design variables)이 단일 계산 후 사전정의된 종료 조건이 만족되기에 충분한 때에 발생할 수 있다.
따라서, 일 실시예에 따른 공정 윈도우를 최소화는 방법은 어느 평가 지점 p에서의 레지스트 이미지(예를 들어, 레지스트 층의 레지스트 용해도)(RI p )가 허용된 범위로부터 벗어날 확률의 최대 값을 최소화하는 설계 변수들의 값을 찾는 단계를 포함한다.
도 7은 동시 SMLO 공정이 어떠한 방식으로 최적화를 위한 가우스 뉴턴 알고리즘(Gauss Newton Algorithm)을 사용할 수 있는지에 관한 특정 예시를 나타낸다. 단계 S702에서는, 설계 변수들의 시작 값들이 식별된다. 또한, 각각의 변수에 대한 조율 범위들이 식별될 수도 있다. 단계 S704에서는, 설계 변수들을 이용하여 비용 함수가 정의된다. 단계 S706에서는, 설계 레이아웃의 모든 평가 지점들에 대해 시작 값들 주위로 비용 함수가 확장된다. 선택적인 단계 S710에서는, 풀-칩 설계 레이아웃의 모든 임계 패턴들을 포괄(cover)하도록 풀-칩 시뮬레이션이 실행된다. 단계 S714에서는 (CD 또는 EPE와 같은) 원하는 리소그래피 반응 메트릭이 얻어지며, 단계 S712에서는 이러한 양들의 예상되는 값과 비교된다. 단계 S716에서는, 공정 윈도우가 결정된다. 단계들 S718, S720 및 S722는 도 5를 참조하여 설명된 바와 같은 대응하는 단계들 S514, S516 및 S518과 유사하다. 이전에 언급된 바와 같이, 최종 출력은 퓨필 평면에서 파면 수차 맵일 수 있으며, 원하는 이미징 성능을 생성하도록 최적화될 수 있다. 또한, 최종 출력은 최적화된 소스 맵 및/또는 최적화된 설계 레이아웃일 수 있다.
도 8a 내지 도 8f는 설계 레이아웃의 일부분에 적용된 도 4b에 도시된 바와 같은 소스-마스크-렌즈 최적화를 나타낸다. 도 8a에는, 타겟 설계 레이아웃이 도시된다. 이 타겟 설계 레이아웃은 GDSII 또는 오아시스(Oasis) 설계 포맷과 같은 전자 형태로 제공될 수 있으며, 패턴들의 서브세트 내의 패턴들 중 하나일 수 있다. 본 예시에서, 타겟 설계 레이아웃은, 예를 들어 설계의 일부분의 패턴의 서브세트로부터의 단일 패턴이다. 대안적으로, 타겟 패턴은 기판에 이미징될 설계 레이아웃의 일부분의 양호한 커버리지(good coverage)를 달성하기 위해 패턴들의 서브세트로부터의 모든 선택된 패턴들을 포함할 수 있다. 패턴들의 서브세트는 풀 칩 설계 레이아웃에 모든 패턴들을 포함할 수 있음을 유의한다. 패턴들의 서브세트로부터 선택된 패턴 또는 타겟 패턴은 핫 스폿들, 웜 스폿들, 클립들을 포함할 수 있으며, 설계 레이아웃의 일부분으로부터 수동으로 또는 자동으로 추출된 패턴들조차도 포함할 수 있다. 이 예시에서 초기 소스는 잘 알려진 환형 조명 소스이며, 도면들 중 어디에도 도시되지 않았다. 초기 소스와 함께, 도 8a에 도시된 바와 같은 타겟 설계는 이후 소스 및 타겟 패턴의 동시 최적화에 사용되며, 보편적으로 소스-마스크-최적화 또는 SMO라 칭해진다. 또한, SMO에는, 도 8b의 최적화된 타겟 설계에서 나타낼 수 있는 바와 같이 서브-분해능 어시스트 피처 또는 SRAF(810)들이 추가된다. 또한, SMO 공정은 도 8c에 나타낼 수 있는 바와 같이 소스 또는 조명 퓨필에 대한 변화를 제안한다. 도 8c의 범례(legend)는 임의의 상대적인 스케일(scale)로 세기 값을 나타낸다. 다음, 도 8c에 나타낸 바와 같은 최적화된 소스 퓨필 및 도 8b에 나타낸 바와 같은 최적화된 타겟 패턴이 고정된 채로 유지되며, 위상(즉, 렌즈) 보정이 적용된다. 이러한 위상 보정은 다음의 단계들: 리소그래피 공정의 특성들인 복수의 설계 변수들의 다-변수 비용 함수를 정의하는 단계(이 비용 함수의 복수의 설계 변수들 중 적어도 일부는 투영 광학기의 특성들임); 및 사전정의된 종료 조건이 만족될 때까지 복수의 설계 변수들을 반복적으로 재구성하는 단계를 선택적으로 반복함으로써 행해진다.
본 예시의 위상 보정 단계는 2 개의 상이한 종료 조건들을 이용하여 수행되었으며, 투영 렌즈의 퓨필-평면 보정에 대해 2 개의 상이한 실시예들을 유도한다. 도 8d에 도시된 바와 같은 퓨필-평면 보정의 제 1 실시예는 퓨필 평면의 최소화된 위상 수차를 이용하는 것에 기인하며, 도 8e에 도시된 바와 같은 퓨필-평면 보정의 제 2 실시예는 게이지들의 CD 오차들을 최소화하는 것에 기인한다. 또한, 도 8d 및 도 8e의 각각의 범례들은 임의의 상대 스케일로 세기 값들을 나타낸다.
도 8f는 도 8e에 도시된 바와 같은 가장 최근에 최적화된 위상 보정들과 함께 최적화된 소스(SMO 공정 이후에 변경되지 않으며, 여전히 도 8c로 나타남)를 이용하여 최적화된, 더욱 적합화되고(adapted) 더욱 최적화된 타겟 설계 레이아웃을 나타낸다. 도 8b 및 도 8e를 비교하면, 더욱 최적화된 타겟 레이아웃을 생성하기 위해 최적화된 위상 보정들이 사용될 때, 전체 공정 윈도우의 추가 개선이 달성될 수 있음을 알 수 있다. 이러한 과정에서, 또한 도 4b에 나타낸 바와 같이(단계 4050 참조), 사전정의된 종료 조건이 달성될 때까지, 위상 보정(도 4b의 단계 4060) 및 타겟 패턴(도 4b의 단계 4070)의 이러한 연속적인 최적화가 계속될 수 있다.
도 9는 본 명세서에 개시된 최적화 방법 및 플로우를 구현하는데 도움을 줄 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 메커니즘, 및 정보를 처리하기 위해 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는데 사용될 수도 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)를 위한 정적 정보 및 명령어들을 저장하는, 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함한다. 정보 및 명령어들을 저장하는 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되며 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판(flat panel) 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 형태의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키와 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서 위치들을 지정하는 2 개의 축인 제 1 축(예를 들어, x) 및 제 2 축(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
본 발명의 일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스들을 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 최적화 공정의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터 판독가능한 매체로부터 주 메모리(106)로 읽어들일 수 있다. 주 메모리(106)에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106)에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수도 있다. 대안적인 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 본 발명을 구현하기 위해 소프트웨어 명령어들과 조합하거나 이를 대신하여 사용될 수 있다. 따라서, 본 발명의 실시예들은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합으로 제한되지 않는다.
본 명세서에서 사용되는 바와 같은 "컴퓨터 판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하여 다수의 형태를 취할 수 있지만, 이로 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어(wire)들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유(fiber optics)를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터 판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 본 명세서에 설명된 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스들을 프로세서(104)로 전달하는데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 기록될(borne on a magnetic disk) 수 있다. 상기 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩(load)할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 대한 모뎀 로컬(modem local)은 전화선 상에서 데이터를 수신할 수 있으며, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 가져다 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 검색(retrieve)하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 이전 또는 이후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 바람직하게 버스(102)에 커플링된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 쌍방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 형태의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환 LAN(compatible LAN)에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기, 전자기 또는 광학 신호들을 보내고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 이에 따라, ISP(126)는, 이하 보편적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122)와 인터넷(128) 둘 모두는 디지털 데이터 스트림을 전달하는 전기, 전자기 또는 광학 신호를 사용한다. 다양한 네트워크를 통한 신호, 및 컴퓨터 시스템(100)에 디지털 데이터를 주고받는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호는 정보를 전달하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지를 보내고 데이터를 수신할 수 있다. 인터넷 예시에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 응용 프로그램에 대한 요청된 코드를 전송할 수 있다. 본 발명에 따르면, 예를 들어 이러한 다운로드된 어플리케이션은 본 실시예의 조명 최적화를 제공한다. 수신된 코드는 수신 시에 프로세서(104)에 의해 실행되고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 응용 코드를 얻을 수 있다.
도 10은 본 명세서에 설명된 방법들을 이용하여 조명 소스가 최적화될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선의 투영 빔(B)을 공급하는 방사선 시스템(IL) - 이 특정한 경우, 방사선 시스템은 방사선 소스(SO)를 또한 포함함 -;
- 마스크(MA)(예를 들어, 레티클)를 지지하는 마스크 홀더가 제공되고, 아이템(PS)에 대하여 마스크를 정확히 위치시키는 제 1 위치설정 수단에 연결된 제 1 대상물 테이블(object table)(마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정 수단에 연결된 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 마스크(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭(catadioptric) 광학 시스템]을 포함한다.
본 명세서에 설명된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 마스크를 가짐). 하지만, 일반적으로 상기 장치는 예를 들어 (반사 마스크를 갖는) 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 마스크의 사용의 대안으로서 또 다른 종류의 패터닝 수단을 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
상기 소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저(excimer laser)]는 방사선 빔을 생성한다. 이 빔은 곧바로 또는, 예를 들어 빔 익스팬더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 빔의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성 및 세기 분포를 갖는다.
도 10과 관련하여, 소스(SO)는 [흔히 상기 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그패피 투영 장치의 하우징(housing)에 놓일 수 있지만, 이는 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 소스가 생성한 방사선 빔은 (예를 들어, 적절한 지향 거울의 도움으로) 장치 내부로 들어올 수도 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 상기 빔(PB)은 마스크 테이블(MT)에 유지되어 있는 마스크(MA)를 통과(intercept)한다. 마스크(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PL)를 통과하며, 이는 기판(W)의 타겟부(C)로 상기 빔(B)을 포커스한다. 제 2 위치설정 수단[및 간섭계 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 마스크 라이브러리(mask library)로부터 마스크(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 대상물 테이블(MT, WT)들의 이동은, 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 10에 명확히 도시되지는 않는다. 하지만, [스텝-앤드-스캔 툴(step-and-scan tool)과는 대조적으로] 웨이퍼 스테퍼의 경우, 마스크 테이블(MT)은 단지 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:
- 스텝 모드에서, 마스크 테이블(MT)은 본질적으로 정지 상태로 유지되며, 전체 마스크 이미지가 한번에 [즉, 단일 "플래시(flash)"로] 타겟부(C)로 투영된다. 그 후, 상이한 타겟부(C)가 상기 빔(PB)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다;
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는, 본질적으로 동일한 시나리오가 적용된다. 그 대신, 마스크 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(B)이 마스크 이미지에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 같은 방향 또는 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PL)의 배율(통상적으로, M = 1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 점점 더 작은 크기의 파장들을 생성할 수 있는 신흥(emerging) 이미징 기술들을 이용하는데 특히 유용할 수 있다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(extreme ultra violet) 리소그래피를 포함한다. 또한, EUV 리소그래피는 싱크로트론(synchrotron)을 이용하거나, 이 범위 내의 광자(photon)를 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 때림으로써(hit) 20 내지 5 nm 범위의 파장들을 생성할 수 있다.
본 발명은 다음의 항을 이용하여 더욱 설명될 수 있다.
1. 조명 소스 및 투영 광학기를 포함하는 리소그래피 투영 장치를 이용하여, 설계 레이아웃의 일부분을 기판에 이미징하는 리소그래피 공정을 개선하는 컴퓨터-구현 방법에서, 상기 설계 레이아웃의 일부분으로부터 패턴들의 서브세트를 선택하고, 초기 조명 소스를 선택하는 단계; 상기 패턴들의 서브세트 및 상기 조명 소스를 동시에 최적화하는 단계; 및 상기 최적화된 조명 소스를 이용하여, 상기 투영 광학기의 특성들을 최적화하는 단계를 포함한다.
2. 상기 1의 방법에서, 상기 패턴들의 서브세트 및 상기 조명 소스를 동시에 최적화하는 단계는: - 상기 리소그래피 공정의 특성들인 복수의 제 1 설계 변수들의 제 1 다-변수 비용 함수를 정의하는 단계(상기 복수의 제 1 설계 변수들 중 적어도 일부는 상기 조명 소스 및 상기 패턴들의 서브세트의 특성들임); 및 - 사전정의된 제 1 종료 조건이 만족될 때까지 상기 복수의 제 1 설계 변수들을 반복적으로 재구성하는 단계를 선택적으로 되풀이함으로써 수행된다.
3. 상기 1의 방법에서, 상기 투영 광학기의 특성들을 최적화하는 단계는: - 상기 리소그래피 공정의 특성들인 복수의 제 2 설계 변수들의 제 2 다-변수 비용 함수를 정의하는 단계(상기 제 2 비용 함수의 상기 복수의 제 2 설계 변수들 중 적어도 일부는 상기 투영 광학기의 특성들임); 및 사전정의된 제 2 종료 조건이 만족될 때까지, 상기 복수의 제 2 설계 변수들을 반복적으로 재구성하는 단계를 선택적으로 되풀이함으로써 수행된다.
4. 상기 1의 방법에서, 상기 설계 레이아웃의 일부분은: 전체 설계 레이아웃, 클립, 1 이상의 임계 피처들을 갖는 것으로 알려진 설계 레이아웃의 섹션, 풀-칩 시뮬레이션으로부터 핫 스폿 또는 웜 스폿이 식별된 상기 설계 레이아웃의 섹션, 및 1 이상의 임계 피처들이 패턴 선택 방법에 의해 식별된 상기 설계 레이아웃의 섹션 중 1 이상을 포함한다.
5. 상기 2 또는 3의 방법에서, 상기 사전정의된 제 1 및/또는 제 2 종료 조건은: 상기 비용 함수의 최소화; 상기 비용 함수의 최대화; 사전설정된 수의 반복들에 도달; 사전설정된 임계값과 같거나 사전설정된 임계값을 넘는 상기 비용 함수의 값에 도달; 사전정의된 연산 시간에 도달; 및 허용가능한 오차 한계 내에서 상기 비용 함수의 값에 도달하는 것 중 1 이상을 포함한다.
6. 상기 1의 방법에서, 상기 패턴들의 서브세트를 더욱 최적화하기 위해 상기 최적화된 조명 소스 및 상기 최적화된 투영 광학기를 이용하는 단계를 더 포함한다.
7. 상기 6의 방법에서, 상기 패턴들의 서브세트를 더욱 최적화하기 위해 상기 최적화된 조명 소스 및 상기 최적화된 투영 광학기를 이용하는 단계는: - 상기 리소그래피 공정의 특성들인 복수의 제 3 설계 변수들의 제 3 다-변수 비용 함수를 정의하는 단계(상기 제 3 비용 함수의 상기 복수의 제 3 설계 변수들 중 적어도 일부는 상기 패턴들의 서브세트의 특성들임); 및 사전정의된 제 3 종료 조건이 만족될 때까지, 상기 복수의 제 3 설계 변수들을 반복적으로 재구성하는 단계를 선택적으로 되풀이함으로써 수행된다.
8. 상기 6의 방법에서, 상기 최적화된 조명 소스 및 이전에 최적화된 투영 광학기를 이용하는 동안 상기 패턴들의 서브세트를 최적화하는 단계, 및 상기 최적화된 조명 소스 및 이전에 최적화된 패턴들의 서브세트를 이용하는 동안 상기 투영 광학기를 최적화하는 단계는, 사전정의된 제 4 종료 조건이 만족될 때까지 반복적으로 수행된다.
9. 상기 3의 방법에서, 상기 제 2 비용 함수의 상기 복수의 제 2 설계 변수들은 상기 패턴들의 서브세트와 상기 투영 광학기 둘 모두의 특성들을 포함한다.
10. 조명 소스 및 투영 광학기를 포함하는 리소그래피 투영 장치를 이용하여, 설계 레이아웃의 일부분을 기판에 이미징하는 리소그래피 공정을 개선하는 컴퓨터-구현 방법에서, 상기 설계 레이아웃의 일부분으로부터 패턴들의 서브세트를 선택하고, 초기 조명 소스를 선택하는 단계; 및 상기 패턴들의 서브세트, 상기 조명 소스 및 상기 투영 광학기를 동시에 최적화하는 단계를 포함한다.
11. 상기 10의 방법에서, 상기 패턴들의 서브세트, 상기 조명 소스 및 상기 투영 광학기를 동시에 최적화하는 단계는: - 상기 리소그래피 공정의 특성들인 복수의 설계 변수들의 다-변수 비용 함수를 정의하는 단계(상기 복수의 설계 변수들 중 적어도 일부는 상기 조명 소스, 상기 패턴들의 서브세트 및 상기 투영 광학기의 특성들임); 및 - 사전정의된 종료 조건이 만족될 때까지 상기 복수의 설계 변수들을 반복적으로 재구성하는 단계를 선택적으로 되풀이함으로써 수행된다.
12. 상기 10의 방법에서, 상기 패턴들의 서브세트를 조율하는 단계, 및/또는 상기 투영 광학기를 조율하는 단계를 더 포함한다.
13. 상기 12의 방법에서, 상기 패턴들의 서브세트를 조율하는 단계, 및/또는 상기 투영 광학기를 조율하는 단계는: - 상기 리소그래피 공정의 특성들인 복수의 추가 설계 변수들의 추가 다-변수 비용 함수를 정의하는 단계(상기 복수의 추가 설계 변수들 중 적어도 일부는 상기 패턴들의 서브세트의 특성들이고, 및/또는 상기 복수의 추가 설계 변수들 중 적어도 일부는 상기 투영 광학기의 특성들임); 및 - 사전정의된 추가 종료 조건이 만족될 때까지 추가 설계 변수들의 세트를 반복적으로 재구성하는 단계를 선택적으로 되풀이함으로써 수행된다.
14. 상기 2, 3, 7, 11 또는 13의 방법에서, 상기 반복적으로 재구성하는 단계들 중 적어도 하나는 상기 설계 변수들 중 적어도 일부의 범위에 영향을 주는 제약들을 갖거나 갖지 않고 수행된다.
15. 상기 14의 방법에서, 상기 설계 변수들 중 적어도 일부는 상기 리소그래피 투영 장치의 하드웨어 구현에서 물리적 제한들을 나타내는 제약들 하에 있다.
16. 상기 15의 방법에서, 상기 제약들은 조율 범위, 마스크 제조가능성을 통제하는(governing) 규칙, 및 상기 설계 변수들 간의 상호의존성 중 1 이상을 포함한다.
17. 상기 2, 3, 7, 11 또는 13의 방법에서, 상기 비용 함수는 다음의 리소그래피 메트릭: 에지 배치 오차, 임계 치수, 레지스트 윤곽 거리, 최악의 결함 크기, 및 최적의 포커스 시프트 중 1 이상의 차이의 함수이다.
18. 상기 1 또는 10의 방법에서, 최적화 공정의 단계들을 수행하기 이전에, 상기 설계 레이아웃의 일부분의 피처들을 특징적으로 나타내는 타겟 패턴들의 서브세트를 선택하는 단계를 포함한다.
19. 상기 2, 3, 7, 11 또는 13의 방법에서, 상기 최적화 공정은 각각의 반복에서 시작점 주위의 사전정의된 비교적 작은 인접 구역들 내의의 선형 적합 계수들을 계산함으로써 상기 비용 함수를 반복적으로 최소화하는 단계를 포함한다.
20. 상기 19에서, 상기 비용 함수는 가우스-뉴턴 알고리즘(Gauss-Newton algorithm), 레벤베르그-마르콰르츠 알고리즘(Levenberg-Marquardt algorithm), 기울기 하강 알고리즘(gradient descent algorithm), 시뮬레이션된 어닐링(simulated annealing), 및 유전 알고리즘(genetic algorithm)으로 구성된 그룹으로 선택된 방법에 의해 최소화된다.
21. 상기 2, 3, 7, 11 또는 13의 방법에서, 상기 비용 함수는 상기 설계 변수들의 고차 다항식들을 포함하는 다항식들을 품으로써 최소화된다.
22. 상기 21의 방법에서, 상기 비용 함수는 적합 상수 계수(fitting constant coefficient)들의 항들로 전개된다.
23. 상기 22의 방법에서, 상기 적합 상수 계수들은 투과 교차 계수(TCC)들의 다항식 전개로부터 나온 계수들로부터 연산된다.
24. 상기 23의 방법에서, 상기 투과 교차 계수들의 편도함수들을 연산하는 단계를 포함한다.
25. 상기 24의 방법에서, 상기 투과 교차 계수들의 편도함수들은 상기 설계 변수들의 임펄스 응답(impulse response)으로부터 연산된다.
26. 상기 2, 3, 7, 11 또는 13의 방법에서, 상기 비용 함수는 레지스트 이미지 또는 에어리얼 이미지의 특성들을 포함한다.
27. 상기 2, 3, 7, 11 또는 13의 방법에서, 상기 비용 함수는 4차 프로그래밍 문제를 품으로써 최소화된다.
28. 상기 2, 3, 7, 11 또는 13의 방법에서, 상기 비용 함수는 투영 광학기의 특성들인 설계 변수들만의 함수인 한편, 다른 설계 변수들에는 사전정의된 값들이 할당된다.
29. 상기 2, 3, 7, 11 또는 13의 방법에서, 상기 비용 함수는 상기 설계 레아웃의 일부분에서 핫 스폿을 발견할 확률을 나타낸다.
30. 명령어들이 기록된 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품에서, 상기 명령어들은, 컴퓨터에 의해 수행될 때, 상기의 방법들 중 어느 한 방법을 구현한다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼 이외의 기판들에 이미징하기 위해 사용되는 시스템들과 함께 사용될 수도 있다는 것을 이해하여야 한다.
앞선 설명들은 예시를 위한 것이며, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에서 설명되는 청구항들의 범위를 벗어나지 않고 설명된 본 발명에 대한 변형예들이 수행될 수 있다는 것을 이해할 것이다.

Claims (15)

  1. 조명 소스 및 투영 광학기를 포함하는 리소그래피 투영 장치를 이용하여, 설계 레이아웃의 일부분을 기판에 이미징하는 리소그래피 공정을 개선하는 컴퓨터-구현 방법(computer-implemented method)에 있어서,
    상기 설계 레이아웃의 일부분으로부터 패턴들의 서브세트를 선택하고, 초기 조명 소스를 선택하는 단계;
    상기 패턴들의 서브세트 및 상기 조명 소스를 동시에 최적화하는 단계; 및
    상기 최적화된 조명 소스를 이용하여, 상기 투영 광학기의 특성들을 최적화하는 단계를 포함하는 컴퓨터-구현 방법.
  2. 제 1 항에 있어서,
    상기 패턴들의 서브세트 및 상기 조명 소스를 동시에 최적화하는 단계는:
    - 상기 리소그래피 공정의 특성들인 복수의 제 1 설계 변수들에 대한 제 1 비용 함수를 정의하는 단계 - 상기 복수의 제 1 설계 변수들 중 적어도 일부는 상기 조명 소스 및 상기 패턴들의 서브세트의 특성들임 -; 및
    - 사전정의된 제 1 종료 조건이 만족될 때까지 상기 복수의 제 1 설계 변수들을 반복적으로 재구성하는 단계를 선택적으로 되풀이함으로써 수행되는 컴퓨터-구현 방법.
  3. 제 1 항에 있어서,
    상기 투영 광학기의 특성들을 최적화하는 단계는:
    - 상기 리소그래피 공정의 특성들인 복수의 제 2 설계 변수들에 대한 제 2 비용 함수를 정의하는 단계 - 상기 제 2 비용 함수의 상기 복수의 제 2 설계 변수들 중 적어도 일부는 상기 투영 광학기의 특성들임 -; 및
    사전정의된 제 2 종료 조건이 만족될 때까지, 상기 복수의 제 2 설계 변수들을 반복적으로 재구성하는 단계를 선택적으로 되풀이함으로써 수행되는 컴퓨터-구현 방법.
  4. 제 1 항에 있어서,
    상기 설계 레이아웃의 일부분은:
    전체 설계 레이아웃, 클립(clip), 1 이상의 임계 피처들을 갖는 것으로 알려진 설계 레이아웃의 섹션, 풀-칩 시뮬레이션(full-chip simulation)으로부터 핫 스폿(hot spot) 또는 웜 스폿(warm spot)이 식별된 상기 설계 레이아웃의 섹션, 및 1 이상의 임계 피처들이 패턴 선택 방법에 의해 식별된 상기 설계 레이아웃의 섹션,
    중 1 이상을 포함하는 컴퓨터-구현 방법.
  5. 제 2 항 또는 제 3 항에 있어서,
    상기 사전정의된 제 1 종료 조건 및 제 2 종료 조건 중 적어도 하나는:
    상기 비용 함수의 최소화; 상기 비용 함수의 최대화; 사전설정된 수의 반복들에 도달; 사전설정된 임계값과 같거나 사전설정된 임계값을 넘는 상기 비용 함수의 값에 도달; 사전정의된 연산 시간에 도달; 및 허용가능한 오차 한계 내에서 상기 비용 함수의 값에 도달하는 것,
    중 1 이상을 포함하는 컴퓨터-구현 방법.
  6. 제 1 항에 있어서,
    상기 패턴들의 서브세트를 추가로 최적화하기 위해 상기 최적화된 조명 소스 및 상기 최적화된 투영 광학기를 이용하는 단계를 더 포함하는 컴퓨터-구현 방법.
  7. 제 6 항에 있어서,
    상기 패턴들의 서브세트를 추가로 최적화하기 위해 상기 최적화된 조명 소스 및 상기 최적화된 투영 광학기를 이용하는 단계는:
    - 상기 리소그래피 공정의 특성들인 복수의 제 3 설계 변수들에 대한 제 3 비용 함수를 정의하는 단계 - 상기 제 3 비용 함수의 상기 복수의 제 3 설계 변수들 중 적어도 일부는 상기 패턴들의 서브세트의 특성들임 -; 및
    사전정의된 제 3 종료 조건이 만족될 때까지, 상기 복수의 제 3 설계 변수들을 반복적으로 재구성하는 단계를 선택적으로 되풀이함으로써 수행되는 컴퓨터-구현 방법.
  8. 제 6 항에 있어서,
    상기 최적화된 조명 소스 및 이전에 최적화된 투영 광학기를 이용하는 동안, 상기 패턴들의 서브세트를 최적화하는 단계, 및 상기 최적화된 조명 소스 및 이전에 최적화된 패턴들의 서브세트를 이용하는 동안, 상기 투영 광학기를 최적화하는 단계는, 사전정의된 제 4 종료 조건이 만족될 때까지 반복적으로 수행되는 컴퓨터-구현 방법.
  9. 제 3 항에 있어서,
    상기 제 2 비용 함수의 상기 복수의 제 2 설계 변수들은 상기 패턴들의 서브세트와 상기 투영 광학기 둘 모두의 특성들을 포함하는 컴퓨터-구현 방법.
  10. 제 2 항, 제 3 항, 또는 제 7 항에 있어서,
    상기 반복적으로 재구성하는 단계들 중 적어도 하나는 상기 설계 변수들 중 적어도 일부에 영향을 주는 제약들을 갖거나 갖지 않고 수행되는 컴퓨터-구현 방법.
  11. 제 10 항에 있어서,
    상기 설계 변수들 중 적어도 일부는 상기 리소그래피 투영 장치의 하드웨어 구현에 있어 물리적 제한들을 나타내는 제약들 하에 있는 컴퓨터-구현 방법.
  12. 제 2 항, 제 3 항, 또는 제 7 항에 있어서,
    상기 비용 함수는 다음의 리소그래피 메트릭(lithographic metric): 에지 배치 오차(edge placement error), 임계 치수, 레지스트 윤곽 거리(resist contour distance), 최악의 결함 크기(worst defect size), 및 최적의 포커스 시프트 중 1 이상의 차이의 함수인 컴퓨터-구현 방법.
  13. 제 1 항에 있어서,
    최적화 공정의 단계들을 수행하기 이전에, 상기 설계 레이아웃의 일부분의 피처들을 특징적으로 나타내는 타겟 패턴들의 서브세트를 선택하는 단계를 포함하는 컴퓨터-구현 방법.
  14. 제 2 항, 제 3 항, 또는 제 7 항에 있어서,
    상기 비용 함수는 레지스트 이미지 또는 에어리얼 이미지의 특성들을 포함하는 컴퓨터-구현 방법.
  15. 명령어들이 기록된 컴퓨터 판독가능한 매체에 있어서,
    상기 명령어들은, 컴퓨터에 의해 수행될 때, 제 1 항 내지 제 4 항, 제 6 항 내지 제 9 항 및 제 13 항 중 어느 한 항의 방법을 구현하는 컴퓨터 판독가능한 매체.
KR1020110116604A 2010-11-10 2011-11-09 소스, 마스크 및 투영 광학기의 최적화 플로우 KR101279462B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US41238110P 2010-11-10 2010-11-10
US61/412,381 2010-11-10

Publications (2)

Publication Number Publication Date
KR20120050392A KR20120050392A (ko) 2012-05-18
KR101279462B1 true KR101279462B1 (ko) 2013-06-26

Family

ID=46019353

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110116604A KR101279462B1 (ko) 2010-11-10 2011-11-09 소스, 마스크 및 투영 광학기의 최적화 플로우

Country Status (6)

Country Link
US (2) US9588438B2 (ko)
JP (1) JP5180359B2 (ko)
KR (1) KR101279462B1 (ko)
CN (1) CN102540754B (ko)
NL (1) NL2007642A (ko)
TW (1) TWI424285B (ko)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5389421B2 (ja) * 2008-11-18 2014-01-15 東京パイプ株式会社 ガスライターの燃料吐出流量調整装置
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
KR101492205B1 (ko) 2010-11-12 2015-02-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
NL2009508A (en) 2011-10-24 2013-04-25 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
NL2010401A (en) 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
NL2010717A (en) 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
JP6108693B2 (ja) * 2012-06-08 2017-04-05 キヤノン株式会社 パターン作成方法
CN105008997B (zh) * 2013-02-25 2017-03-08 Asml荷兰有限公司 离散源掩模优化
US9250535B2 (en) * 2013-03-15 2016-02-02 International Business Machines Corporation Source, target and mask optimization by incorporating countour based assessments and integration over process variations
US8954898B2 (en) 2013-03-15 2015-02-10 International Business Machines Corporation Source-mask optimization for a lithography process
CN104516206B (zh) * 2013-09-27 2017-03-08 中芯国际集成电路制造(上海)有限公司 一种优化光学临近修正拟合结果的方法
WO2015139951A1 (en) * 2014-03-18 2015-09-24 Asml Netherlands B.V. Pattern placement error aware optimization
KR102006321B1 (ko) 2014-04-14 2019-08-01 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정들에 대한 최적화의 흐름들
EP2980646B1 (en) * 2014-07-30 2020-09-02 GenISys GmbH Process artefact compensation upon transfer of a mask layout onto a mask substrate
CN104155852B (zh) * 2014-08-26 2016-04-13 中国科学院上海光学精密机械研究所 一种光刻机光源的优化方法
TWI620980B (zh) * 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
TWI571701B (zh) * 2015-04-30 2017-02-21 力晶科技股份有限公司 偵測微影熱點的方法
WO2016206916A1 (en) * 2015-06-22 2016-12-29 Asml Netherlands B.V. Lithographic method and apparatus
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
WO2017067765A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US11036146B2 (en) 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
WO2017067752A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067757A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067755A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2018050432A1 (en) * 2016-09-13 2018-03-22 Asml Netherlands B.V. Optimization of a lithography apparatus or patterning process based on selected aberration
CN108345107B (zh) * 2017-01-24 2020-03-17 清华大学 自由曲面照明系统的设计方法
WO2018228820A1 (en) 2017-06-14 2018-12-20 Asml Netherlands B.V. Lithographic apparatus and method
US10815008B2 (en) 2017-07-27 2020-10-27 Bell Helicopter Textron Inc. Lift propulsion module for a tiltrotor aircraft
US11373018B2 (en) * 2018-01-25 2022-06-28 Kioxia Corporation Method of displaying model and designing pattern, and storage medium
TWI794544B (zh) * 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
WO2020078844A1 (en) * 2018-10-19 2020-04-23 Asml Netherlands B.V. Method to create the ideal source spectra with source and mask optimization
CN113168085A (zh) * 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
US11797748B2 (en) 2018-12-28 2023-10-24 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
KR102642972B1 (ko) * 2019-02-27 2024-03-04 에이에스엠엘 네델란즈 비.브이. 모델 캘리브레이션을 위한 게이지 선택의 향상
US11061318B2 (en) * 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
CN113544592A (zh) * 2019-03-08 2021-10-22 Asml荷兰有限公司 用于衍射图案引导的源掩模优化的方法和设备
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
EP3963404B1 (en) 2019-04-30 2023-01-25 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
CN114008533A (zh) 2019-06-20 2022-02-01 Asml荷兰有限公司 用于图案化过程建模的方法
US20220276564A1 (en) 2019-08-08 2022-09-01 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
WO2021063728A1 (en) 2019-10-02 2021-04-08 Asml Netherlands B.V. Process monitoring and tuning using prediction models
US20220390860A1 (en) 2019-11-07 2022-12-08 Asml Holding N.V. Systems for cleaning a portion of a lithography apparatus
WO2021099408A1 (en) 2019-11-19 2021-05-27 Asml Holding N.V. Optimization using a non-uniform illumination intensity profile
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
CN115605810A (zh) 2020-04-03 2023-01-13 Asml控股股份有限公司(Nl) 用于在表面上形成结构的系统和方法
US20230161269A1 (en) 2020-05-09 2023-05-25 Asml Netherlands B.V. Determining metrics for a portion of a pattern on a substrate
TW202331426A (zh) 2020-06-01 2023-08-01 荷蘭商Asml控股公司 用於清潔微影設備之一部分之清潔工具及方法
WO2021244830A1 (en) 2020-06-02 2021-12-09 Asml Netherlands B.V. Verifying freeform curvilinear features of a mask design
DE102020209784A1 (de) 2020-08-04 2022-02-10 Carl Zeiss Smt Gmbh Verfahren zur herstellung oder einstellung einer projektionsbelichtungsanlage
US20240004305A1 (en) 2020-12-18 2024-01-04 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
CN112817212B (zh) * 2021-01-11 2024-02-20 中国科学院微电子研究所 用于优化光刻工艺窗口的方法及装置、计算机存储介质
CN117355795A (zh) * 2021-05-21 2024-01-05 美商新思科技有限公司 针对源掩模优化的用于选择初始源形状的机器学习
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
WO2023138916A1 (en) 2022-01-21 2023-07-27 Asml Netherlands B.V. Systems and methods for inspecting a portion of a lithography apparatus
WO2023160925A1 (en) 2022-02-25 2023-08-31 Asml Netherlands B.V. Systems and methods for cleaning a portion of a lithography apparatus
WO2024099852A1 (en) 2022-11-09 2024-05-16 Asml Netherlands B.V. Methods and systems for cleaning a portion of a lithography apparatus
CN117348332B (zh) * 2023-12-04 2024-02-02 粤芯半导体技术股份有限公司 版图修正方法、装置、设备及存储介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090097125A (ko) * 2008-03-10 2009-09-15 캐논 가부시끼가이샤 노광 장치, 노광 방법, 산출 방법, 및 디바이스 제조 방법
KR100949169B1 (ko) * 2006-06-23 2010-03-23 에이에스엠엘 네델란즈 비.브이. 파면 수차를 감소시키는 방법, 및 컴퓨터 프로그램 제품
JP2010176144A (ja) * 2003-03-31 2010-08-12 Asml Masktools Bv ソースおよびマスクの最適化

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
JP3243818B2 (ja) * 1992-02-14 2002-01-07 株式会社ニコン 投影露光装置及び方法、並びに素子製造方法
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69717975T2 (de) 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US6335130B1 (en) 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
JP2002055433A (ja) 2000-06-13 2002-02-20 Asml Masktools Netherlands Bv 可変寸法を有するセリフを用いる光学的近接修正方法
US7245356B2 (en) 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US7355673B2 (en) * 2003-06-30 2008-04-08 Asml Masktools B.V. Method, program product and apparatus of simultaneous optimization for NA-Sigma exposure settings and scattering bars OPC using a device layout
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7342646B2 (en) 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
WO2007030704A2 (en) 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
WO2007044557A2 (en) * 2005-10-06 2007-04-19 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US20070121090A1 (en) * 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007305972A (ja) * 2006-04-11 2007-11-22 Toshiba Corp 露光条件設定方法及び半導体デバイスの製造方法
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP5225463B2 (ja) 2008-06-03 2013-07-03 エーエスエムエル ネザーランズ ビー.ブイ. レンズ加熱補償方法
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
CN102224459B (zh) * 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8479125B2 (en) * 2009-03-31 2013-07-02 Christophe Pierrat Lithography modeling and applications
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
US8372565B2 (en) * 2010-08-31 2013-02-12 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010176144A (ja) * 2003-03-31 2010-08-12 Asml Masktools Bv ソースおよびマスクの最適化
KR100949169B1 (ko) * 2006-06-23 2010-03-23 에이에스엠엘 네델란즈 비.브이. 파면 수차를 감소시키는 방법, 및 컴퓨터 프로그램 제품
KR20090097125A (ko) * 2008-03-10 2009-09-15 캐논 가부시끼가이샤 노광 장치, 노광 방법, 산출 방법, 및 디바이스 제조 방법

Also Published As

Publication number Publication date
CN102540754B (zh) 2014-12-10
TW201234131A (en) 2012-08-16
US20170176864A1 (en) 2017-06-22
US10401732B2 (en) 2019-09-03
JP2012104821A (ja) 2012-05-31
NL2007642A (en) 2012-05-14
CN102540754A (zh) 2012-07-04
JP5180359B2 (ja) 2013-04-10
US9588438B2 (en) 2017-03-07
KR20120050392A (ko) 2012-05-18
US20120113404A1 (en) 2012-05-10
TWI424285B (zh) 2014-01-21

Similar Documents

Publication Publication Date Title
KR101279462B1 (ko) 소스, 마스크 및 투영 광학기의 최적화 플로우
KR101501580B1 (ko) 투영 광학기에 의한 광 조작을 포함하는 패턴-의존적 근접성 매칭/조율
US9378309B2 (en) Pattern-independent and hybrid matching/tuning including light manipulation by projection optics
US9934350B2 (en) Pattern selection for full-chip source and mask optimization
US9262579B2 (en) Integration of lithography apparatus and mask optimization process with multiple patterning process
CN113454532A (zh) 训练机器学习模型以确定掩模的光学邻近效应校正的方法
US20130212543A1 (en) Lens heating aware source mask optimization for advanced lithography
KR102137072B1 (ko) 프로파일 인식 소스-마스크 최적화
KR20150024871A (ko) 구배-기반 패턴 및 평가 지점 선택
WO2022179802A1 (en) A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
KR20180011263A (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160603

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170602

Year of fee payment: 5