KR20180072760A - 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치 - Google Patents

패터닝 프로세스 오차를 정정하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20180072760A
KR20180072760A KR1020187014163A KR20187014163A KR20180072760A KR 20180072760 A KR20180072760 A KR 20180072760A KR 1020187014163 A KR1020187014163 A KR 1020187014163A KR 20187014163 A KR20187014163 A KR 20187014163A KR 20180072760 A KR20180072760 A KR 20180072760A
Authority
KR
South Korea
Prior art keywords
patterning
error
patterning device
correction
information
Prior art date
Application number
KR1020187014163A
Other languages
English (en)
Other versions
KR102132373B1 (ko
Inventor
벌지 피터 텐
에버하르두스 코르넬리스 모스
하렌 리차드 요하네스 프란시스퀴스 반
피터 한젠 바르데니르
에릭 옌센
베르나르도 카스트루프
마이클 쿠비스
요하네스 카타리누스 휴베르투스 뮬켄스
데이비드 프란스 사이몬 데커스
울프강 헬무트 헨케
이중철
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20180072760A publication Critical patent/KR20180072760A/ko
Application granted granted Critical
Publication of KR102132373B1 publication Critical patent/KR102132373B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706837Data analysis, e.g. filtering, weighting, flyer removal, fingerprints or root cause analysis
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03BAPPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
    • G03B27/00Photographic printing apparatus
    • G03B27/32Projection printing apparatus, e.g. enlarger, copying camera
    • G03B27/52Details
    • G03B27/68Introducing or correcting distortion, e.g. in connection with oblique projection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/22Yield analysis or yield optimisation

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

패터닝 시스템의 에칭 툴에 의해 처리된 이후에 패턴의 측정 및/또는 시뮬레이션 결과를 획득하는 단계, 에칭 로딩(etch loading) 효과에 기인한 패터닝 오차를 상기 측정 및/또는 시뮬레이션 결과에 기초하여 결정하는 단계, 및 컴퓨터 시스템에 의하여, 상기 패터닝 오차에 기초하여, 상기 패터닝 시스템 내에서 상기 에칭 툴로부터 업스트림에 있는 패터닝 디바이스를 수정하기 위한 및/또는 수정 장치를 조절하기 위한 수정 정보를 생성하는 단계를 포함하고, 상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되고 및/또는 상기 수정 장치가 상기 수정 정보에 따라 조절되는 경우, 상기 패터닝 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소되는, 방법.

Description

패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
관련 출원에 대한 상호 참조
본원은 2015 년 10 월 19 일에 출원된 미국 출원 제 62/243,603 및 2016 년 9 월 26 일에 출원된 미국 출원 제 62/399,942 에 대한 우선권을 주장하는데, 이들 양자 모두는 그 전체 내용이 원용되어 본원에 통합된다.
본 발명은, 예를 들어 하나 이상의 패터닝 디바이스를 수정함으로써 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC) 또는 기능성을 가지도록 설계되는 다른 디바이스의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가, 기능성을 가지도록 설계되는 디바이스의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟 영역들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 한 번에 타겟부 상으로 전체 패턴을 노광함으로써 각 타겟부가 조사(irradiate)되는 이른바 스테퍼, 및 주어진 방향("스캐닝" 방향)으로 방사 빔을 통해 패턴을 스캔하는 동시에 이러한 방향에 평행 또는 반-평행하게 기판을 스캔함으로써 각 타겟부가 조사되는 이른바 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 장치로부터 기판으로 패턴을 전사할 수도 있다.
반도체 디바이스와 같은 디바이스를 제조하는 것은, 통상적으로 여러 제조 프로세스를 사용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하여 디바이스의 다양한 피쳐 및 다수의 층을 형성하는 것을 수반한다. 이러한 층들과 피쳐는 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 사용하여 제작되고 처리된다. 다수의 디바이스는 기판 상의 복수 개의 다이 위에 제작된 후 개개의 디바이스로 분할될 수 있다. 이러한 디바이스 제조 프로세스는 패터닝 프로세스라고 간주될 수 있다. 패터닝 프로세스는 기판 상에 패턴을 제공하기 위한, 리소그래피 장치를 사용한 광학적 및/또는 나노주입 리소그래피와 같은 패터닝 단계와, 통상적이지만 선택적으로, 현상 장치에 의한 레지스트 현상, 베이크 툴을 사용한 기판의 베이킹, 에칭 장치를 사용하여 수행되는 패턴을 사용한 에칭 등과 같은 하나 이상의 관련된 패턴 처리 단계를 수반한다. 더 나아가, 하나 이상의 계측 프로세스가 패터닝 프로세스에 수반된다.
프로세스를 모니터링하고 제어하기 위하여 패터닝 프로세스 중의 다양한 단계에서 계측 프로세스가 사용된다. 예를 들어, 계측 프로세스는 기판의 하나 이상의 특성, 예컨대 패터닝 프로세스 중에 기판 상에 형성되는 피쳐들의 상대 위치(예를 들어, 레지스트레이션, 오버레이, 정렬 등) 또는 치수(예를 들어, CD, 선폭, 임계 치수, 두께 등)를 측정하기 위해 사용됨으로써, 예를 들어 패터닝 프로세스의 이러한 성능이 이러한 하나 이상의 특성으로부터 결정될 수 있게 한다. 하나 이상의 특성이 수락불가능하다면(예를 들어, 해당 특성(들)에 대한 미리 결정된 범위 밖이면), 패터닝 프로세스에 의해 제조되는 추가적 기판이 수락가능한 특성(들)을 가지도록, 이러한 하나 이상의 특성의 측정이 패터닝 프로세스의 하나 이상의 파라미터를 변경시키기 위해 사용될 수 있다.
리소그래피 및 다른 패터닝 프로세스 기술이 발전함에 따라서, 기능성 요소의 치수는 계속적으로 감소되어온 반면에, 디바이스 당 트랜지스터와 같은 기능성 요소의 양은 수 십 년에 걸쳐 지속적으로 증가되어 왔다. 한편, 오버레이, 임계 치수(CD) 등과 관련된 정확도에 대한 요건은 점점 더 엄격해져 왔다. 패터닝 프로세스에서는 오버레이 오차, CD 오차 등과 같은 오차가 필연적으로 생기게 될 것이다. 예를 들어, 광수차, 패터닝 디바이스 온도상승, 패터닝 디바이스 오차, 및/또는 기판 온도상승에 의해 이미징 오차가 생길 수 있으며, 이것은 예를 들어 오버레이 오차, CD 오차 등에 관하여 특징지어질 수 있다. 추가적으로 또는 대안적으로, 오차는 에칭, 현상, 베이크 등과 같은 패터닝 프로세스의 다른 부분에 도입될 수 있고, 예를 들어 앞의 경우와 유사하게 오버레이 오차, CD 오차 등에 관하여 특징지어질 수 있다. 오차는, 디바이스의 기능 불능 또는 동작 중인 디바이스의 하나 이상의 전기적인 문제를 포함하는, 디바이스의 기능성에 관한 문제점을 야기할 수 있다.
패터닝 프로세스에서 사용되는 하나 이상의 장치는 하나 이상의 오차를 정정(예를 들어 전부는 아니더라도 적어도 부분적으로)하기 위해서 사용될 수 있다. 예를 들어, 리소그래피 장치는 리소그래피 장치 내의 하나 이상의 액츄에이터를 조절함으로써 오차 중 일부를 정정할 수 있을 수 있다. 하지만, 남은 오차는 리소그래피 장치 내의 하나 이상의 액츄에이터에 의해서는 정정될 수 없을 수도 있다. 그러므로, 패터닝 프로세스 중에 오차를 추가적으로 또는 더 양호하게 정정할 수 있는 방법 및/또는 장치를 제공하는 것이 바람직하다.
일 실시예에서, 제 1 기판의 영역이 핫스폿을 포함한다는 것을, 패터닝 시스템 내의 패터닝 디바이스에 관계된 측정 및/또는 시뮬레이션 결과에 기초하여 식별하는 단계; 핫스폿에서의 제 1 오차 정보를 결정하는 단계; 및 수정된 패터닝 디바이스를 얻기 위하여 제 1 오차 정보에 기초하여 패터닝 디바이스를 수정하기 위한 제 1 오차 정보를 생성하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 제 1 기판의 영역이 핫스폿을 포함한다는 것을, 패터닝 시스템 내의 패터닝 디바이스에 관계된 측정 및/또는 시뮬레이션 결과에 기초하여 식별하고; 핫스폿에서의 제 1 오차 정보를 결정하며; 수정된 패터닝 디바이스를 얻기 위하여 제 1 오차 정보에 기초하여 패터닝 디바이스를 수정하기 위한 제 1 오차 정보를 생성하게 한다.
일 실시예에서, 패터닝 디바이스를 수반하는 패터닝 프로세스에 대한 패터닝 오차 정보를 획득하는 단계; 및 패터닝 오차 정보 및 수정 장치에 대한 정보에 기초하여, 패터닝 프로세스의 수정 장치에 대한 패터닝 오차 오프셋을 결정하는 단계를 포함하는 방법이 제공되는데, 패터닝 오차 오프셋과 패터닝 오차의 조합은 수정 장치의 수정 범위 내에서 수정가능하다.
일 실시예에서, 패터닝 시스템의 에칭 툴에 의해 처리된 이후에 패턴의 측정 및/또는 시뮬레이션 결과를 획득하는 단계; 에칭 로딩(etch loading) 효과에 기인한 패터닝 오차를 측정 및/또는 시뮬레이션 결과에 기초하여 결정하는 단계; 및 패터닝 오차에 기초하여, 패터닝 시스템 내에서 에칭 툴로부터 업스트림에 있는 패터닝 디바이스를 수정하기 위한 및/또는 수정 장치를 조절하기 위한 수정 정보를 생성하는 단계를 포함하는 방법으로서, 패터닝 디바이스가 수정 정보에 따라 수정되고 및/또는 수정 장치가 수정 정보에 따라 조절되는 경우, 패터닝 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소되는, 방법이 제공된다.
일 실시예에서, 패터닝 디바이스 레지스트레이션 오차에 추가하여, 또는 그 외의 오차에 관련된 정보를 획득하는 단계 - 오차의 일부는 패터닝 시스템의 수정 장치에 의해 정정가능하지 않음 -; 및 오차 정보에 기초하여 패터닝 디바이스를 수정하기 위한 수정 정보를 생성하는 단계 - 패터닝 디바이스가 수정 정보에 따라 수정되면 수정 정보는 상기 오차의 일부를 수정 장치에 대해서 정정가능한 오차로 변환함 - 를 포함하는 방법이 제공된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 디바이스를 수반하는 패터닝 프로세스에 대한 패터닝 오차 정보를 획득하고; 패터닝 오차 정보 및 수정 장치에 대한 정보에 기초하여, 패터닝 프로세스의 수정 장치에 대한 패터닝 오차 오프셋을 결정하도록 하고, 패터닝 오차 오프셋과 패터닝 오차의 조합은 수정 장치의 수정 범위 내에서 수정가능하다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 시스템의 에칭 툴에 의해 처리된 이후에 패턴의 측정 및/또는 시뮬레이션 결과를 획득하고; 에칭 로딩(etch loading) 효과에 기인한 패터닝 오차를 측정 및/또는 시뮬레이션 결과에 기초하여 결정하며; 및 패터닝 오차에 기초하여, 패터닝 시스템 내에서 에칭 툴로부터 업스트림에 있는 패터닝 디바이스를 수정하기 위한 및/또는 수정 장치를 조절하기 위한 수정 정보를 생성하도록 하고, 패터닝 디바이스가 수정 정보에 따라 수정되고 및/또는 수정 장치가 수정 정보에 따라 조절되는 경우, 패터닝 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 디바이스 레지스트레이션 오차에 추가하여, 또는 그 외의 오차에 관련된 정보를 획득하고 - 오차의 일부는 패터닝 시스템의 수정 장치에 의해 정정가능하지 않음 -; 오차 정보에 기초하여 패터닝 디바이스를 수정하기 위한 수정 정보를 생성하게 하고, 패터닝 디바이스가 수정 정보에 따라 수정되면 수정 정보는 상기 오차의 일부를 수정 장치에 대해서 정정가능한 오차로 변환한다.
일 실시예에서, 기판의 영역에 제공되는 패턴의 측정 결과 및/또는 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 획득하는 단계 - 상기 패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용하여 제공되거나 제공될 것임 -; 상기 패턴과 타겟 패턴 사이의 오차를 결정하는 단계; 및 상기 패터닝 디바이스에 대한 수정 정보를 상기 오차에 기초하여 생성하는 단계 - 상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되는 경우 상기 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소됨 -를 포함하는 방법이 제공된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 기판의 영역에 제공되는 패턴의 측정 결과 및/또는 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 획득하고 - 상기 패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용하여 제공되거나 제공될 것임 -; 상기 패턴과 타겟 패턴 사이의 오차를 결정하며; 상기 패터닝 디바이스에 대한 수정 정보를 상기 오차에 기초하여 생성하게 하고, 상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되는 경우 상기 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소된다.
일 실시예에서, 패터닝 프로세스를 위한 패터닝 디바이스에 패턴 수정 툴에 의해 이루어진 또는 이루어질 수정을 기술하는 정보를 획득하는 단계; 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형을 획득하는 단계; 및 패터닝 디바이스의 크래킹 동작(cracking behavior)을 패터닝 디바이스의 수정 정보 및 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형에 기초하여 예측하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 패터닝 시스템 내에서 사용할 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형을 획득하는 단계; 패터닝 디바이스의 크래킹 동작에 대한 예측을 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형에 기초하여 획득하는 단계; 및 상기 예측이 패터닝 디바이스가 크랙되었거나 크랙될 것을 표시하는 것에 응답하여, 상기 패터닝 시스템 내의 패터닝 디바이스의 사용을 금지하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 프로세스를 위한 패터닝 디바이스에 패턴 수정 툴에 의해 이루어진 또는 이루어질 수정을 기술하는 정보를 획득하고; 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형을 획득하며; 및 패터닝 디바이스의 크래킹 동작(cracking behavior)을 패터닝 디바이스의 수정 정보 및 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형에 기초하여 예측하게 한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 시스템 내에서 사용할 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형을 획득하고; 패터닝 디바이스의 크래킹 동작에 대한 예측을 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형에 기초하여 획득하며; 상기 예측이 패터닝 디바이스가 크랙되었거나 크랙될 것을 표시하는 것에 응답하여, 상기 패터닝 시스템 내의 패터닝 디바이스의 사용을 금지하게 한다.
일 실시예에서, 패터닝 시스템 내의 제 1 패터닝 디바이스에 관계된 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하는 단계; 상기 패터닝 시스템 내의 제 2 패터닝 디바이스에 관계된 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하는 단계; 제 1 오차 정보와 제 2 오차 정보 사이의 차를 결정하는 단계; 및 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차에 기초하여, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하고, 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차는, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 상기 수정 정보에 따라 수정된 이후에 특정 범위 내로 감소되는, 방법이 제공된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 시스템 내의 제 1 패터닝 디바이스에 관계된 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하고; 상기 패터닝 시스템 내의 제 2 패터닝 디바이스에 관계된 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하며; 제 1 오차 정보와 제 2 오차 정보 사이의 차를 결정하고; 및 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차에 기초하여, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하도록 하고, 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차는, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 상기 수정 정보에 따라 수정된 이후에 미리 결정된 범위 내로 감소된다.
일 실시예에서, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 관계된 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하는 단계; 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 관계된 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하는 단계; 제 1 오차 정보와 제 2 오차 정보 사이의 차를 결정하는 단계; 및 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차에 기초하여, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하고, 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차는, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 상기 수정 정보에 따라 수정된 이후에 특정 범위 내로 감소되는, 방법이 제공된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 관계된 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하고; 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 관계된 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하며; 제 1 오차 정보와 제 2 오차 정보 사이의 차를 결정하고; 및 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차에 기초하여, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하도록 하고, 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차는, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 상기 수정 정보에 따라 수정된 이후에 미리 결정된 범위 내로 감소된다.
일 실시예에서, 컴퓨터 시스템에 의하여, 패터닝 시스템 내의 패터닝 디바이스를 수반하는 패터닝 프로세스의 고분해능 패터닝 오차 정보를 오차 수학 모델을 사용하여 모델링하는 단계, 상기 컴퓨터 시스템에 의하여, 패터닝 디바이스 수정 툴에 의하여 이루어질 수 있는 패터닝 오차의 정정을 정정 수학 모델을 사용하여 모델링하는 단계 - 상기 정정 수학 모델은 상기 오차 수학 모델과 실질적으로 동일한 분해능을 가짐 -, 및 상기 컴퓨터 시스템에 의하여, 상기 오차 수학 모델에 의하여 모델링된 패터닝 오차 정보에 상기 정정 수학 모델을 적용함으로써, 상기 패터닝 디바이스 수정 툴을 사용하여 상기 패터닝 디바이스를 수정하기 위한 수정 정보를 결정하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 시스템으로서, 하드웨어 프로세서 시스템; 및
머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하고, 상기 머신-판독가능 명령은 실행될 경우 상기 프로세서 시스템으로 하여금,
컴퓨터 시스템에 의하여, 패터닝 시스템 내의 패터닝 디바이스를 수반하는 패터닝 프로세스의 고분해능 패터닝 오차 정보를 오차 수학 모델을 사용하여 모델링하고,
상기 컴퓨터 시스템에 의하여, 패터닝 디바이스 수정 툴에 의하여 이루어질 수 있는 패터닝 오차의 정정을 정정 수학 모델을 사용하여 모델링하며 - 상기 정정 수학 모델은 상기 오차 수학 모델과 실질적으로 동일한 분해능을 가짐 -,
상기 컴퓨터 시스템에 의하여, 상기 오차 수학 모델에 의하여 모델링된 패터닝 오차 정보에 상기 정정 수학 모델을 적용함으로써, 상기 패터닝 디바이스 수정 툴을 사용하여 상기 패터닝 디바이스를 수정하기 위한 수정 정보를 결정하게 하는, 시스템이 제공된다.
일 양태에서, 프로세서가 전술된 방법이 수행되게 하는 머신-판독가능 명령을 저장하는, 비일시적 컴퓨터 프로그램 제품이 제공된다.
일 양태에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 실행될 경우, 머신-판독가능 명령은 상기 프로세서 시스템으로 하여금 본 명세서에서 설명된 바와 같은 방법을 실행하게 한다.
실시예들은 첨부 도면을 참조하여 오직 예시를 통하여 이제 설명될 것이다:
도 1 은 리소그래피 장치의 일 실시예를 개략적으로 도시한다;
도 2 는 리소그래피 셀 또는 클러스터의 일 실시예를 개략적으로 도시한다;
도 3 은 리소그래피 처리, 계측, 및 패터닝 디바이스 수정 시스템의 일 실시예를 개략적으로 도시한다;
도 4 는 패터닝 디바이스 수정 툴의 일 실시예를 개략적으로 도시한다;
도 5 는 패터닝 디바이스 수정 툴에 의한 패터닝 디바이스 수정 방법의 일 실시예의 흐름도를 개략적으로 도시한다;
도 6 은 패터닝 오차 수정 방법의 일 실시예의 흐름도를 개략적으로 도시한다;
도 7 은 핫스폿 제어 방법의 일 실시예의 흐름도를 개략적으로 도시한다;
도 8 은 오차 오프셋을 결합하기 전에 적용되는 오차 정정의 그래프를 개략적으로 도시한다;
도 9 는 오차 오프셋을 결합한 이후의 오차 정정의 그래프를 개략적으로 도시한다;
도 10 은 오차 오프셋을 사용한 오차 정정 방법의 일 실시예의 흐름도를 개략적으로 도시한다;
도 11 은 패터닝 디바이스 크래킹 방지 방법의 일 실시예의 흐름도를 개략적으로 도시한다;
도 12 는 패터닝 디바이스 크래킹 방지 방법의 일 실시예의 흐름도를 개략적으로 도시한다;
도 13 은 패터닝 디바이스간 매칭 방법의 일 실시예의 흐름도를 개략적으로 도시한다;
도 14 는 패터닝 디바이스간 매칭 방법의 일 실시예의 흐름도를 개략적으로 도시한다;
도 15 는 패턴 수정 방법의 일 실시예의 흐름도를 개략적으로 도시한다;
도 16 은 에칭 로딩 효과를 정정하기 위한 패터닝 디바이스 수정 방법의 일 실시예의 흐름도를 개략적으로 도시한다;
도 17a 는 기판 상의 예시적인 이미지 필드를 개략적으로 도시한다;
도 17b 는 패터닝 오차의 시뮬레이션된 주기적 성분을 개략적으로 도시한다;
도 18 은 패터닝 프로세스 오차 정정 방법의 일 실시예의 흐름도를 개략적으로 도시한다;
도 19 는 계측 장치에 의해 측정된, 기판 상의 이미지 필드 내의 예시적인 패터닝 오차를 예시한다;
도 20 은 패터닝 오차의 주기적 부분을 패터닝 디바이스의 수정 유무에 따라 수정한 이후에 잔여 오차의 예를 도시한다; 그리고
도 21 은 본 발명의 실시예를 구현할 수 있는 컴퓨터 시스템을 개략적으로 도시한다.
본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1 은 리소그래피 장치(LA)를 개략적으로 묘사한다. 이 장치는:
- 방사선 빔(B)(예를 들어, UV 방사선 또는(EUV) 방사선)을 조절하도록 구성되는 조명 시스템(조명기)(IL);
- 패터닝 디바이스(예를 들어, 마스크; MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스를 정확하게 포지셔닝하도록 구성되는 제 1 포지셔너(positioner; PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블; MT);
- 기판(예를 들어 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 구성되고 특정 파라미터에 따라서 기판을 정확하게 포지셔닝하도록 구성되는 제 2 포지셔너(PW)에 연결되는 기판 테이블(예를 들어 웨이퍼 테이블)(WT); 및
- 방사 빔(B)에 부여된 패턴을 패터닝 디바이스(MA)에 의하여 기판(W)의 타겟부(C)(예를 들어 하나 이상의 다이를 포함함)로 투영하도록 구성되는 투영 시스템(예를 들어 굴절 투영 렌즈 시스템)(PS)을 포함하며, 투영 시스템은 기준 프레임(RF) 상에 지지된다.
조명 시스템은 방사선을 지향시키고, 성형(shaping)하고, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절형, 반사형, 자기적, 전자기, 정전기 또는 다른 유형의 광 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다.
지지 구조체는 패터닝 디바이스를, 패터닝 디바이스의 지향, 리소그래피 장치의 디자인, 및 예를 들어, 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 지지한다. 지지 구조체는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 지지 구조체는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 지지 구조체는 패터닝 디바이스가 예를 들어, 투영 시스템에 대하여 원하는 위치에 있다는 것을 보장할 수도 있다. 본 명세서에서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 장치"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부 내에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하기 위하여 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 일 실시예에서, 패터닝 디바이스는, 기판의 타겟부 내에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하기 위하여 사용될 수 있는 임의의 디바이스이다. 방사선 빔에 부여된 패턴은, 예컨대 그 패턴이 페이즈 천이 피처(phase shifting feature) 또는 소위 어시스트 피처(assist feature)를 포함하는 경우, 기판의 타겟부에서의 요구된 패턴과 정확히 일치하지 않을 수 있다는 것에 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 타겟부, 예컨대 집적 회로 내에 생성되는 중인 디바이스 내의 특정한 기능성 층에 대응할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수도 있다. 패터닝 장치의 예는 마스크, 프로그램가능 미러 어레이, 및 프로그램가능(LCD) 패널을 포함한다. 마스크는 리소그래피에서 주지되며, 이진, 교번 페이즈-천이, 감쇄 페이즈-천이, 및 다양한 하이브리드 마스크 타입과 같은 마스크 타입을 포함한다. 프로그램가능 미러 어레이의 일 예는 소형 미러들의 매트릭스 정렬을 채용하는데, 이들 각각은 인입하는 방사선 빔을 상이한 방향으로 반사하기 위하여 개별적으로 틸팅될 수 있다. 틸팅된 미러는 미러 매트릭스에 의하여 반사된 방사선 빔 내에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어가 임의로 사용되면 더 일반적인 용어인 "투영 시스템"과 같은 의미인 것으로 간주될 수도 있다.
투영 시스템(PS)은 불균일할 수도 있는 광학적 전달 함수를 가지고, 이것이 기판(W)에 이미징된 패턴에 영향을 줄 수 있다. 무편광 방사선에 대하여 이러한 효과는 두 개의 스칼라 맵에 의하여 매우 잘 기술될 수 있는데, 이러한 맵들은 투영 시스템(PS)을 벗어나는 방사선의 투과(아포디제이션(apodization) 및 상대 위상(수차)을 그것의 퓨필 평면에서의 위치의 함수로서 기술한다. 투과 맵 및 상대 위상 맵이라고 지칭될 수도 있는 이러한 스칼라 맵은 완전한 세트의 기초 기능들의 선형 조합으로서 표현될 수도 있다. 특히 편리한 세트는 제니케 다항식(Zernike polynomials)인데, 이것은 단위 원에서 정의된 직교 다항식의 세트를 형성한다. 각각의 스칼라 맵을 결정하는 것은 이러한 전개식(expansion)에서 계수를 결정하는 것을 수반할 수도 있다. 제니케 다항식이 단위 원 상에서 직교하기 때문에, 제니케 계수는 측정된 스칼라 맵과 각각의 제니케 다항식의 순차적인 내적을 계산하고 이것을 해당 제니케 다항식의 놈의 제곱으로 나눔으로써 결정될 수도 있다.
투과 맵 및 상대 위상 맵은 필드와 시스템에 의존적이다. 즉, 일반적으로, 각각의 투영 시스템(PS)은 각각의 필드 포인트에 대한(즉 이것의 이미지 평면에서의 각각의 공간적 위치에 대한) 상이한 제니케 전개식을 가질 것이다. 투영 시스템(PS)의 자신의 퓨필 평면에서의 상대 위상은, 예를 들어 투영 시스템(PS)의 객체 평면(즉 패터닝 디바이스(MA)의 평면)에 있는 점 광원과 같은 소스로부터, 투영 시스템(PS)을 통해 방사선을 투영하고, 파면(즉 동일한 위상을 가지는 점들의 궤적)을 측정하기 위하여 시어링 간섭측정계(shearing interferometer)를 사용함으로써 결정될 수도 있다. 시어링 간섭측정계는 공통 경로 간섭측정계이고, 따라서 바람직하게는, 파면을 측정하기 위하여 이차 참조 빔이 요구되지 않는다. 시어링 간섭측정계는 투영 시스템(즉 기판 테이블(WT)의 이미지 평면에 있는 회절 격자, 예를 들어 2 차원의 그리드 및 투영 시스템(PS)의 퓨필 평면에 대해 공액관계(conjugate)인 평면에 있는 간섭 패턴을 측정하도록 구성되는 검출기를 포함할 수도 있다. 간섭 패턴은 시어링 방향에서의 퓨필 평면에 있는 좌표에 대한 방사선의 위상의 도함수에 관한 것이다. 검출기는, 예를 들어 전하 결합 디바이스(charged coupled device; CCD)와 같은 센싱 요소들의 어레이를 포함할 수도 있다.
회절 격자는 두 개의 수직 방향에서 순차적으로 스캐닝될 수 있는데, 이러한 방향들은 투영 시스템(PS)의 좌표계의 축들(x 및 y)과 일치할 수도 있고 또는 이러한 축들에 대하여 45 도와 같은 각도일 수도 있다. 스캐닝은 격자 기간의 정수 개, 예를 들어 하나의 격자 기간 동안에 수행될 수도 있다. 스캐닝은 하나의 방향에서의 위상 변동을 평균화하고, 반대 방향에서의 위상 변동이 재구성되도록 한다. 그러면 파면이 양자 모두의 방향의 함수로서 결정될 수 있다.
리소그래피 장치의 투영 시스템(PS)은 가시적인 무늬를 생성하지 않을 수 있고, 따라서 파면의 결정 정확도는, 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑(phase stepping) 기법을 사용하여 향상될 수 있다. 스테핑은 회절 격자의 평면에서 그리고 측정의 스캐닝 방향에 수직인 방향에서 수행될 수도 있다. 스테핑 범위는 하나의 격자 기간일 수도 있고, 적어도 3 개의(균일하게 분산된) 위상 단계가 사용될 수도 있다. 따라서, 예를 들어 3 개의 스캐닝 측정이 y-방향에서 수행될 수도 있고, 각각의 스캐닝 측정은 x-방향에서의 다른 위치에서 수행된다. 회절 격자의 이러한 스테핑은 위상 변동을 세기 변동으로 효과적으로 변환하고, 위상 정보가 결정되게 한다. 격자는 회절 격자에 수직인 방향으로 스테핑되어(z 방향) 검출기를 교정할 수도 있다.
투영 시스템(PS)의 자신의 퓨필 평면에서의 투과(아포디제이션)는, 예를 들어 투영 시스템(PS)의 객체 평면(즉 패터닝 디바이스(MA)의 평면)에 있는 점 광원과 같은 소스로부터, 투영 시스템(PS)을 통해 방사선을 투영하고, 검출기를 사용하여 투영 시스템(PS)의 퓨필 평면에 대해 공액관계인 평면에서의 방사선의 세기를 측정함으로써 결정될 수도 있다. 수차를 결정하기 위하여, 파면을 측정하려고 사용되는 것과 동일한 검출기가 사용될 수도 있다.
투영 시스템(PS)은 복수 개의 광 요소(예를 들어, 렌즈)를 포함할 수도 있고, 수차(필드 전체에 걸쳐 퓨필 평면에 걸친 위상 변동)를 정정하도록 광 요소 중 하나 이상을 조절하도록 구성되는 조절 메커니즘(AM)을 더 포함할 수도 있다. 이를 위하여, 조절 메커니즘은 하나 이상의 그 외의 방법으로 투영 시스템(PS) 내의 하나 이상의 광 요소(예를 들어, 렌즈)를 조작하도록 동작가능할 수도 있다. 투영 시스템은 좌표계를 가지는데, 여기서 이것의 광축 연장은 z 방향으로 연장된다. 조절 메커니즘은 다음: 하나 이상의 광 요소를 변위시키는 것; 하나 이상의 광 요소를 틸트; 및/또는 하나 이상의 광 요소를 변형하는 것의 임의의 조합을 수행하도록 동작가능할 수도 있다. 광 요소의 변위는 임의의 방향(x, y, z) 또는 이들의 조합에서 이루어질 수도 있다. 비록 회전적으로 대칭이 아닌(non-rotationally) 비구면 광 요소에 대해서 z 축 주위의 회전이 사용될 수도 있지만, x 및/또는 y 방향의 축들 주위에서 회전함으로써 광 요소는 통상적으로 광축에 수직인 평면을 벗어나서 틸팅된다. 광 요소의 변형은 저 주파수 형상(예를 들어 비점수차(astigmatic) 및/또는 고 주파수 형상(예를 들어 자유 형상 비구면)을 포함할 수도 있다. 광의 변형은, 예를 들어 광 요소의 하나 이상의 면에 힘을 작용시키도록 하나 이상의 액츄에이터를 사용하여 및/또는 광 요소의 하나 이상의 선택된 영역을 가열하도록 하나 이상의 가열 요소를 사용함으로써 수행될 수도 있다. 일반적으로, 아포디제이션(퓨필 평면에 걸친 투과 변경)을 정정하기 위하여 투영 시스템(PS)을 조절하는 것이 가능하지 않을 수도 있다. 투영 시스템(PS)의 투과 맵은 리소그래피 장치(LA)에 대하여 패터닝 디바이스(예를 들어, 마스크)(MA)를 설계할 때에 사용될 수도 있다. 계산적 리소그래피 기법을 사용함으로써, 패터닝 디바이스(MA)는 아포디제이션을 적어도 부분적으로 정정하도록 설계될 수도 있다.
도시된 것처럼, 장치는 투과형이다(예를 들어, 투과형 마스크를 채용). 또는, 장치는 반사형 타입(예를 들어, 위에서 언급된 바와 같은 타입의 프로그램가능 미러 어레이를 채용하거나, 반사 마스크를 채용함)일 수도 있다.
리소그래피 장치는 두 개(듀얼 스테이지) 이상 테이블(예를 들어, 측정, 및/또는 세정 등을 용이화하기 위해서만 제공되는 기판이 없는, 투영 시스템 아래의 두 개 이상의 기판 테이블(WTa, WTb), 두 개 이상의 패터닝 디바이스 테이블, 기판 테이블(WTa) 및 테이블(WTb))의 타입일 수 있다. 그러한 "다중 스테이지" 머신에서, 부가적인 테이블은 평행하게 사용될 수 있으며, 또한 하나 이상의 다른 테이블들이 노광을 위해 사용되고 있는 동안 준비 단계들이 하나 이상의 테이블 상에 수행될 수 있다. 예를 들어, 정렬 센서(AS)를 사용한 정렬 측정 및/또는 레벨 센서(LS)를 사용한 레벨(높이, 틸트 등) 측정이 이루어질 수 있다.
리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있다. 액침액은 또한 예컨대 패터닝 디바이스와 투영 시스템 사이와 같은 리소그래피 장치 내의 다른 공간에도 가해질 수 있다. 액침 기법은 투영 시스템의 개구수(numerical aperture)를 증가시키기 위하여 당업계에 주지된다. 본 명세서에 사용된 바와 같은 "침지"라는 용어는, 기판과 같은 구조체가 액체에 잠겨져야 하는 것을 의미하지 않고, 그보다는 노광 동안에 투영 시스템과 기판 사이에 액체가 위치된다는 것을 의미한다.
도 1 을 참조하면, 조명기(IL)는 방사선 빔을 방사원(SO)으로부터 수광한다. 예를 들어, 방사선 소스가 엑시머 레이저인 경우, 소스 및 리소그래피 장치는 별도의 엔티티일 수 있다. 이러한 경우들에서, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 방사선 빔은, 예를 들어 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우에, 예컨대 방사선 소스가 수은 램프인 경우에, 이러한 소스는 리소그래피 장치에 통합된 부품일 수 있다. 소스(SO) 및 조명기(IL)는 필요할 경우 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 지칭될 수도 있다.
조명기(IL)는 방사선 빔의 각 세기 분포(angular intensity distribution)를 조절하도록 구성되는 조절기(AD)를 포함할 수도 있다. 일반적으로, 조명기(IL)의 퓨필 평면(pupil plane)에서의 세기 분포의 적어도 외측 및/또는 내측 반경 범위(통상적으로, 각각 외측-σ 및 내측-σ라 함)는 조절될 수 있다. 부가적으로, 조명기(IL)는 집속기(integrator)(IN) 및 집광기(confiner)(CO)와 같은 다양한 다른 컴포넌트들을 포함할 수도 있다. 조명기는 방사선 빔이 자신의 단면에서 원하는 균일성 및 세기기 분포를 가지도록 조정하기 위하여 사용될 수도 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 홀딩되는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(MA)를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 포지셔너(PW) 및 위치 센서(IF)(예를 들어, 간섭측정 측정 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 포지셔닝하기 위하여, 기판 테이블(WT)이 정확하게 이동될 수 있다. 이와 유사하게, 제 1 포지셔너 및 다른 위치 센서(도 1 에는 명확하게 묘사되지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적 탐색 이후에, 또는 스캔 동안에, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(MA)를 정확하게 포지셔닝하기 위하여 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은, 제 1 포지셔너(PM)의 일부를 형성하는 롱-스트로크 모듈(long-stroke module; 개략 위치 설정) 및 숏-스트로크 모듈(short-stroke module; 정밀 위치 설정)을 이용하여 실현될 수도 있다. 마찬가지로, 기판 테이블(WT)의 이동은 제 2 포지셔너(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 이용하여 실현될 수도 있다. 스테퍼의 경우(스캐너와 반대로) 지지 구조체(MT)는 숏-스트로크 액추에이터에만 연결될 수도 있고, 또는 고정될 수도 있다. 패터닝 장치(MA) 및 기판(W)은 패터닝 장치 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들이 전용 타겟 영역을 점유하지만, 이들은 타겟 영역 사이의 공간(이들은 스크라이브 레인(scribe-lane) 정렬 마크로 알려짐)에 위치될 수도 있다. 마찬가지로, 마스크(MA)에 두 개 이상의 다이가 제공되는 경우, 마스크 정렬 마크들은 다이들 사이에 위치될 수도 있다.
도시된 장치는 다음 모드들 중 하나 이상의 모드로 사용될 수 있다:
1. 스텝 모드에서는, 지지 구조체(MT) 및 기판 테이블(WT)이 본질적으로 정지 상태로 유지되는 동안, 방사선 빔에 부여된 전체 패턴이 한 번에 타겟부(C) 상에 투영된다(즉, 단일 정적 노광). 그러면, 상이한 타겟부(C)가 노광될 수 있도록 기판 테이블(WT)이(X) 방향 및/또는(Y) 방향으로 시프트된다. 스텝 모드에서는, 노광 필드의 최대 크기가 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서는, 지지 구조체(MT) 및 기판 테이블(WT)이 동기되어 스캐닝되는 동안, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영된다(즉, 단일 동적 노광). 지지 구조체(MT)에 상대적인 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 이미지 반전 특성에 의하여 결정될 수도 있다. 스캔 모드에서는, 노광 필드의 최대 크기가 단일 동적 노광 시의 타겟부의 폭(스캐닝되지 않는 방향에서의)을 한정하는 한편, 스캐닝 모션의 길이는 타겟부의 높이(스캐닝 방향에서의)를 결정한다.
3. 다른 모드에서는, 프로그램가능 패터닝 디바이스를 홀딩하면서 지지 구조체(MT)는 본질적으로 정지 상태로 유지되고, 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟 영역(C) 상에 투영되는 동안에 이동되거나 스캐닝된다. 이러한 모드에서, 일반적으로 펄스화된(pulsed) 방사선 소스가 채용되며, 프로그램가능한 패터닝 디바이스는 요구될 때, 기판 테이블(WT)의 각 이동 이후에 또는 스캔 도중의 연속적인 방사선 펄스들 사이에서 업데이트된다. 동작의 이러한 모드는 위에서 언급된 바와 같은 타입의 프로그램가능한 미러 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피에 용이하게 적용될 수 있다.
또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.
도 2 에 도시된 것처럼, 리소그래피 장치(LA)는 리소셀 또는 클러스터라고도 지칭되는 리소그래피 셀(LC)의 일부를 형성할 수 있고, 이는 또한 기판 상에서 노광 전 그리고 노광 후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는 하나 이상의 레지스트층을 증착하기 위한 하나 이상의 스핀 코터(spin coater; SC), 노광된 레지스트를 현상하기 위한 하나 이상의 현상기(DE), 하나 이상의 칠 플레이트(chill plate; CH), 및 하나 이상의 베이크 플레이트(베이크 plate; BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 하나 이상의 기판을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay; LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 후속층들 사이의 오버레이 오차, 라인 두께, 임계 치수(CD), 초점 오프셋, 재료 특성 등과 같은 하나 이상의 특성을 측정하는 것이 바람직할 수 있다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템(MET)을 통상적으로 더 포함한다. 계측 시스템(MET)은 리소셀(LC)의 일수일 수도 있고, 예를 들어 리소그래피 장치(LA)의 일부일 수도 있다.
계측 결과는 감독 제어 시스템(SCS)으로 직접적으로 또는 간접적으로 제공될 수 있다. 오차가 검출되는 경우, 후속 기판의 노광에 대한(특히 배치의 하나 이상의 다른 기판이 여전히 노광될 수 있도록 검사가 충분히 일찍 그리고 빠르게 행해질 수 있는 경우) 및/또는 노광된 기판의 후속 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판은 스트리핑되고 재작업(rework) 되어 수율을 개선하거나, 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 추가적인 처리가 수행되는 것을 피할 수도 있다. 기판의 일부 타겟 영역에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟 영역에만 추가적 노광이 수행될 수 있다.
계측 시스템(MET) 내에서, 기판의 하나 이상의 특성, 및 구체적으로 상이한 기판의 하나 이상의 특성이 또는 동일 기판의 상이한 층의 특성이 층에 따라 어떻게 변화하는지를 결정하기 위해 검사 장치가 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수도 있고, 또는 독립형 장치일 수도 있다. 신속한 측정을 할 수 있기 위해서는, 검사 장치가 노광 직후에 노광된 레지스트 층에서 하나 이상의 특성을 측정하는 것이 바람직하다. 그러나, 레지스트 내의 잠상(latent image)이 낮은 콘트라스트를 가지며 - 이 경우 방사선에 노광된 레지스트의 부분과 방사선에 노광되지 않은 부분 간에 단지 매우 작은 굴절률차가 있음 - 모든 검사 장치가 잠상의 유용한 측정을 행하기에 충분한 감도를 갖는 것은 아니다. 따라서, 통상적으로 노광된 기판에 대해 수행되는 첫 번째 단계이고, 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 단계인, 노광 후 베이크 단계(post-exposure bake step, PEB) 후에 측정이 이루어질 수 있다. 이 스테이지에서, 레지스트 내의 이미지는 반잠상(semi-latent)으로 지칭될 수 있다. 또한, 에칭과 같은 패턴 전사 단계 후에, 현상된 레지스트 이미지의 측정을 행하는 것도 가능하며, 그 시점에서 레지스트의 노광된 부분 또는 노광되지 않은 부분 중의 하나가 제거된다. 후자의 가능성은 오류가 있는 기판의 재작업에 대한 가능성은 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
적어도 하나의 패터닝 단계(예를 들어, 광 리소그래피 단계)를 포함하는 패터닝 프로세스(예를 들어, 디바이스 제조 프로세스)를 모니터링하기 위하여, 패터닝된 기판이 검사되고 패터닝된 기판의 하나 이상의 파라미터가 측정된다. 하나 이상의 파라미터는, 예를 들어 패터닝된 기판 내에 또는 그 위에 형성된 연속 층들 사이의 오버레이 오차, 예를 들어 패터닝된 기판 내에 또는 그 위에 형성된 피쳐의 임계 치수(CD)(예를 들어, 임계 선폭), 광 리소그래피 단계의 초점 또는 초점 오차, 광 리소그래피 단계의 선량 또는 선량 오차, 광 리소그래피 단계의 광수차 등을 포함할 수 있다. 이러한 측정은 제품 기판 자체 및/또는 기판 상에 제공된 전용의 계측 타겟 상에서 수행될 수 있다. 주사 전자 현미경(scanning electron microscope), 영상-기초 측정 또는 검사 툴 및/또는 다양한 특수 기기를 사용하는 것을 포함하는 패터닝 프로세스에서 형성된 구조체를 측정하기 위한 다양한 기법들이 존재한다. 신속하고 비침투식인 형태의 특수 측정 및 검사 툴은, 방사선의 빔이 기판면 상의 타겟 상으로 디렉팅되고 산란된(회절/반사된) 빔이 측정되는 것이다. 빔이 기판에 의해 산란되기 전과 후의 하나 이상의 빔의 특성을 비교함으로써, 기판의 하나 이상의 특성을 결정할 수 있다. 이것은 회절-기초 계측 또는 검사라고 명명될 수 있다. 이러한 회절-기초 측정 또는 검사의 특정한 적용예는 주기적 타겟 내에서의 피쳐 비대칭의 측정 분야이다. 이것은, 예를 들어 오버레이 오차의 크기로서 사용될 수 있지만, 다른 애플리케이션들도 역시 알려져 있다. 예를 들어, 비대칭은 회절 스펙트럼의 반대 부분들을 비교함으로써(예를 들어, 주기적 격자의 회절 스펙트럼 내의 -1차 및 +1차를 비교함으로써) 측정될 수 있다. 이것은, 예를 들어 그 전체 내용이 원용되어 본원에 통합되는 미국 특허 출원 공개 번호 US2006-066855 에 기술된 바와 같이 간단하게 수행될 수 있다.
패터닝 프로세스가 가능해지게 하기 위한 중요한 양태들은, 프로세스 자체를 개선하는 것, 이것을 모니터링 및 제어를 위해 셋업하는 것 및 이제 해당 프로세스 자체를 실제로 모니터링하고 제어하는 것을 포함한다. 패터닝 프로세스의 기본적인 구성을 가정하면(예컨대 패터닝 디바이스 패턴(들), 레지스트 타입(들), 사후-리소그래피 프로세스 단계(예컨대 현상, 에칭)), 패턴을 기판 상에 전사하기 위해 리소그래피 장치가 셋업되고, 프로세스를 모니터링하기 위해 하나 이상의 계측 타겟을 현상되며, 계측 타겟을 측정하기 위해 계측 프로세스가 셋업되며, 그리고 측정치에 기초하여 프로세스를 모니터링하고 제어하는 프로세스가 구현된다. 본 명세서에서의 논의가 기판 상에 형성되고 있는 디바이스의 하나 이상의 층들 사이의 오버레이 및/또는 초점 오차(즉, 패터닝 시스템의 광학 시스템의 기판에 대한 최적 초점에서의 차이)를 측정하도록 설계된 계측 프로세스 및 계측 타겟을 고려할 것이지만, 본 명세서의 실시예들은 다른 계측 프로세스 및/또는 타겟, 예컨대 두 개의 대상물들 사이(예를 들어, 패터닝 디바이스와 기판 사이)의 정렬을 측정하기 위한 계측 프로세스 및/또는 타겟, 임계 치수를 측정하기 위한 계측 프로세스 및/또는 타겟, 표면의 위치를 측정(예를 들어, 레벨 센서를 사용한 기판 표면의 높이 및/또는 회전 위치의 측정)하기 위한 계측 프로세스 및/또는 타겟 등에도 동일하게 적용가능하고, 이러한 데이터를 패터닝 시스템의 수정 장치에 대한 또는 패터닝 디바이스 정정 장치에 대한 수정 정보를 생성하는 데에 사용한다. 따라서, 본 명세서에서 오버레이 계측 타겟, 오버레이 데이터 등을 지칭하는 것은 다른 종류의 계측 프로세스 및/또는 타겟을 가리킬 수 있도록 적절하게 수정되는 것으로 간주되어야 한다.
도 3 을 참조하면, 리소그래피 처리, 계측, 및 패터닝 디바이스 수정 시스템이 도시된다. 이러한 시스템은 패터닝 시스템(예를 들어, 도 1 에서 설명된 바와 같은 나노임프린트 리소그래피 툴, 광 리소그래피 장치, 도 2 에서 설명된 바와 같은 트랙 툴, 에칭 툴, 패터닝 프로세스 내의 다른 장치, 또는 이들 중에서 선택된 것들의 임의의 조합)(300), 계측 장치(310), 패터닝 디바이스 수정 툴(320), 및 소프트웨어 애플리케이션(330)을 포함한다. 패터닝 시스템(300), 계측 장치(310), 및 패터닝 디바이스 수정 툴(320) 중 일부 또는 전부는, 패터닝 시스템(300), 계측 장치(310), 및/또는 패터닝 디바이스 수정 툴(320)의 결과, 설계, 데이터 등이 동시에 또는 다른 시간에 소프트웨어 애플리케이션(330)에 의해 저장되고 분석될 수 있도록 소프트웨어 애플리케이션(330)과 통신하는 상태이다.
위에서 언급된 바와 같이, 패터닝 시스템(300)은 도 1 의 리소그래피 장치(LA)와 같이 구성될 수 있다. 패터닝 시스템(300)은 패터닝 프로세스의 패터닝 양태를 실행시키기 위해 설정될 수 있고, 선택적으로는 패터닝 시스템(300) 내에 또는 패터닝 프로세스 내의 하나 이상의 다른 프로세스 또는 장치에서 발생하는 편차를 정정하도록 구성될 수 있다. 일 실시예에서, 패터닝 시스템(300)은 패터닝 시스템(300)의 하나 이상의 수정 장치를 조절함으로써 오차(예를 들어, 이미징 오차, 초점 오차, 선량 오차 등)의 정정을 적용할 수 있는 것이 가능하다. 즉, 일 실시예에서, 패터닝 오차를 수정하는 목적을 가질 수 있는, 패터닝 시스템 내의 임의의 제조 처리 툴에 의해서 정정이 이루어질 수 있다.
예를 들어, 패터닝 시스템(300)이 광 리소그래피 장치를 포함하는 경우, 오차의 정정은, 예를 들어 광수차를 정정하거나 적용하기 위하여 조절 메커니즘(AM)을 적용하는 것, 조명 세기 분포를 정정 또는 수정하기 위하여 조절기(AD)를 채용하는 것, 패터닝 디바이스 지지 구조체(MT) 및/또는 기판 테이블(WT) 각각의 위치를 정정 또는 수정하기 위하여 패터닝 디바이스 지지 구조체(MT)의 포지셔너(PM) 및/또는 기판 테이블(WT)의 포지셔너(PW)를 채용하는 것 등에 의하여 리소그래피 장치의 하나 이상의 수정 장치를 조절함으로써 이루어질 수 있다. 예를 들어, 패터닝 시스템(300)이 트랙 툴을 포함하는 경우, 오차의 정정은 트랙 툴의 하나 이상의 수정 장치를 조절함으로써, 예를 들어 트랙의 베이크 툴의 베이크 온도를 수정하는 것, 트랙의 현상 툴의 현상 파라미터를 수정하는 것 등에 의하여 이루어질 수 있다. 이와 유사하게, 예를 들어 패터닝 시스템(300)이 에칭 툴을 포함하는 경우, 오차의 정정은 에칭 툴의 하나 이상의 수정 장치를 수정함으로써, 예를 들어 에천트 타입, 에천트 레이트 등과 같은 에칭 파라미터를 수정함으로써 이루어질 수 있다. 이와 유사하게, 예를 들어 패터닝 시스템(300)이 평탄화 툴을 포함하는 경우, 오차의 정정은 평탄화 툴의 하나 이상의 수정 장치를 조절함으로써, 예를 들어 평탄화 파라미터를 수정함으로써 이루어질 수 있다. 이와 유사하게, 예를 들어 패터닝 시스템(300)이 증착 툴을 포함하는 경우, 오차의 정정은 증착 툴의 하나 이상의 수정 장치를 조절함으로써, 예를 들어 증착 파라미터를 수정함으로써 이루어질 수 있다.
일 실시예에서, 패터닝 시스템(300)의 하나 이상의 수정 장치는 오차(예를 들어, 이미징 오차, 초점 오차, 선량 오차 등)의 3 차 다항식 정정까지를 적용할 수 있을 수 있다.
계측 장치(310)는 패터닝 시스템(300)에 의해 패턴이 인쇄된 기판에 관련된 측정치를 획득하도록 구성된다. 일 실시예에서, 계측 장치(310)는 패터닝 시스템(300)에 의해 인쇄된 패턴의 하나 이상의 파라미터(예를 들어, 오버레이 오차, 선량, 초점, CD 등)를 측정 또는 결정하도록 구성된다. 일 실시예에서, 이러한 계측 장치(310)는, 예를 들어 오버레이, 임계 치수 및/또는 다른 파라미터를 측정할 수 있는 회절-기초 오버레이 계측 툴이다. 일 실시예에서, 이러한 계측 장치(310)는 두 개의 오브젝트들 사이, 예컨대 패터닝 디바이스와 기판 사이의 상대 위치를 측정하기 위하여 사용되는 정렬 장치이다. 일 실시예에서, 이러한 계측 장치(310)는 표면의 위치, 예를 들어 기판 표면의 높이 및/또는 회전 위치를 측정하기 위한 레벨 센서이다.
일 실시예에서, 계측 장치(310) 패터닝 프로세스에 존재하는 오차와 연관된 하나 이상의 파라미터(예를 들어, 오버레이 오차, CD, 초점, 선량 등)의 하나 이상의 값을 측정 및/또는 결정한다. 계측 장치(310)가 측정 또는 결정을 완료한 이후에, 소프트웨어 애플리케이션(330)은 측정 데이터(예를 들어, 오버레이 오차, CD, 초점, 선량 등)에 기초하여 수정 정보를 생성한다. 일 실시예에서, 소프트웨어 애플리케이션(330)은 하나 이상의 파라미터의 하나 이상의 값을 평가하여, 이들이 공차 범위 내에 속하는지를 결정한다. 속하지 않는다면, 소프트웨어 애플리케이션(330)은 하나 이상의 파라미터의 공차 밖의 하나 이상의 값에 의해 반영되는 오차를 정정하기 위한 수정 정보를 결정한다. 일 실시예에서, 소프트웨어 애플리케이션(330)은 하나 이상의 수학 모델을 사용하여 패터닝 시스템(300)의 하나 이상의 수정 장치에 의해 정정가능한 오차를 결정하고, 패터닝 시스템(300)의 하나 이상의 수정 장치의 하나 이상의 파라미터에 대한 정보(예를 들어 수정 정보)를 제공하는데, 이러한 하나 이상의 파라미터에 의해 오차를 정정(예를 들어, 제거 또는 공차 범위 내로 감소)하도록 패터닝 시스템(300)의 하나 이상의 수정 장치가 구성될 수 있게 된다. 일 실시예에서, 수학 모델 중 하나 이상은 파라미터화된 데이터에 피팅되는 기저 함수의 세트를 규정한다. 일 실시예에서, 하나 이상의 수학 모델은 패터닝 시스템(300)에 대한 정정가능 오차를 시뮬레이션하도록 구성되는 모델을 포함한다. 일 실시예에서, 이러한 모델은 패터닝 시스템(300)의 수정 장치 중 하나 이상이 수정할 수 있는 수정 범위를 특정하고, 이러한 범위 내에서 정정가능 오차를 결정한다. 즉, 이러한 범위는 패터닝 시스템(300)의 특정 수정 장치가 수행할 수 있는 수정의 양에 대한 상한, 하한, 및/또는 양자 모두를 규정할 수 있다.
일 실시예에서, 소프트웨어 애플리케이션(330)은 하나 이상의 수학 모델을 사용하여 패터닝 디바이스 수정 툴(320)에 의해 정정가능한 오차를 결정하고, 패터닝 디바이스 수정 툴(320)의 하나 이상의 파라미터에 대한 정보(예를 들어 수정 정보)를 제공하는데, 이러한 하나 이상의 파라미터에 의해 패터닝 디바이스 수정 툴(320)이 오차를 정정(예를 들어, 제거 또는 공차 범위 내로 감소)하도록 구성될 수 있게 된다. 일 실시예에서, 수학 모델 중 하나 이상은 파라미터화된 데이터에 피팅되는 기저 함수의 세트를 규정한다. 일 실시예에서, 하나 이상의 수학 모델은 패터닝 디바이스 수정 툴(320)에 대한 정정가능 오차를 시뮬레이션하도록 구성되는 모델을 포함한다. 일 실시예에서, 이러한 모델은 패터닝 디바이스 수정 툴(320)이 수정할 수 있는 수정의 범위를 규정하고, 이러한 범위 내에서 정정가능 오차를 결정한다. 즉, 이러한 범위는 패터닝 디바이스 수정 툴(320)이 수행할 수 있는 수정의 양에 대한 상한, 하한, 및/또는 양자 모두를 규정할 수 있다.
일 실시예에서, 패터닝 시스템(300)의 하나 이상의 수정 장치 각각에 의하여 정정가능하고 패터닝 디바이스 수정 툴(320)에 의해 정정가능한 오차의 결정의 공동-최적화가 제공된다. 일 실시예에서, 패터닝 시스템(300)의 복수 개의 수정 장치에 의해 정정가능한 오차의 결정의 공동-최적화가 제공된다. 일 실시예에서, 공동-최적화를 가능하게 하기 위하여, 패터닝 시스템(300)의 하나 이상의 수정 장치에 의해 정정가능한 오차를 결정하기 위한 하나 이상의 수학 모델 및/또는 패터닝 디바이스 수정 툴(320)에 의해 정정가능한 오차를 결정하기 위한 하나 이상의 수학 모델이 사용되고 및/또는 결합된다. 일 실시예에서, 공동-최적화에 의하여, 패터닝 시스템(300)의 수정 장치에 의해 정정불가능한 오차가, 하나 이상의 다른 패터닝 시스템(300)의 수정 장치에 의하여 및/또는 패터닝 디바이스 수정 툴(320)에 의해서 패터닝 디바이스를 수정함으로써 정정가능 오차로 변환된다. 이러한 변환의 일 예로서, 패터닝 시스템(300)의 수정 장치에 대한 정정불가능한 공간 분해능을 가지는 오차가, 총 오차가 패터닝 시스템(300)의 수정 장치에 의해 정정가능한 공간 분해능을 가지도록 추가적인 오차를 가산함으로써, 정정 가능해질 수 있다. 일 실시예에서, 가산된 오차는 패터닝 시스템(300)의 복수 개의 다른 수정 장치 사이에서 나누어지거나 패터닝 시스템(300)의 하나 이상의 다른 수정 장치 및 패터닝 디바이스 수정 툴(320) 사이에서 나누어진다.
일 실시예에서, 공동-최적화는 상이한 타입의 오차에 대해서 별개로 또는 결합 기반으로 수행되고, 예컨대 오버레이 오차, 초점 오차, 선량 오차 등에 대해서 별개로 또는 결합 기반으로 수행된다. 일 실시예에서, 패터닝 시스템(300)의 어떤 수정 장치는 특정 타입의 오차를 더 잘 정정할 수 있을 수 있고, 따라서 오차 정정은 패터닝 시스템(300)의 서로 다른 적합한 수정 장치들 사이에서 적절하게 가중되거나 할당된다.
일 실시예에서, 사용자는, 복수 개의 수학 모델의 콜렉션으로부터 하나 이상의 수학 모델을, 해당 수학 모델이 피팅되는 것으로 결정되는지와 무관하게 규정할 수 있다. 예를 들어, 인터페이스(예컨대 그래픽 사용자 인터페이스)는 고려하기 위한 수학적 데이터 모델을 사용자가 규정하도록 할 수 있다. 일 실시예에서, 복수 개의 측정 수학적 데이터 모델이 결정되거나 규정된다. 일 실시예에서, 하나 이상의 수학 모델은 최적의 노이즈 억제(예를 들어, 리던던트 차수를 제거하거나 더 높은 차수를 사용하는 것을 감소시킴)를 위해서 튜닝될 수 있다.
예를 들어, 일 실시예에서, 좌표(x, y)에서 x 방향에서의 정정가능 오차 △x는 다음에 의해 모델링된다:
△x = k1+k3x+k5y+k7x2+k9xy+k11y2+k13x3+k15x2y+k17xy2+k19y3 (1)
여기에서 k1 은 파라미터이고(상수일 수 있음), k3, k5, k7, k9, k11, k13, k15, k17, 및 k19 는 x, y, x2, xy, y2, x3, x2y, xy2, 및 y3 항 각각에 대한 파라미터이다(상수일 수 있음). k1, k3, k5, k7, k9, k11, k13, k15, k17, 및 k19 중 하나 이상은 제로일 수 있다.
이와 관련하여, 일 실시예에서, 좌표(x, y)에서 y 방향에서의 정정가능 오차 △y는 다음에 의해 모델링된다:
△y = k2+k4y+k6x+k8y2+k10yx+k12x2+k14y3+k16y2x+k18yx2+k20x3 (2)
여기에서 k2 는 파라미터(상수일 수 있음)이고, k4, k6, k8, k10, k12, k14, k16, k18, 및 k20 은 y, x, y2, yx, x2, y3, y2x, yx2, 및 x3 항 각각에 대한 파라미터(상수일 수 있음). k2, k4, k6, k8, k10, k12, k14, k16, k18, 및 k20 중 하나 이상은 제로일 수 있다.
일 실시예에서, 정정가능 오차 중 적어도 일부는, 패터닝 시스템(300)의 수정 장치 중 적어도 하나를 조절함으로써 패터닝 시스템(300)에 의해 정정한다. 그러므로, 일 실시예에서, 수학 모델에 피팅되는 오차의 일부는 패터닝 시스템(300)의 하나 이상의 수정 장치를 조절함으로써 패터닝 시스템(300)에 의해 정정가능하다.
패터닝 프로세스에서 처리된 특정한 기판에 대한 최소의 잔여 체계적 변동은 기판을 처리할 때 사용되는 특정 서브-프로세스 또는 디바이스에 특유할 수 있다. 최소 잔여 체계적 변동은 가끔 지문이라고 불린다. 지문은 패터닝 시스템(300)의 하나 이상의 수정 장치에 의해 정정가능하지 않을 수 있다. 일 실시예에서, 지문은 패터닝 디바이스 수정 툴(320)을 사용하여 패터닝 디바이스를 수정함으로써 정정된다. 일 실시예에서, 측정 데이터와 모델 1 및 모델 2 를 사용하여 계산된 대응하는 데이터 사이의 잔여 체계적 변동은 파라미터(예를 들어, k1-k20 중 하나 이상)를 최적화함으로써 최소화된다.
일 실시예에서, 소프트웨어 애플리케이션(330)은 패터닝 디바이스 수정 툴(320)에 의하여 패터닝 디바이스를 수정하기 위한 제 1 수정 정보를 생성하고, 제 1 수정 정보를 패터닝 디바이스 수정 툴(320)로 전송한다. 일 실시예에서, 제 1 수정 정보는, 제 1 수정 정보에 기초하여 패터닝 디바이스에 의해 수정될 경우, 패터닝 시스템(300)에 의해 정정불가능한 오차를 패터닝 시스템(300)에 대해서 정정가능한 오차로 효과적으로 변환한다. 일 실시예에서, 패터닝 디바이스를 수정한 이후에, 소프트웨어 애플리케이션(330)은 수정된 패터닝 디바이스를 예를 들어 생산 시에 사용되도록 패터닝 시스템(300)으로 전송하도록 패터닝 디바이스 수정 툴(320)에게 명령한다. 일 실시예에서, 추가적인 오차 정정 및/또는 수정된 패터닝 디바이스의 검증이 후술되는 바와 같이 수행된다.
일 실시예에서, 소프트웨어 애플리케이션(330)은 패터닝 시스템(300)의 하나 이상의 수정 장치에 대한 제 2 수정 정보를 더 생성하고, 제 2 수정 정보를 패터닝 시스템(300)으로 전송한다. 일 실시예에서, 제 2 수정 정보에 의하여, 제 2 수정 정보에 기초하여 패터닝 시스템(300)의 하나 이상의 수정 장치에 의해 패터닝 프로세스가 수정되면, 패터닝 프로세스의 정정가능 오차가 패터닝 시스템(300)의 하나 이상의 수정 장치에 의해 정정될 수 있고, 수정된 패터닝 디바이스가 패터닝 시스템(300) 내에서 사용될 수 있게 된다. 즉, 일 실시예에서, 패터닝 시스템(300)의 하나 이상의 수정 장치는 제 1 수정 정보에 기초하여 수정된 패터닝 디바이스에 의해 생성된 정정가능 오차를 정정하도록 구성된다. 일 실시예에서, 추가적으로 또는 대안적으로, 제 2 수정 정보는 패터닝 디바이스를 제 1 수정 정보에 기초하여 수정한 이후에 남아 있는 잔차 패터닝 오차를 정정한다.
일 실시예에서, 수정된 패터닝 디바이스 및/또는 조절된 패터닝 프로세스로 패터닝 시스템(300) 내에서 처리된 기판은 측정되기 위해 계측 장치(310)로 전달된다. 계측 장치(310)는 오차가 공차 범위 내에 있는지 여부를 평가하기 위해서 전술된 것과 유사한 방법으로 측정을 수행한다(예를 들어, 계측 장치(310)에 의해 측정되거나 결정된 기판의 하나 이상의 파라미터(예를 들어, 오버레이 오차, CD, 초점, 선량 등)의 하나 이상의 값을 평가함으로써). 일 실시예에서, 오차가 공차 내에 있지 않으면, 패터닝 디바이스 수정 툴(320)에 의한 패터닝 디바이스의 추가적인 수정 및/또는 패터닝 시스템(300)의 하나 이상의 수정 장치의 하나 이상의 파라미터의 조절이 본 명세서에서 논의되는 것과 유사하게 수행된다.
일 실시예에서, 패터닝 디바이스 수정 툴(420)이 제 1 및/또는 제 2 수정 정보에 기초하여 패터닝 디바이스를 수정한 이후에, 패터닝 디바이스는 패터닝 시스템(400)으로 다시 전달되어, 패터닝 오차(예를 들어, 오버레이 오차, 임계 치수 오차, 측벽 각도 오차, 하단면 틸트 오차 등)의 주기적 성분이 공차 내에 속할 때까지 전술된 프로세스를 반복한다.
도 4 는 패터닝 디바이스의 기판을 수정하도록 구성되는 예시적인 패터닝 디바이스 수정 툴(320)(예를 들어, 포토리소그래픽 마스크, 나노임프린트 리소그래피를 위한 임프린트 템플릿 등)의 블록도를 개략적으로 도시한다. 패터닝 디바이스 수정 툴(320)은 6 개에 달하는 차수에서 이동가능할 수 있는 테이블(420)을 포함한다. 패터닝 디바이스(410)는, 예를 들어 클램핑을 사용하여 테이블(420)에 의해 홀딩될 수 있다.
패터닝 디바이스 수정 툴(320)은 방사선 빔(435)(예를 들어, 방사선의 펄스)을 생성하도록 구성되는 방사선 출력(예를 들어, 펄스 레이저 소스)(430)을 포함한다. 출력(430)은 가변 지속기간을 가지는 방사선 펄스를 제공한다. 통상적으로, 출력은 패터닝 디바이스(410)의 기판의 밴드갭보다 작은 광자 에너지를 가지는 방사선을 제공하도록 구성되고, 펨토초 범위에 있는 지속기간을 가지는 방아선 펄스를 제공할 수 있다.
출력(430)(예를 들어, 레이저 시스템)으로부터의 펨토초 또는 극초단파 방사선 펄스는 기판의 재료 특성(예를 들어, 밀도)을 변경함으로써, 패터닝 디바이스의 해당 기판 내에 로컬 변형 요소(예를 들어, 로컬 밀도 변동) 및/또는 로컬 투과 변동의 배열(arrangement)을 기록할 수 있다. 로컬 변형 요소는, 예를 들어 패터닝 디바이스의 표면 상의 하나 이상의 패턴 요소를 미리 결정된 위치로 천이시킬 수 있다. 따라서, 기판에 유도된 변형 요소는, 예를 들어 패터닝 디바이스의 표면 상의 패턴 배열을 수정하거나 정정할 수 있다. 추가적으로 또는 대안적으로, 패터닝 디바이스를 통과하는 방사선의 광투과를 수정하거나 정정하는 로컬 투과 변동의 배열은 패터닝 디바이스의 기판 내에 기록될 수 있다. 이러한 방식으로, 수정 또는 정정은 패터닝 디바이스의 기판의 표면 상에서 하나 이상의 패턴 요소의 천이를 유도하지 않고 구현될 수 있다. 패턴 배열 및 광투과를 수정 또는 정정하는 로컬 변형 요소 및/또는 투과 변동의 배열이 규정되고 기록될 수 있다. 일 실시예에서, 로컬 변형 요소 및/또는 로컬 투과 변동은 기판의 중앙 또는 내부에 도입될 수 있다. 이러한 로컬 변형 요소 및/또는 로컬 투과 변동을 기판의 중앙 또는 내부 부분에 적용하면, 예를 들어 패턴 배치 및/또는 광투과를 수정 또는 정정하면서 기판의 부분이 휘는 것을 피할 수 있다.
조향 미러(490)는 빔(435)을 포커싱 대물렌즈(440) 내로 지향시킨다. 대물렌즈(440)는 빔(435)을 패터닝 디바이스(410) 상에 집속한다. 패터닝 디바이스 수정 툴(320)은, 테이블(420)의 포지셔닝 스테이지가 빔에 대략적으로 수직인 평면에서(x 및/또는 y 방향) 병진하는 것 및/또는 이러한 평면과 평행하는 축 중심의 병진(x 및/또는 y 방향 중심)을 관리하는 제어기(480) 및 컴퓨터 시스템(460)을 더 포함한다. 제어기(480) 및 컴퓨터 시스템(460)은 그러한 평면에 수직인 방향(z 방향)에서의 테이블(420)의 병진 및/또는 해당 방향 중심(z 방향 중심)의 회전을 제어할 수 있다. 추가적으로 또는 대안적으로, 제어기(480) 및 컴퓨터 시스템(460)은 대물렌즈(440)가 고정된 포지셔닝 스테이지(450)를 통한 대물렌즈(440)의 병진 및/또는 회전을 제어할 수 있다. 일 실시예에서, 대물렌즈는 고정되고 모든 움직임은 테이블(420)을 사용하여 수행된다. 일 실시예에서, 패터닝 디바이스 수정 툴(320)은, 테이블(420) 및/또는 대물렌즈(440)와 같은 컴포넌트의 위치를 결정하고, 포커싱/레벨링(leveling)을 결정하는 등을 수행하기 위한 하나 이상의 센서(단지 편의상 도시되지 않음)를 포함할 수 있다.
패터닝 디바이스 수정 툴(320)은 CCD(전하-결합 디바이스) 카메라(465)를 포함하는 관람 시스템을 더 제공할 수 있는데, 이것은 테이블(420) 내에 배치된 조명 출력(예를 들어, 방사선 소스)로부터 광학 요소(445)를 통해 방사선을 수광한다. 관람 시스템은 패터닝 디바이스(410)를 타겟 위치로 네비게이션하는 것을 쉽게 만든다. 더 나아가, 관람 시스템은 소스(430)의 빔(435)에 의해서 패터닝 디바이스(410)의 기판 재료 상에 수정된 영역이 형성되는 것을 관찰하기 위해서 사용될 수 있다.
컴퓨터 시스템(460)은 마이크로프로세서, 범용 프로세서, 특수 목적 프로세서, CPU(중앙 처리 유닛), GPU(그래픽 처리 유닛), 또는 기타 등등일 수 있다. 이것은 제어기(480) 내에 배치될 수 있고, 또는 PC(개인용 컴퓨터), 워크스테이션, 메인프레임 등과 같인 별개의 유닛일 수도 있다. 컴퓨터(460)는 키보드, 터치패드, 마우스, 비디오/그래픽 디스플레이, 프린터 등과 같은 I/O(입력/출력) 유닛을 더 포함할 수 있다. 또한, 컴퓨터 시스템(460)은 휘발성 및/또는 비-휘발성 메모리를 더 포함할 수 있다. 컴퓨터 시스템(460)은 하드웨어, 소프트웨어, 펌웨어, 또는 이것들의 임의의 조합으로 구현될 수도 있다. 더욱이, 컴퓨터(460)는 출력(430)을 제어할 수 있다. 컴퓨터 시스템(460)은, 수신된 데이터, 예를 들어 실험 데이터로부터 패터닝 디바이스 수정 툴(320)을 위한 제어 신호를 생성할 수 있게 하는, 하드웨어, 소프트웨어 또는 양자 모두로 구현된 하나 이상의 알고리즘을 포함할 수 있다. 제어 신호는, 예를 들어 수신된 데이터에 따라서 패턴 배열 또는 광투과를 정정하기 위해서, 패터닝 디바이스(410)의 기판 내에 로컬 변형 요소 및/또는 로컬 투과 변동의 배열을 기록하는 것을 제어할 수 있다. 특히, 컴퓨터 시스템(460)은 소스(430) 및/또는 테이블(420) 포지셔닝 및/또는 대물렌즈(440) 포지셔닝 또는 광학 파라미터 및/또는 CCD 카메라(465)를 제어할 수 있다.
일 실시예에서, 로컬 변형 요소 및/또는 로컬 투과 변동의 효과는 빔에 의해 야기되는 변형 또는 변동을 나타내는 물리적 수학 모델에 의해 기술될 수 있다. 변형 또는 변동의 방향은 상이한 변형 또는 변동 특성을 가지는 기판 내에 상이한 로컬 변형 요소 및/또는 로컬 투과 변동을 적용함으로써 제어된다. 주어진 로컬 변형 요소 및/또는 로컬 투과 변동의 변형 또는 변동 특성, 예컨대 크기 및 방향은 특정 모드를 나타낸다. 예를 들어, "X 모드"는 X 축과 나란한 변형 또는 변동을 나타내고, "X 모드" 특성에 의해 기술된다. 제어 신호가 계산되면, 하나 이상의 알고리즘 계산이 각각의 타입의 로컬 변형 요소 및/또는 로컬 투과 변동이 어디에 그리고 어느 밀도로 기록되어야 하는지를 계산한다. 예를 들어, X 방향의 레지스트레이션 오차는 X 모드 타입의 로컬 변형 요소 및/또는 로컬 투과 변동에 의해서 정정될 수 있다. 이러한 모델은 특정 문제점에 대한 가능한 최선의 솔루션을 최적화하기 위하여 수 개의 모드를 사용할 수 있다. 통상적으로, 서로 직교하는 X 및 Y 모드가 사용될 것이지만, 필요할 경우 45° 및 135°와 같은 다른 모드들도 역시 사용될 수 있다.
그러므로, 예시적인 패터닝 디바이스 생산 프로세스에서, 흡수 요소의 패턴은 패턴 발생기로써 패터닝 디바이스의 기판 상의 흡수 층에 기록된다. 후속하는 에칭 프로세스에서, 흡수 패턴 요소는 흡수 재료로 형성된다. 패터닝 디바이스 상의 흡수 층을 위하여 흔히 사용되는 재료는 크롬 또는 텅스텐이다.
예시적인 패터닝 디바이스 수정 프로세스에서, 예를 들어 패턴 쓰기 프로세스가 성공적이었는지 여부, 즉 패턴 요소가 그들의 미리 결정된 크기 및 형태를 가지고 요구되는 위치에 있는지 여부를 결정하기 위하여, 생성된 흡수 패턴 요소의 위치가 레지스트레이션 계측 시스템(미도시)으로 결정될 수 있다. 추가적으로 또는 대안적으로, 본 명세서에서 논의된 바와 같이, 하나 이상의 패터닝 오차가 결정될 수 있다(예를 들어, 측정 및/또는 시뮬레이션에 의하여). 결정된 오차가 미리 결정된 레벨 내에 있지 않으면, 로컬 변형 요소 및/또는 로컬 투과 변동의 배열이, 예를 들어 도 4 의 패터닝 디바이스 수정 툴(320)을 사용하여 패터닝 디바이스의 기판 내에 기록된다. 로컬 변형 요소 때문에 패터닝 디바이스 내의 또는 그 위의 하나 이상의 패턴 요소의 위치가 미리 결정된 위치로 천이될 수 있고, 빔에 패턴을 부여하는 관점에서 로컬 투과 변동에 의해서 하나 이상의 패턴 요소가 다르게 동작하게 될 수 있다. 그러면, 패터닝 디바이스의 수정이 성공적으로 이루어졌는지 여부가 측정될 수 있다. 예를 들어, 이제 측정된 포지셔닝 오차가 미리 결정된 임계 아래라면, 패터닝 디바이스는 추가적으로 처리될 수 있고(예를 들어, 펠리클을 추가함) 또는 생산 과정에 직접적으로 사용될 수 있다.
일 실시예에서, 패터닝 디바이스 수정 툴(320)은 패터닝 디바이스의 패턴을 기록하는 툴을 포함한다. 예를 들어, 패터닝 디바이스의 패턴을 생성하기 위하여 e-빔 라이터(writer)가 사용될 수 있다. 본 명세서에서 설명되는 수정 정보는 패터닝 디바이스의 생성을 수정하기 위해서 이러한 툴로 제공될 수 있다. 이러한 경우에, 수정 정보는 패터닝 디바이스의 다른 복제본을 사용하거나 유사한 패터닝 디바이스를 사용하여 측정 및/또는 시뮬레이션 결과에 기초해서 결정될 수 있다. 이러한 데이터는 생성되는 중인 패터닝 디바이스의 측정된 데이터(예를 들어, 패터닝 디바이스를 생성할 때에 획득된 측정치)에 의해서 보충될 수 있다.
도 5 를 참조하면, 패터닝 디바이스 수정 방법의 일 실시예의 흐름도가 도시된다. 도 5 의 흐름도에서 실행되는 방법은 소프트웨어 애플리케이션(330)에 의해서 수행될 수 있다.
500 에서, 패터닝 내의 오차에 관련된 정보가 패터닝 시스템에 사용되기 위한 패터닝 디바이스에 대해서 획득된다. 일 실시예에서, 패터닝 오차는 패터닝 디바이스 레지스트레이션 오차에 추가하여, 또는 이와 다른 오차이다. 일 실시예에서, 오차의 일부는 패터닝 시스템(예를 들어, 패터닝 시스템(300)의 수정 장치에 의해서 정정가능하지 않다. 일 실시예에서, 패터닝 오차 정보는 측정 및/또는 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 패터닝 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 초점 정보, 및/또는 선량 정보 중에서 선택된 하나 이상을 포함한다.
510 에서, 오차 정보에 기초하여 패터닝 디바이스를 수정하기 위한 수정 정보가 생성된다. 일 실시예에서, 수정 정보는 오차 중 일부를, 패터닝 디바이스가 수정 정보에 따라 수정되는 경우 패터닝 시스템의 수정 장치에 대해서 정정가능한 오차로 변환한다. 일 실시예에서, 수정 정보는 패터닝 시스템의 수정 장치의 수정 범위에 기초하여 생성된다. 일 실시예에서, 수정 정보는 패터닝 디바이스 수정 툴(320)(예컨대, 도 4 에서 설명된 시스템과 동일하거나 유사한 시스템)에 의해 사용된다.
일 실시예에서, 510 에서, 패터닝 시스템의 수정 장치에 대한 수정 정보는 오차 정보 및 패터닝 디바이스를 수정하기 위한 수정 정보에 기초하여 생성되는데, 패터닝 시스템의 수정 장치에 대한 수정 정보는 수정된 패터닝 디바이스에 의해 생성된 정정가능 오차와 관련된 정보를 포함한다. 일 실시예에서, 패터닝 디바이스를 수정하기 위한 수정 정보 및 패터닝 시스템의 수정 장치를 조절하기 위한 수정 정보는 공동-최적화된다.
일 실시예에서, 510 에서, 수정 정보는, 패터닝 디바이스의 기판 내의 하나 이상의 유도된 로컬 변형 요소 및/또는 로컬 투과 변동을 패터닝 디바이스에 걸쳐서 공간적으로 분포시키는 레시피로 변환된다(520). 공간적으로 분포된 하나 이상의 유도된 로컬 변형 요소 및/또는 로컬 투과 변동은, 패터닝 오차의 일부를 패터닝 시스템(예를 들어, 패터닝 시스템(300)에 대한 정정가능 오차로 변환한다. 530 에서, 하나 이상의 유도된 로컬 변형 요소 및/또는 로컬 투과 변동이 패터닝 디바이스의 기판 내에서 생성된다. 일 실시예에서, 유도된 로컬 변형 요소 및/또는 로컬 투과 변동을 생성하는 것은, 도 4 에 대하여 전술된 바와 같이 기판의 재료 특성을 변경하기 위해서 레이저 펄스를 사용함으로써 유도된 로컬 밀도 및/또는 투과 변동을 생성하는 것을 포함한다. 그러면, 방법이 끝난다.
도 6 을 참조하면, 패터닝 오차 수정 방법의 일 실시예의 흐름도가 도시된다. 도 6 의 흐름도에서 실행되는 방법은 소프트웨어 애플리케이션(330)에 의해서 수행될 수 있다.
600 에서, 패터닝 디바이스에 관련된 제 1 패터닝 오차 정보가 획득된다. 일 실시예에서, 제 1 패터닝 오차 정보는 측정을 통해서 계측 장치(310)로부터 획득된다. 일 실시예에서, 제 1 패터닝 오차 정보는 시뮬레이션을 통하여 획득된다. 제 1 패터닝 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 초점 정보, 및/또는 선량 정보 중에서 선택된 하나 이상을 포함할 수 있다.
610 에서, 제 1 패터닝 오차 정보가 특정 공차 범위 안에 속하는지 여부가 결정된다. 제 1 패터닝 오차 정보가 해당 공차 범위 내에 있으면, 방법은 끝난다. 그렇지 않으면, 방법은 620 으로 진행한다.
620 에서, 패터닝 디바이스에 대한 제 1 수정 정보가 제 1 패터닝 오차 정보에 기초하여 생성된다. 제 1 수정 정보는, 패터닝 디바이스의 수정(예를 들어, 변형 수정)을 구현하도록 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)에 명령하거나 구현하게 한다. 630 에서, 제 1 수정 정보는 패터닝 디바이스 수정 툴로 전송된다.
640 에서, 선택적으로, 패터닝 시스템(예를 들어, 패터닝 시스템(300)에 대한 제 2 수정 정보가 제 1 패터닝 오차 정보 및 제 1 수정 정보에 기초하여 생성된다. 제 2 수정 정보는, 패터닝 시스템의 하나 이상의 수정 장치를 조절함으로써 패터닝 프로세스의 조절(예를 들어, 왜곡 정정)을 구현하도록 패터닝 시스템에게 명령하거나 구현하게 한다. 650 에서, 제 2 수정 정보는 패터닝 시스템으로 전송된다.
방법은 600 으로 복귀하는데, 이제 제 1 수정 정보에 따라서 수정된 패터닝 디바이스 및 제 2 수정 정보에 따라서 조절된 패터닝 시스템에 대해서 제 2 패터닝 오차 정보가 획득된다. 다음으로, 610 에서, 제 2 패터닝 오차 정보가 소정 공차 범위 내에 속하는지 여부가 결정된다. 제 2 패터닝 오차 정보가 공차 내에 속하지 않으면, 방법은 620 으로 진행하고, 여기에서 수정된 패터닝 디바이스에 대한 제 3 수정 정보가 제 2 패터닝 오차 정보에 기초하여 생성된다. 제 4 수정 정보는, 수정된 패터닝 디바이스의 수정(예를 들어, 변형 수정)을 구현하도록 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)에 명령하거나 구현하게 한다. 630 에서, 제 3 수정 정보는 패터닝 디바이스 수정 툴로 전송된다. 이와 유사하게, 제 2 패터닝 오차 정보 및 제 3 수정 정보에 기초한, 패터닝 시스템의 하나 이상의 수정 장치(예를 들어, 패터닝 시스템(300)에 대한 제 4 수정 정보가 생성되고 패터닝 시스템으로 전송될 수 있다. 패터닝 디바이스 및/또는 패터닝 시스템을 이렇게 반복적으로 수정하는 것은, 패터닝 오차 정보가 공차에 속할 때까지 계속될 수 있다.
일 실시예에서, 패터닝 디바이스 수정은 증분(increments)으로 이루어진다. 즉, 정정불가능 오차를 패터닝 시스템(300)에 의해 정정가능한 오차로 100%의 제 1 레벨로, 98% 이상으로, 95% 이상으로, 또는 90% 이상으로 변환시키는 수정 정보가 생성되고, 이것은 오차를 100%의 제 1 레벨로, 98% 이상으로, 95% 이상으로, 90% 이상으로 감소시킨다. 그러면, 이러한 수정 정보는, 수정 정보가 제 1 레벨보다 적은 제 2 레벨, 예를 들어 제 1 레벨의 95% 이하, 제 1 레벨의 90% 이하, 또는 제 1 레벨의 85% 이하로 정정하도록 재구성된다. 그러면, 패터닝 디바이스는 제 2 레벨에 대한 수정 정보에 따라 수정되고, 따라서 오차의 일부만이 정정된다. 그러면, 수정된 패터닝 디바이스 패터닝 시스템에 관련하여 추가적인 시뮬레이션 및/또는 측정 결과를 사용하여 평가되어, 제 1 레벨과 제 2 레벨 사이의 차를 감소시키기 위한 제 3 레벨에서의 추가적인 수정이 이루어진다. 이러한 방식으로, 예를 들어 과도정정이 회피될 수 있다. 예를 들어, 제 1 정정에서는 적절하게 처리될 수 없었으며 추가적인 정정(들)에서 처리될 수 있는, 패터닝 시스템 내의 장기 드리프트 및/또는 패터닝 시스템의 수정 장치의 세트 포인트와 수정 장치의 실제 성능 사이의 델타가 존재할 수 있다.
핫스폿은 결함이 생기거나 생길 수 있는 하나 이상의 패턴 피쳐를 포함하는 영역 또는 위치라고 불린다. 예를 들어, 핫스폿은 인접한 패턴 라인들이 서로 인접하지만 떨어지도록 이격되게 설계되지만, 서로 만나거나 만날 수 있는 곳인 영역 또는 위치일 수 있다. 핫스폿에 의해 생긴 결함(예를 들어, 서로 만난 패턴 라인들)은 디바이스의 고장 또는 심각한 전기적 문제를 야기할 수 있다. 핫스폿이 생기는 근본적인 이유에는, 초점 천이, 선량 천이, 조명 변화, 광수차 등에 기인한 파면 변화 등이 포함될 수 있다. 예를 들어 리소그래피 이미징 시스템 내의 핫스폿을 수리하기 위한 한 가지 솔루션은, 리소그래피 이미징 시스템의 선량 및/또는 초점을 조절하는 것에 의한 것일 수 있다. 하지만, 패터닝 시스템의 수정 장치의 공간 주파수 분해능이 제한되기 때문에, 이러한 솔루션(또는 다른 솔루션들)은 핫스폿과 연관된 오차를 정확하거나 완전하게 정정할 수 없을 수 있다.
그러므로, 도 7 을 참조하면, 핫스폿 제어 방법의 일 실시예의 흐름도가 도시된다. 도 7 의 흐름도에서 수행되는 방법은 핫스폿과 연관된 오차를 감소시키거나 제거하기 위해서 소프트웨어 애플리케이션(330)에 의해 수행될 수 있다. 700 에서, 제 1 기판의 소정 영역에 제공된 제 1 패턴의 측정 결과, 및/또는 제 1 기판의 해당 영역으로 제공될 제 1 패턴의 시뮬레이션 결과가 획득된다. 제 1 패턴은 패터닝 시스템(예를 들어, 패터닝 시스템(300) 내의 패터닝 디바이스를 사용하여 제공되거나 제공될 것이다. 일 실시예에서, 제 1 기판의 영역 상에 있는 제 1 패턴의 측정 결과가 계측 장치(310)로부터 획득된다.
710 에서, 제 1 기판의 이러한 영역이 핫스폿을 포함하는지 여부가 제 1 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 결정된다. 일 실시예에서, 핫스폿은, 패터닝 프로세스 내의 패턴(또는 그 일부)의 프로세스 윈도우를 제한하는 역할을 하는 패턴의 하나 이상의 패턴 피쳐(또는 그 일부)를 식별함으로써, 패터닝 프로세스 수학적 시뮬레이션에 의해 식별된다. 패턴 내의 피쳐(또는 그 일부)는 상이한 프로세스 윈도우(즉, 피쳐가 사양 내에서 생성될 처리 파라미터(예를 들어 선량 및 초점)의 공간)를 가질 수 있다. 잠재적으로 생길 수 있는 시스템적 결함에 관련된 사양의 예에는, 네킹(necking), 라인 풀백(line pull back), 라인 박형화, CD, 에지 배치, 중첩, 레지스트 상단 손실, 레지스트 언더컷 및/또는 브리징(bridging)에 대한 체크가 있다. 각각의 개개의 피쳐의 프로세스 윈도우를 병합(예를 들어, 중첩)함으로써 패턴(또는 그 일부) 내의 모든 피쳐의 프로세스 윈도우가 획득될 수 있다. 모든 피쳐의 프로세스 윈도우의 경계는 개개의 피쳐 중 일부의 프로세스 윈도우의 경계를 포함한다. 모든 피쳐의 프로세스 윈도우의 경계를 규정하는 이러한 개개의 피쳐가 모든 피쳐의 프로세스 윈도우를 제한한다; 이러한 피쳐들이 "핫스폿"으로서 식별될 수 있다. 제 1 기판의 해당 영역이 핫스폿을 포함한다고 결정되면, 방법은 720 으로 진행한다. 그렇지 않으면, 방법은 끝난다.
720 에서, 핫스폿에서의 제 1 오차 정보가 결정된다. 일 실시예에서, 제 1 오차 정보는, 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다.
730 에서, 패터닝 디바이스에 대한 제 1 수정 정보가 제 1 오차 정보에 기초하여 생성되어 수정된 패터닝 디바이스를 얻는다. 일 실시예에서, 제 1 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 초점 정보, 및/또는 선량 정보 중에서 선택된 하나 이상을 포함한다. 일 실시예에서, 제 1 오차는 패터닝 시스템에 의한 제 1 정정불가능 오차를 포함한다.
740 에서, 수정 정보 및 패터닝 디바이스는 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)로 전송하여 제 1 수정 정보에 기초하여 패터닝 디바이스를 수정한다. 일 실시예에서, 제 1 정정불가능 오차는 패터닝 시스템의 하나 이상의 수정 장치에 의하여, 제 1 수정 정보에 따라서 패터닝 디바이스를 수정함으로써, 정정가능 오차로 변환된다. 일 실시예에서, 수정된 패터닝 디바이스의 정정가능 오차를 정정하기 위한, 패터닝 시스템의 하나 이상의 수정 장치에 대한 패터닝 시스템 수정 정보가 생성되고, 패터닝 시스템으로 전송되어 패터닝 시스템 수정 정보에 의해 표현되는 정정을 구현한다. 그러면, 수정된 패터닝 디바이스는 생산 시에 사용될 수 있다.
또는, 방법은 700 으로 복귀하여, 제 2 기판의 어떤 영역으로 제공되는 제 2 패턴의 측정 결과, 및/또는 제 2 기판의 해당 영역으로 제공될 제 2 패턴에 대한 시뮬레이션 결과가 획득된다. 제 2 패턴은 패터닝 시스템(예를 들어, 패터닝 시스템(300) 내의 수정된 패터닝 디바이스를 사용하여 제공되거나 제공될 것이다. 일 실시예에서, 제 2 기판의 영역 상에 있는 제 2 패턴의 측정이 계측 장치(310)로부터 획득된다. 일 실시예에서, 제 2 기판은 재작업(reworking)된 이후의 제 1 기판이다. 일 실시예에서, 제 2 기판은 다른 기판이다.
710 에서, 제 2 기판의 이러한 영역이 핫스폿을 포함하는지 여부가 제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 결정된다. 제 2 기판의 해당 영역이 핫스폿을 포함한다고 식별되면, 방법은 720 으로 진행한다. 그렇지 않으면, 방법은 끝난다.
720 에서, 핫스폿이 있는 제 2 기판의 영역에서의 제 2 오차 정보가 결정된다. 일 실시예에서, 제 2 오차 정보는, 패터닝 시스템 내의 수정된 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 수정된 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 의한 제 2 정정가능 오차를 포함한다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 의한 제 2 정정불가능 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 초점 정보, 및/또는 선량 정보 중에서 선택된 하나 이상을 포함한다.
730 에서, 수정된 패터닝 디바이스에 대한 제 2 수정 정보가 제 2 오차 정보에 기초하여 생성된다. 일 실시예에서는, 740 에서, 제 2 수정 정보 및 수정된 패터닝 디바이스가 정정된 패터닝 디바이스를 제 2 수정 정보에 따라서 수정하기 위해서 패터닝 디바이스 수정 툴로 전송된다. 일 실시예에서, 제 2 정정불가능 오차는 패터닝 시스템의 하나 이상의 수정 장치에 의하여, 제 1 수정 정보에 따라서 패터닝 디바이스를 수정함으로써, 정정가능 오차로 변환된다. 일 실시예에서, 수정된 패터닝 디바이스의 정정가능 오차를 정정하기 위한, 패터닝 시스템의 하나 이상의 수정 장치에 대한 패터닝 시스템 수정 정보가 생성되고, 패터닝 시스템으로 전송되어 패터닝 시스템 수정 정보에 의해 표현되는 정정을 구현한다. 그러면 방법은 선택적으로 700 으로 복귀한다. 이러한 반복적 수정은 하나 이상의 핫스폿과 연관된 오차가 공차 범위 내에 속할 때까지 계속된다.
일 실시예에서, 패터닝 디바이스 수정은, 쉐이딩/산란 요소를 패터닝 디바이스 기판에 추가하여 패터닝 디바이스를 통과하는 방사선을 제어하고 따라서 선량을 제어하는 것을 포함한다. 일 실시예에서, 패터닝 디바이스 수정은 패터닝 디바이스를 통과하는 방사선의 초점에 대한 패터닝 디바이스 기판의 Z 변형을 포함한다.
일 실시예에서, 패터닝 디바이스 수정은 조명 퓨필을 변경하는 것을 포함한다. 즉, 패터닝 디바이스 기판에 대한 Z 변형의 정도에 따라서 조명 퓨필 내에 블러가 생길 수 있는데, 이것이, 예를 들어 투영 시스템 내의 수차를 보상할 수 있다.
도 8 을 참조하면, 패터닝 시스템의 수정 장치에 의해서 패터닝 프로세스에 이루어지는 수정의 예시적인 그래프가 도시된다. 수평 축은 시간을 나타내고, 수직 축은 수정의 파라미터를 나타낸다. 일 실시예에서, 파라미터는 패터닝 시스템의 수정 장치가 패터닝 프로세스에 적용하는 수정(예를 들어, 오차 정정)을 규정하는, 패터닝 시스템의 수정 장치의 파라미터이다. 예를 들어, 파라미터는 모델 1 또는 2 의 파라미터일 수 있다. 그러므로, 일 실시예에서, 그래프는 패터닝 시스템의 수정 장치에 의한 예시적인 수정 또는 오차 정정(810)을 시간에 걸쳐 도시한다. 도 8 에 도시된 바와 같이, 패터닝 시스템(예를 들어, 패터닝 시스템(300)의 수정 장치의 수정 범위는 수정 하한(840) 및 수정 상한(820) 사이에 있다. 오차 정정(810)은 시변 효과, 예컨대 투영 시스템 온도상승 및/또는 패터닝 디바이스 온도상승에 기인하여 시간이 지남에 따라서 증가한다. 수정(810)은 시간 t0까지는 수정 범위 내에 남아 있다. 시간 t0 이후에는 수정은 이러한 경우에는 패터닝 시스템의 수정 장치의 수정 상한(820)을 초과한다. 결과적으로, 잔차 정정 오차(830)가 도입된다. 잔차 정정 오차는 수정 상한(820)과 시간 t0 이후에 생성되는 수정(810) 사이의 차이일 수 있다. 일 실시예에서, 잔차 정정 오차(830)는 패터닝 시스템의 하나 이상의 수정 장치를 조절해서는 정정될 수 없고, 시간이 지남에 따라서 계속 증가할 수 있다. 잔차 정정 오차(830)는 패터닝 프로세스의 파라미터에 있는 오차이거나 이것을 나타낼 수 있다. 예를 들어, 잔차 정정 오차(830)는 오버레이 오차 페널티(penalty)이거나 이것을 나타낼 수 있다. 즉, 일 실시예에서, 오차 정정(810)은 오버레이 오차의 큰 부분을 정정하지만, "클리핑"(즉, 요구되는 정정(810)이 패터닝 시스템의 수정 장치의 수정 한계를 지나감) 때문에, 오버레이 오차 중 일부는 정정되지 않고, 즉 오버레이 페널티가 생긴다.
일 실시예에서, 패터닝 시스템의 수정 장치의 잔차 정정 오차(830)를 제거하지는 않더라도 감소시키기 위하여 적합한 오차 오프셋이 적용되어, 오차 오프셋과 오차 정정(810)의 조합이 패터닝 시스템의 수정 장치의 오차 정정 범위 내에 있게 하거나, 적어도 오차 오프셋이 없는 경우보다는 오차 정정 범위 내에 더 오랜 기간 머물게 한다.
도 9 를 참조하면, 오차 오프셋과 결합된 오차 정정의 예시적인 그래프가 도시된다. 이러한 예에서는 음의 오차 오프셋(930)이 적용된다. 음의 오차 오프셋(930)을 적용한 후에, 오차 정정(810)(즉, 오차 오프셋이 없음)과 음의 오차 오프셋(930)의 조합이 결과적으로 얻어지는 오차 정정(910)으로서 도시된다. 도 9 에 도시된 바와 같이, 결과적으로 얻어지는 오차 정정(910)은 패터닝 시스템의 수정 장치의 오차 정정 범위 내에 연장된 기간(즉, 적어도 오차 오프셋이 없는 것보다는 더 긴 기간) 동안 머무른다. 일 실시예에서, 이러한 기간 적어도 패터닝 디바이스가 단일 기판 상에 패턴을 인쇄하기 위한 시간만큼 길다. 일 실시예에서, 결과적으로 얻어지는 오차 정정(910)은 오차 정정 범위를 "클리핑"하지 않는다. 결과적으로 얻어지는 오차 정정(910)은 시간이 지남에 따라서 변하고, 이러한 정정은 동적 정정이라고 불릴 수 있다(그리고 동적 오차를 정정하기 위해서 사용됨). 도 8 및 도 9 가 상대적으로 연속적이고 상대적으로 부드러운 오차 정정(810, 910)을 보여주는 반면에 오차 정정은 반드시 그래야 할 필요는 없으며, 불연속적일 수도 있다(예를 들어, 복수 개의 불연속성을 포함하는 계단식 오차 정정).
동적 정정을 위해서 오차 오프셋(이러한 음의 오차 오프셋(930)을 도입하기 위하여 다양한 방법이 수행될 수 있다. 예를 들어, 일 실시예에서, 오차 오프셋은 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)을 사용하여 패터닝 디바이스를 수정함으로써 도입된다. 일 실시예에서, 추가적으로 또는 대안적으로, 오차 오프셋은, 예를 들어 오차 정정(810)을 적용하는 다운스트림 수정 장치와 함께 사용되기 위한 패터닝 시스템 내의 다른 수정 장치, 예컨대 조절 메커니즘(AM), 트랙 수정 장치 등에 의해서 도입된다.
일 실시예에서, 오차 정정(810)은 처음에 오차 정정 범위를 벗어난다(예를 들어, 수정 상한(820)을 넘거나 수정 하한(840) 아래임). 이것은 정정 오차라고 불릴 수 있다. 이러한 경우에, 오차 정정을 패터닝 시스템의 수정 장치의 오차 장정 범위 내로 보내기 위해서 적절한 오차 오프셋이 도입될 수 있다. 동적 오차에 대한 경우와 유사하게, 일 실시예에서, 오차 오프셋은, 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)을 사용하여 패터닝 디바이스를 수정함으로써 및/또는, 예를 들어 오차 정정(810)을 적용하는 다운스트림 수정 장치와 함께 사용되기 위한 패터닝 시스템 내의 다른 수정 장치, 예컨대 조절 메커니즘(AM), 트랙 수정 장치 등에 의해서 도입된다. 일 실시예에서 정적 오차는 동적 오차와 결합되고, 따라서 오차 오프셋은 정적 오차 및 전부는 아니더라도 동적 오차의 적어도 일부를 고려할 필요가 있게 될 것이다.
도 10 을 참조하면, 오차 오프셋을 결합함에 의한 오차 수정 방법의 일 실시예의 흐름도가 도시된다. 도 10 의 흐름도에서 실행되는 방법은 소프트웨어 애플리케이션(330)에 의해서 수행될 수 있다. 1000 에서, 패터닝 디바이스를 수반하는 패터닝 프로세스에 대한 패터닝 오차 정보가 획득된다. 일 실시예에서, 패터닝 오차 정보는 측정 및/또는 시뮬레이션에 의하여 획득된다. 일 실시예에서, 패터닝 오차 정보는 오버레이 오차 및/또는 패터닝 디바이스 레지스트레이션 오차를 포함한다.
1010 에서, 패터닝 오차 정보에 기초하여, 지정된 기간 동안(예를 들어, 처음에, 특정한 유한 시간에 걸쳐서, 또는 언제나) 패터닝 오차가 패터닝 시스템(예를 들어, 패터닝 시스템(300)의 수정 장치의 특정 수정 범위(예를 들어, 수정 상한(820)과 수정 하한(840) 사이) 내에서 정정가능한지 여부가 결정된다. 패터닝 오차가 지정된 기간 동안의 정정 범위 내에서 정정가능하지 않다고 결정되면, 방법은 1020 으로 진행한다. 그렇지 않으면, 방법은 끝난다.
1020 에서, 패터닝 시스템의 수정 장치에 대한 패터닝 오차 오프셋이 패터닝 오차 정보에 기초하여 결정된다. 패터닝 오차 오프셋은, 패터닝 오차 오프셋과 패터닝 오차의 이러한 조합이 적어도 지정된 기간 동안에 패터닝 시스템의 수정 장치의 수정 범위 내에서 정정하능하게 되도록 선택된다.
일 실시예에서, 패터닝 디바이스에 대한 제 1 수정 정보는 1030 에서 패터닝 오차 오프셋에 기초하여 생성된다. 제 1 수정 정보에 따라서 정정된 패터닝 디바이스가 패터닝 시스템 내에서 사용된 이후에, 패터닝 오차 오프셋의 적어도 일부가 패터닝 오차와 결합된다.
일 실시예에서, 제 1 수정 정보에 추가적으로 또는 그 대신에, 패터닝 시스템 내의 하나 이상의 수정 장치에 대한 제 2 수정 정보가 1030 에서 패터닝 오차 오프셋에 기초하여 생성된다. 제 2 수정 정보에 따라서 조절된 패터닝 시스템의 하나 이상의 수정 장치가 패터닝 시스템 내에서 사용된 이후에, 패터닝 오차 오프셋의 적어도 일부가 패터닝 오차와 결합된다.
일 실시예에서, 하나 이상의 수정 장치는 조절기(AD), 조절 메커니즘(AM), 포지셔닝 시스템(PW), 및/또는 트랙 내에 있는 수정 장치를 포함한다. 일 실시예에서, 수정 정보는 패터닝 시스템의 복수 개의 수정 장치에 대해서 생성되는데, 이들이 결합하여 패터닝 오차 오프셋 또는 다른 정정의 전부 또는 일부를 제공한다.
따라서, 일 실시예에서, 패터닝 시스템의 하나 이상의 수정 장치의 전체 범위를 개선하기 위해서 패터닝 오차 오프셋이 제공될 수 있다. 특히, 일 실시예에서, 패터닝 디바이스 정정(또는 다른 수정 장치에 의해 이루어지는 정정)은, 동적 패터닝 오차에 노출되는 경우(예를 들어, 리소그래피 장치를 생산 도중에 시스템 및/또는 패터닝 디바이스의 온도가 상승되는 동안) 패터닝 시스템의 수정 장치의 이용가능한 범위가 사용될 수 있도록 구현될 수 있다. 일 예로서, 패터닝 디바이스 오프셋은 상이한 새로운 세트-포인트를 향한 모델 1 및/또는 2 의 특정한 k-파라미터에 대한 오프셋으로서 도입되어, 패터닝 오차가 해당 세트-포인트 주위에서 수정 장치 범위 내에 머무르도록 할 수 있다. 이러한 수정 정보는, 하나 이상의 패터닝 프로세스 파라미터(예를 들어, 오버레이) 및 패터닝 오차를 정정할 수 있는 패터닝 시스템의 연관된 하나 이상의 수정 장치에 대한 알려진 효과의 지식으로부터 유도될 수 있다(예를 들어, 오차가 투영 시스템의 온도상승으로부터 유도되면, 조절 메커니즘(AM)이 사용될 수 있음).
일 실시예에서, 추가적으로 또는 대안적으로, 패터닝 디바이스에 대한 수정 정보는, 안정 / 정적인 것으로 알려진 패터닝 시스템의 하나 이상의 수정 장치에 의해 정정가능한 오차를 제거하기 위해서 사용된다. 따라서, 패터닝 시스템의 하나 이상의 수정 장치는 동적 변화 / 변동을 정정하기 위해 사용될 수 있다.
일 실시예에서, 수정 정보는, 패터닝 시스템의 수정 장치에 의해 정정가능하지 않은 필드내 잔차 오차를 효과적으로 감소시키거나 및/또는 패터닝 시스템 내의 수정 장치에 의해 정정가능한 필드내 오차 지문을 유도할 수 있다. 이러한 수정 정보는 패터닝 디바이스 및/또는 패터닝 시스템의 하나 이상의 다른 수정 장치에 대한 수정일 수 있다. 일 실시예에서, 필드내 오차 지문에 대응하는 패터닝 시스템의 하나 이상의 수정 장치에 대한 수정 정보가 제공된다.
일 실시예에서, 패터닝 오차의 정정의 일부분은 패터닝 시스템의 수정 장치들 사이에서 또는 패터닝 디바이스 수정과 패터닝 시스템의 하나 이상의 수정 장치들 사이에서 천이될 수 있다. 예를 들어, 패터닝 시스템의 수정 장치에 의해 정정가능한 오차의 적어도 일부는 패터닝 디바이스 수정에 의해 정정되도록 천이될 수 있다. 예를 들어, 패터닝 시스템의 수정 장치에 의해 정정불가능한 오차의 적어도 일부는 패터닝 디바이스 수정에 의해 정정되도록 천이되고 정정가능한 나머지를 남길 수 있다. 다른 예로서, 특정 수정 장치에 의해 정정가능한 오차의 적어도 일부는 다른 수정 장치에 의해서 정정되도록 천이될 수 있다(오차의 적어도 일부를 패터닝 디바이스 수정으로 천이하는 것을 통하는 것을 포함함). 다른 예로서, 수정 장치에 의해 정정불가능한 오차의 적어도 일부는 패터닝 디바이스 수정에 의하여 및/또는 다른 수정 장치에 의하여 정정되도록 변환될 수 있다. 일 예로서, 모델 1 또는 2 의 다른 k 항이 패터닝 시스템의 수정 장치에 의해 정정될 수 있도록, 모델 1 또는 2 의 특정한 k 항의 일부 정정은 패터닝 디바이스 수정에 의해서 이루어질 수 있다.
일 실시예에서, 최적화는 최저 필드내 잔차(예를 들어, 최저 오버레이 오차 잔차 및/또는 최저 초점 오차 잔차)를 얻는 것이 목적이다. 일 실시예에서, 최적화는, 패터닝 디바이스 수정 툴을 사용한 패터닝 디바이스의 수정에 의해서 가능한 공간 주파수 정정의 범위 및/또는 패터닝 시스템의 하나 이상의 수정 장치에 의해서 가능한 공간 주파수 정정의 범위를 규정하는 정보를 사용한다(예를 들어, 이러한 정보는 모든 수정 장치에 대해서 또는 개개의 개별 수정 장치 또는 수정 장치들의 그룹에 대해서 규정될 수 있다). 일 실시예에서, 공간 주파수 정보는 상이한 방향(예를 들어, x 방향, y 방향 등)에 대해서 규정된다.
패터닝 디바이스가 클램핑, 온도상승, 및 패터닝 시스템 내의 패터닝 디바이스에 적용되는 다른 조건 때문에 크랙될 수 있다는 것이 발견되었다. 예를 들어, 수정은 패터닝 디바이스 또는 패터닝 프로세스에 있는 오차를 정정하기 위해서 본 명세서에서 설명된 바와 같이 패터닝 디바이스에 이루어질 수 있다. 일 실시예에서, 이러한 수정은 패터닝 디바이스내의 재료 특성 변화(예를 들어, 패터닝 디바이스의 변형을 수반할 수 있는 로컬 변형 요소 및/또는 로컬 투과 변동)를 유도하는 것을 수반한다. 하지만, 이러한 수정이 패터닝 디바이스에 크랙이 생기게 하지 않을 수도 있지만, 패터닝 시스템 내의 패터닝 디바이스에 추가적인 조건(예컨대 클램핑, 온도상승 등)이 인가되면, 패터닝 디바이스에 크랙이 생기게 할 수 있거나 생기게 한다는 것이 알려졌다. 따라서, 본 명세서에서 설명된 바와 같은 패터닝 디바이스의 수정은 모르는 사이에 크래킹이 발생될 위험성을 높일 수 있다. 그러면, 예를 들어 고가의 패터닝 디바이스 자체의 고비용의 손상, 패터닝 시스템 내의 오염, 고장시간 및 보수/교체하기 위한 시간 등이 발생할 수 있다.
따라서, 일 실시예에서, 패터닝 시스템의 동작에 대한 지식 및/또는 패터닝 시스템 모델이 실제 또는 의도된 패터닝 디바이스 수정과 함께, 패터닝 디바이스의 실제 또는 예측된 크래킹의 표시를 하기 위해서 사용된다. 일 실시예에서, 패터닝 시스템 동작에 대한 지식은 패터닝 시스템 내의 패터닝 디바이스의 온도 및/또는 변형 측정을 포함한다. 일 실시예에서, 패터닝 시스템 모델은 패터닝 시스템 내의 패터닝 디바이스의 기대된 온도 및/또는 변형의 모델을 포함한다. 일 실시예에서, 이러한 모델은 경험적인 측정치에 기초하고 및/또는 제 1 원리에 기초하여 계산된다(예를 들어, 패터닝 디바이스 상의 방사선의 공간적 분포, 방사선의 에너지, 슬릿 프로파일 등에 기초하여 계산되고 및/또는 클램핑 압력에 기초하여 계산되며 및/또는 패터닝 시스템 내의 진동에 기초하여 계산되고 및/또는 펠리클로부터의 스트레스 등에 기초하여 계산된다). 패터닝 시스템 정보는 사용 중에(또는 고장시간으로부터) 얻어진 측정치로부터, 패터닝 시스템 셋팅으로부터, 패터닝 시스템 캘리브레이션 등으로부터 획득될 수 있다. 일 실시예에서, 실제 또는 의도된 패터닝 디바이스 수정은 패터닝 디바이스 내의 재료 특성 변화의 공간적 위치 정보를 포함한다.
일 실시예에서, 예를 들어 패터닝 디바이스 수정으로부터 발생되는 왜곡 프로파일은 패터닝 시스템에 기인한 패터닝 디바이스의 왜곡 프로파일과 결합되어(예를 들어, 합산되어) 결합된 왜곡 프로파일을 얻을 수 있다. 예를 들어, 패터닝 시스템 동작에 대한 지식 및/또는 패터닝 시스템 모델이 실제 또는 의도된 패터닝 디바이스 수정과 함께, 패터닝 디바이스 내의 압박(strain) 또는 스트레스의 공간적 분포를 얻기 위해서 사용될 수 있다. 공간적 분포 또는 프로파일은 2-차원 또는 3-차원일 수 있다. 더 나아가, 공간적 분포 또는 프로파일은 시간에 따라 변할 수 있다.
그러면, 크래킹의 측정은 왜곡 프로파일을 평가함으로써(예를 들어, 압박 또는 스트레스의 공간적 분포를 평가함으로써) 결정될 수 있다. 예를 들어, 크래킹은 압박 또는 스트레스가 특정 임계를 초과할 때에 발생할 수 있다. 일 실시예에서, 패터닝 시스템 동작에 대한 지식 및/또는 패터닝 시스템 모델은, 크래킹의 시간이 예측될 수 있도록 온도 및/또는 변형의 공간적 분포에 대한 시간적 정보를 포함한다.
크래킹이 예측되면, 하나 이상의 측정이 수행될 수 있다. 일 실시예에서, 패터닝 프로세스 내의 하나 이상의 단계는 패터닝 디바이스의 스트레스 또는 압박을 줄이도록 변경된다. 일 예로서, 냉각 기간이 도입되거나 연장될 수 있고 및/또는 방사선의 세기가 변경될 수 있다. 다른 예로서, 클램핑 압력이 소정 시간 기간 동안에 감소되거나 완화될 수 있다. 일 실시예에서, 패터닝 디바이스의 수정은 패터닝 디바이스에 적용되기 전에 변경되거나, 패터닝 디바이스의 추가적인 수정이 이루어진다. 일 실시예에서, 크래킹 위험이 감소되거나 없어지도록, 패터닝 시스템의 수정 장치에 의해 이루어지는 수정은 패터닝 디바이스 수정 툴을 사용하여 패터닝 디바이스에 이루어지는 수정과 공동-최적화된다. 일 실시예에서, 수정 장치의 조절이 아닌 조절(예를 들어, 냉각 기간을 추가하는 것)은 패터닝 시스템의 수정 장치에 의해 이루어지는 수정 및 패터닝 디바이스 수정 툴을 사용하여 패터닝 디바이스에 이루어지는 수정과 공동-최적화된다. 일 실시예에서, 공동-최적화는, 지정된 시간 기간(예를 들어, 유한한 양의 시간, 모든 시간 등) 동안의 총 패터닝 디바이스 변형이 패터닝 디바이스 크래킹 임계 내에 있도록 이루어진다.
그러므로, 일 실시예에서, 패터닝 프로세스에서의 패터닝 디바이스 변형과 관련된 정보를 패터닝 디바이스 수정 툴에 의해 이루어지는 패터닝 디바이스 수정에 관련된 정보와 결합하면, 크래킹이 일어나는 것을 예측할 수 있다. 더 나아가, 일 실시예에서, 패터닝 시스템 내의 총 패터닝 디바이스 변형이 크래킹 임계 내에 있도록 하기 위해서, 패터닝 프로세스에서의 하나 이상의 변화, 패터닝 디바이스의 수정, 및/또는 패터닝 디바이스의 수정 장치의 조절이 사용된다.
위에서 언급된 바와 같이, 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)에 의해 수정된 후에, 패터닝 디바이스는 패터닝 시스템(예를 들어, 패터닝 시스템(300) 내에서 사용되는 중에 크랙될 위험성이 더 높아진다. 그러므로, 도 11 을 참조하면, 패터닝 디바이스 크래킹 방지 방법의 일 실시예의 흐름도가 도시된다. 도 11 의 흐름도에서 실행되는 방법은 소프트웨어 애플리케이션(330)에 의해서 수행될 수 있다.
1100 에서, 패터닝 디바이스의 수정 정보가 획득된다. 일 실시예에서, 수정 정보는 수정의 공간적 분포 정보를 포함한다. 일 실시예에서, 수정 정보는 패터닝 프로세스에 대한 패터닝 디바이스에게 패턴 수정 툴에 의해서 이루어지거나 이루어질 수정을 기술한다.
1110 에서, 패터닝 시스템 내에서 발생되는 패터닝 디바이스의 온도 및/또는 변형 공간적 분포가 획득된다. 일 실시예에서, 패터닝 디바이스의 온도 및/또는 분포는 모델로부터(예를 들어, 시뮬레이션을 통해) 및/또는 측정에 의해 획득된다.
1120 에서, 패터닝 디바이스의 크래킹 동작은 패터닝 디바이스의 수정 정보에 기초하여 그리고 패터닝 디바이스의 온도 및/또는 변형의 공간적 분포에 기초하여 예측된다. 일 실시예에서, 단계 1120 은 단계 1124 및 단계 1128 을 포함할 수 있다. 1124 에서, 패터닝 디바이스의 스트레스 또는 압박 맵이 패터닝 디바이스의 수정 정보에 기초하여 그리고 패터닝 프로세스 내의 패터닝 디바이스의 온도 및/또는 변형의 공간적 분포에 기초하여 결정된다. 1128 에서, 크래킹의 척도가 패터닝 디바이스의 스트레스 또는 압박 맵에 기초하여 결정된다.
1130 에서, 크래킹의 척도가 패터닝 디바이스 크랙 임계를 넘는 것에 응답하여, 패터닝 디바이스가 크랙될 것으로 예측된다는 것이 결정된다. 일 실시예에서, 크래킹의 척도는 패터닝 디바이스 크랙 임계를 넘어가는지 여부가 평가되는 대상인 크래킹 개수를 포함한다. 패터닝 디바이스가 크랙될 것으로 예측되면, 방법은 1140 으로 진행한다. 그렇지 않으면, 패터닝 디바이스는 크랙되지 않을 것으로 예측되고, 방법은 끝난다.
1140 에서, 크래킹 위험을 없애지는 않더라도 줄이기 위해서 하나 이상의 조치가 취해진다. 일 실시예에서, 패터닝 프로세스 내의 하나 이상의 단계는 패터닝 디바이스의 스트레스 또는 압박을 줄이도록 변경된다. 일 예로서, 냉각 기간이 도입되거나 연장될 수 있다. 다른 예로서, 클램핑 압력이 소정 시간 기간 동안에 감소되거나 완화될 수 있다. 일 실시예에서, 패터닝 디바이스의 수정은 패터닝 디바이스에 적용되기 전에 변경되거나, 패터닝 디바이스의 추가적인 수정이 이루어진다. 일 실시예에서, 크래킹 위험이 감소되거나 없어지도록, 패터닝 시스템의 수정 장치에 의해 이루어지는 수정은 패터닝 디바이스 수정 툴을 사용하여 패터닝 디바이스에 이루어지는 수정과 공동-최적화된다. 일 실시예에서, 수정 장치의 조절이 아닌 조절(예를 들어, 냉각 기간을 추가하는 것)은 패터닝 시스템의 수정 장치에 의해 이루어지는 수정 및 패터닝 디바이스 수정 툴을 사용하여 패터닝 디바이스에 이루어지는 수정과 공동-최적화된다. 일 실시예에서, 공동-최적화는, 지정된 시간 기간(예를 들어, 유한한 양의 시간, 모든 시간 등) 동안의 총 패터닝 디바이스 변형이 패터닝 디바이스 크래킹 임계 내에 있도록 이루어진다.
일 실시예에서, 단계 1140 은, 크래킹의 위험성을 패터닝 디바이스 크래킹 임계 내로 유지하기 위해서 패터닝 디바이스를 수정하도록 패터닝 디바이스 수정 툴에게 명령하는 제 1 수정 정보를 생성하는 것을 포함한다. 일 실시예에서, 제 1 수정 정보는 공동-최적화에 기초한다. 일 실시예에서, 제 1 수정 정보는 패터닝 디바이스 수정 툴로 전송된다. 일 실시예에서, 추가적으로 또는 대안적으로, 단계 1140 은 패터닝 시스템의 하나 이상의 수정 장치에 의한 조절을 구현하도록 패터닝 시스템에게 명령하는 제 2 수정 정보를 생성하는 것을 더 포함한다. 일 실시예에서, 제 2 수정 정보는 공동-최적화에 기초한다. 일 실시예에서, 제 2 수정 정보는 패터닝 시스템의 하나 이상의 수정 장치로 전송된다.
그러면 방법은 1120 으로 복귀한다. 반복적인 수정 방법은 크래킹의 척도가 패터닝 디바이스 크래킹 임계 내에 있을 때까지 계속될 수 있다.
도 12 를 참조하면, 패터닝 디바이스 크래킹 방지 방법의 일 실시예의 흐름도가 도시된다. 도 12 의 흐름도에서 수행되는 방법은 패터닝 디바이스 크래킹 방지를 위해서 노광 도중에 패터닝 시스템(300)에 의해 수행될 수 있다. 1210 에서, 패터닝 시스템 내의 패터닝 디바이스의 공간적 온도 및/또는 변형 분포가 결정된다. 일 실시예에서, 패터닝 디바이스의 공간적 온도 및/또는 변형 분포는 패터닝 시스템(예를 들어, 패터닝 시스템(300) 내의 온도 및/또는 변형 센서에 의해서 결정된다. 일 실시예에서, 패터닝 디바이스의 공간적 온도 및/또는 변형 분포는 패터닝 디바이스의 표면 상의 또는 그에 가까운 복수 개의 위치에서의 온도 및/또는 변형의 측정치들에 기초하여 유도된다. 일 실시예에서, 패터닝 디바이스는 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)에 의해 정정되었다.
1220 에서, 패터닝 디바이스의 크래킹 동작에 대한 예측이 온도 및/또는 변형 분포에 기초하여 획득된다. 일 실시예에서, 패터닝 시스템은 패터닝 디바이스의 온도 및/또는 변형 분포를 소프트웨어 애플리케이션(330)으로 전송한다. 패터닝 시스템은 또한, 패터닝 디바이스의 크래킹 동작의 예측을 소프트웨어 애플리케이션(330)으로부터 얻어진 패터닝 디바이스의 온도 및/또는 변형 분포 및 패터닝 디바이스에 대한 수정 정보에 기초하여 얻는다.
1230 에서, 패터닝 디바이스가 크랙되었다거나 크랙될 것이라는 표시에 응답하여, 패터닝 시스템에서 패터닝 디바이스를 사용하는 것이 방지된다. 선택적으로, 1240 에서, 패터닝 시스템 내의 패터닝 디바이스가 사용되는 것을 방지한 이후에, 패터닝 디바이스가 수정되기 위해서 패터닝 디바이스 수정 툴로 전송된다.
패터닝 시스템(예를 들어, 패터닝 시스템(300) 및 패터닝 디바이스 양자 모두가 패터닝 시스템 및 패터닝 디바이스로 기판을 생산하는 데에 있어서 생기는 오차에 기여할 수 있다. 패터닝 시스템 및 패터닝 디바이스 조합을 선택하면, 예를 들어 패터닝 시스템에 대한 정정가능 오차 및 정정불가능 오차의 크기가 결정된다. 그러므로, 패터닝 시스템 및 패터닝 디바이스의 최적의 조합을 제공하기 위한 방법이 제공된다.
도 13 을 참조하면, 패터닝 디바이스간 매칭 방법의 일 실시예의 흐름도가 도시된다. 일 실시예에서, 패터닝 디바이스간 매칭은 상이한 패터닝 디바이스들을 동일한 패터닝 시스템을 사용하여 적격판정(qualifying)하는 것을 수반한다. 도 13 의 흐름도에서 수행되는 방법은 소프트웨어 애플리케이션(330)에 의해서 수행될 수 있다.
1300 에서, 패터닝 시스템 내의 제 1 패터닝 디바이스에 의하여 제공되는 제 1 패턴의 측정 결과, 및/또는 제 1 패터닝 디바이스에 의해 제공될 제 1 패턴에 대한 시뮬레이션 결과가 획득된다. 1310 에서, 제 1 오차 정보는 제 1 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 유도된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 레지스트레이션 오차 및/또는 제 1 오버레이 오차를 포함한다. 일 실시예에서, 제 1 오차 정보는, 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다.
1320 에서, 패터닝 시스템 내의 제 2 패터닝 디바이스에 의하여 제공되는 제 2 패턴의 측정 결과, 및/또는 제 2 패터닝 디바이스에 의해 제공될 제 2 패턴에 대한 시뮬레이션 결과가 획득된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층 내에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 다른 기판 내에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층 내에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제본들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
1330 에서, 제 2 오차 정보가 제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 결정된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 레지스트레이션 오차 및/또는 제 2 오버레이 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는, 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다.
1340 에서, 제 1 오차 정보와 제 2 오차 정보 사이의 차가 결정된다. 1350 에서, 제 1 오차 정보와 제 2 오차 정보 사이의 차가 공차 임계 내에 있는지 여부가 결정된다. 제 1 오차 정보와 제 2 오차 정보 사이의 차가 공차 임계를 넘어가지 않는 것에 응답하여, 방법이 끝난다. 그렇지 않으면, 방법은 1360 으로 진행한다.
1360 에서, 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보가 제 1 오차 정보와 제 2 오차 정보 사이의 차에 기초하여 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 이후에, 제 1 오차 정보와 제 2 오차 정보 사이의 차는 특정 범위 내로 감소된다. 따라서, 일 실시예에서, 제 1 패터닝 디바이스와 제 2 패터닝 디바이스 사이의 오차에 있는 차이가 감소된다는 것을 제외하고는, 제 1 및/또는 제 2 패터닝 디바이스는 여전히 잔여 오차를 가진다. 일 실시예에서, 수정은 제 1 및 제 2 패터닝 디바이스 사이에서 분배된다.
그러면, 방법은 수정 정보가 어떤 패터닝 디바이스(들)에 대해서 생성되는지에 따라서, 1300, 1320, 또는 양자 모두로 복귀할 수 있다. 이러한 반복적인 수정 방법은 제 1 오차 정보와 제 2 오차 정보 사이의 차가 해당 범위 내에 있을 때까지 계속될 수 있다.
도 13 의 흐름도에서 수행되는 방법은 상이한 사용 사례에 대해서 수행될 수 있다. 제 1 사용 사례에서, 동일한 패터닝 시스템에 의하여 동일한 층을 처리하기 위해서 다수의 상이한 패터닝 디바이스가 사용된다. 예를 들어, 제 1 사용 사례는 이중 패터닝 응용예에 대한 것일 수 있다. 그러므로, 이러한 경우에 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다. 이러한 방법을 구현한 이후에, 제 1 패턴, 제 2 패턴, 또는 양자 모두와 연관된 오차는 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)로 제 1 패터닝 디바이스, 제 2 패터닝 디바이스, 또는 양자 모두를 정정함으로써 감소될 수 있다. 이러한 사용 사례는 "층내 고속 매칭(intralayer fleet matching)"이라고 불릴 수 있다.
제 2 사용 사례에서, 동일한 패터닝 시스템에 의해서 동일한 층을 처리하기 위해서 동일한 패터닝 디바이스의 다수의 복제본이 사용된다. 그러므로, 이러한 경우에 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제본들이다. 예를 들어 패터닝 디바이스 온도상승에 기인한 오버레이 오차를 제어하기 위하여 사용될 수 있다; 패터닝 디바이스의 제 1 복제본은 패터닝 디바이스의 제 2 복제본으로 대체될 수 있다. 이러한 제 2 사용 사례에 대하여 이러한 방법을 적용하면, 패터닝 프로세스를 균일하게 유지하도록 도움으로써 이러한 대체가 가능해질 수 있다. 더 나아가, 이러한 사용 사례는, 패터닝 디바이스의 제 1 복제본이 손상되고, 오염되는 등에 응답하여 패터닝 디바이스의 제 1 복제본을 패터닝 디바이스의 제 2 복제본으로 대체하는 것에 적용가능하다. 본 발명의 방법의 이러한 사용 사례는 "필드내 고속 매칭(intrafield fleet matching)"이라고 불릴 수 있다.
제 3 사용 사례에서, 동일한 패터닝 시스템에 의하여 상이한 층을 처리하기 위하여 다수의 상이한 패터닝 디바이스가 사용된다. 그러므로, 이러한 경우에 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다. 이러한 방법을 구현한 이후에, 제 1 패터닝 디바이스에 의한 제 1 패턴과 제 2 패터닝 디바이스에 의한 제 2 패턴 사이의 오차 차분(예를 들어, 오버레이 오차)은 제 1 패터닝 디바이스, 제 2 패터닝 디바이스, 또는 양자 모두를 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)로 정정함으로써 감소된다. 본 발명의 방법의 이러한 사용 사례는 "스택 고속 매칭(stack fleet matching)"이라고 불릴 수 있다.
도 14 를 참조하면, 패터닝 디바이스간 매칭 방법의 일 실시예의 흐름도가 도시된다. 패터닝 디바이스간 매칭은 상이한 패터닝 시스템을 사용하는 동일한 패터닝 디바이스 또는 상이한 패터닝 디바이스의 적격판정을 수반한다. 도 14 의 흐름도에서 수행되는 방법은 소프트웨어 애플리케이션(330)에 의해서 수행될 수 있다.
1400 에서, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 의하여 제공되는 제 1 패턴의 측정 결과, 및/또는 제 1 패터닝 디바이스에 의해 제공될 제 1 패턴에 대한 시뮬레이션 결과가 획득된다. 1410 에서, 제 1 오차 정보가 제 1 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 결정된다. 일 실시예에서, 제 1 오차 정보는, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 레지스트레이션 오차 및/또는 제 1 오버레이 오차를 포함한다.
1420 에서, 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 의하여 제공되는 제 2 패턴의 측정 결과, 및/또는 제 2 패터닝 디바이스에 의해 제공될 제 2 패턴에 대한 시뮬레이션 결과가 획득된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층 내에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 다른 기판 상에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층 내에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제본들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
1430 에서, 제 2 오차 정보가 제 2 패턴의 측정 또는 시뮬레이션 결과에 기초하여 결정된다. 일 실시예에서, 제 2 오차 정보는, 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 레지스트레이션 오차 및/또는 제 2 오버레이 오차를 포함한다.
1440 에서, 제 1 오차 정보와 제 2 오차 정보 사이의 차가 결정된다. 1450 에서, 제 1 오차 정보와 제 2 오차 정보 사이의 차가 특정 공차 범위 내에 있는지 여부가 결정된다. 제 1 오차 정보와 제 2 오차 정보 사이의 차가 공차 범위 내에 있는 것에 응답하여, 방법이 끝난다. 그렇지 않으면, 방법은 1460 으로 진행한다.
1460 에서, 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보가 제 1 오차 정보와 제 2 오차 정보 사이의 차에 기초하여 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 이후에, 제 1 오차 정보와 제 2 오차 정보 사이의 차는 특정 범위 내로 감소된다. 따라서, 일 실시예에서, 제 1 패터닝 디바이스와 제 2 패터닝 디바이스 사이의 오차에 있는 차이가 감소된다는 것을 제외하고는, 제 1 및/또는 제 2 패터닝 디바이스는 여전히 잔여 오차를 가진다. 일 실시예에서, 각각의 패터닝 시스템이 차이의 전부 또는 일부를 정정할 수 있는 능력에 기초하여, 수정이 제 1 및 제 2 패터닝 디바이스 사이에서 분배된다. 예를 들어, 제 1 패터닝 시스템은 이러한 차이 내의 특정 공간 분해능의 오차를 제 2 패터닝 시스템보다 더 잘 처리할 수 있을 수 있다.
일 실시예에서, 제 1 패터닝 시스템의 수정 장치 및/또는 제 2 패터닝 시스템의 수정 장치에 대한 수정 정보가 생성된다. 일 실시예에서, 제 1 및 제 2 패터닝 디바이스 및 제 1 및 제 2 패터닝 시스템 사이의 정정의 최적의 조합을 결정하기 위하여 공동-최적화가 수행된다.
그러면, 방법은 수정 정보가 어떤 패터닝 디바이스(들)에 대해서 생성되는지에 따라서, 1400, 1420, 또는 양자 모두로 복귀할 수 있다. 이러한 반복적인 수정 방법은 제 1 오차 정보와 제 2 오차 정보 사이의 차가 특정 범위 내에 있을 때까지 계속될 수 있다.
도 14 의 흐름도에서 수행되는 방법은 상이한 사용 사례에서 수행될 수 있다. 제 1 사용 사례에서, 상이한 패터닝 시스템에 의하여 동일한 층을 처리하기 위해서 다수의 상이한 패터닝 디바이스가 사용된다. 예를 들어, 제 1 사용 사례는 이중 패터닝 응용예에 대한 것일 수 있다. 그러므로, 이러한 경우에 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다. 이러한 방법을 구현한 이후에, 제 1 패턴, 제 2 패턴, 또는 양자 모두와 연관된 오차는 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)로 제 1 패터닝 디바이스, 제 2 패터닝 디바이스, 또는 양자 모두를 정정함으로써 감소될 수 있다. 이러한 사용 사례는 "층내 고속 매칭(intralayer fleet matching)"이라고 불릴 수 있다.
제 2 사용 사례에서, 상이한 패터닝 시스템에 의하여, 예를 들어 동일한 기판 상의 또는 상이한 기판 상의 동일한 층을 처리하기 위하여 동일한 패터닝 디바이스의 다수의 복제본이 사용된다. 그러므로, 이러한 경우에 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제본들이다. 동일한 패터닝 디바이스의 다수의 복제본은 다수의 패터닝 시스템들에 걸친 대량 생산을 가능하게 할 수 있다. 이러한 제 2 사용 사례에 대해서 이러한 방법을 적용하면, 다수의 패터닝 시스템에 걸쳐서 패터닝 프로세스가 균일하게 유지되도록 할 수 있다. 본 발명의 방법의 이러한 사용 사례는 "필드내 고속 매칭(intrafield fleet matching)"이라고 불릴 수 있다.
제 3 사용 사례에서, 상이한 패터닝 시스템에 의하여 상이한 층을 처리하기 위하여 다수의 상이한 패터닝 디바이스가 사용된다. 그러므로, 이러한 경우에 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다. 이러한 방법을 구현한 이후에, 제 1 패터닝 디바이스에 의한 제 1 패턴과 제 2 패터닝 디바이스에 의한 제 2 패턴 사이의 오차 차분(예를 들어, 오버레이 오차)은 제 1 패터닝 디바이스, 제 2 패터닝 디바이스, 또는 양자 모두를 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)로 정정함으로써 감소된다. 이러한 사용 사례에서, 각각의 패터닝 시스템은 동일한 타입일 수 있다. 본 발명의 방법의 이러한 사용 사례는 "스택 고속 매칭(stack fleet matching)"이라고 불릴 수 있다.
제 4 사용 사례에서, 상이한 패터닝 시스템에 의하여 상이한 층을 처리하기 위해서 다수의 상이한 패터닝 디바이스가 사용된다. 그러므로, 이러한 경우에 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다. 이러한 방법을 구현한 이후에, 제 1 패터닝 디바이스에 의한 제 1 패턴과 제 2 패터닝 디바이스에 의한 제 2 패턴 사이의 오차 차분(예를 들어, 오버레이 오차)은 제 1 패터닝 디바이스, 제 2 패터닝 디바이스, 또는 양자 모두를 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)로 정정함으로써 감소된다. 이러한 사용 사례에서, 각각의 패터닝 시스템은 상이한 타입일 수 있다. 그러므로, 일 실시예에서, 상이한 타입의 패터닝 시스템들 사이에서 어떻게 오차가 최적으로 최소화될 수 있는지에 따라서, 특정 패터닝 디바이스가 정정된다. 예를 들어, 패터닝 시스템의 하나의 타입은 EUV 리소그래피 시스템일 수 있는 반면에 다른 패터닝 시스템의 타입은 DUV(예를 들어, 침지 DUV) 리소그래피 시스템일 수 있다.
일 실시예에서, 패터닝 디바이스간 매칭은 패터닝 시스템간 매칭을 가능하게 한다. 즉, 각각의 패터닝 시스템의 하나 이상의 수정 장치의 수정 정보가 해당 매칭 내에 포함될 수 있다. 예를 들어, 하나의 패터닝 시스템의 하나 이상의 수정 장치의 수정 정보는 다른 패터닝 시스템의 성능과 관련하여 및/또는 다른 패터닝 시스템의 하나 이상의 수정 장치의 수정 정보와 관련하여 변동될 수 있다. 따라서, 하나 이상의 패터닝 프로세스 파라미터(예를 들어, 초점, 선량, 오버레이 오차 등)의 관점에서의 성능의 차이는, 패터닝 시스템(들)의 하나 이상의 수정 장치의 패터닝 디바이스 수정(들) 및/또는 조절의 최적화된 조합에 의해서 패터닝 시스템들 사이에서 감소될 수 있다.
일 실시예에서, 패터닝 디바이스간 매칭은, 패터닝 시스템과 관련된 효과가 분석으로부터 제거되도록 수행된다. 이러한 방식으로, 매칭된 패터닝 디바이스가 상이한 패터닝 시스템 상에서 사용될 수 있다. 따라서, 패터닝 시스템에 특유한 효과가 최적화 이후에 남게 될 수 있다. 예를 들어, 상이한 패터닝 시스템의 광 리소그래피 장치들 사이에서의 투영 시스템-투영 시스템 변동이 제외될 수 있다. 이와 유사하게, 리소그래피 장치들 사이에서의 그리드 변동(예를 들어, 상이한 리소그래피 장치들의 기판 테이블들의 이동의 변동)이 제외될 수 있다. 일 실시예에서, 이것은, 예를 들어 패터닝 디바이스 지문을 제거하여 패터닝 시스템과 관련된 효과를 식별하고 그러한 패터닝 시스템과 관련된 효과를 제거함으로써 수행될 수 있다. 이것은, 레퍼런스 패터닝 디바이스 또는 다른 패터닝 시스템 내의 동일한 패터닝 디바이스의 다른 복제본을 사용하는 것을 수반할 수 있다. 일 실시예에서, 이것은, 패터닝 시스템들 내에 패터닝 디바이스를 사용하고 패터닝 시스템들의 효과를 측정함으로써 수행될 수 있다.
일 실시예에서, 정정불가능 오차에 관련된 잔여 정정가능한 오차의 계산적인 평가, 및 연속 층들을 평가할 경우의 결과적으로 얻어지는 필드내 오버레이는: 주어진 패터닝 시스템 - 패터닝 디바이스 조합에 대한 패터닝 시스템 장치 지문 및 패터닝 디바이스 지문의 정보에 기초하여 결정될 수 있다. 이러한 평가는, 필드내 정정불가능 오차를 감소하기 위하여 층 / 스택을 셋업하는 도중에, 그리고 볼륨 램핑(volume ramping)(다수의 패터닝 시스템 / 패터닝 디바이스 복제본) 도중에 수행될 수 있다. 셋업과 더불어, 분석도 패터닝 프로세스의 모니터링을 위하여(그리고 따라서 패터닝 프로세스의 제어를 위하여) 제조 도중에 사용될 수 있다.
수정 정보를 매칭을 통해서 패터닝 시스템의 패터닝 디바이스(들) 및/또는 수정 장치(들)로 분배하는 최적의 조합이 다양한 사용 사례에 대해서 이루어질 수 있다. 하나의 사용 사례에서, 이중 패터닝 응용예에 대한, 하나의 층 내의 다수의 상이한 패터닝 디바이스 - 패터닝 시스템 조합(예를 들어 n*(리소-에칭)("층내 고속 매칭")이 매칭에 대해서 평가될 수 있다. 다른 사용 사례에서, 표준 단일 노광 응용예에 대한, 하나의 층 내의 패터닝 디바이스의 다수의 복제본 - 패터닝 시스템("필드내 고속 매칭")이 매칭에 대해서 평가될 수 있다. 추가적인 사용 사례에서, 두 개(이상)의 패터닝 디바이스 - 패터닝 시스템 조합이 동일한 타입의 패터닝 시스템 상의 표준 단일 노광 조합에 대한 오버레이 오차에 기여하는, 기판 스택을 통한 다수의 상이한 패터닝 디바이스("스택 고속 매칭")가 매칭에 대해서 평가될 수 있다. 추가적인 사용예에서, 두 개(이상)의 패터닝 디바이스 - 패터닝 시스템 조합이 상이한 타입의 패터닝 시스템(예를 들어, EUV 시스템 및 침지 시스템) 상의 표준 단일 노광 조합에 대한 오버레이 오차에 기여하는, 기판 스택을 통한 다수의 상이한 패터닝 디바이스("플랫폼 고속 매칭(platform fleet matching)")가 매칭에 대해서 평가될 수 있다. 다른 사용 사례에서, 플랫폼 고속 매칭과 연관되어, 계산에 의한 평가는 어떤 패터닝 디바이스/패터닝 시스템 지문 정정이 어떤 타입의 패터닝 시스템 상에 최적으로 이루어질 수 있는지(예를 들어, 침지 시스템 상의 특정 정정 및 EUV 시스템 상의 다른 정정)를 결정하는 것을 포함할 수 있다. 추가적인 사용 사례에서, 패터닝 디바이스 - 패터닝 시스템의 이전에 최적화된 조합에 속한 패터닝 디바이스(예를 들어, 손상된, 마모된 등의 패터닝 디바이스)를 교체하는 경우, 계산에 의한 평가가 최적의 정정에 대해서 이루어질 수 있다.
일 실시예에서, 최적화는, 예를 들어 쓰루풋 / 사이클 시간을 설명하는 비용 함수를 수반할 수 있다.
도 15 를 참조하면, 패터닝 수정 방법의 일 실시예의 흐름도가 도시된다. 도 15 의 흐름도에서 수행되는 방법은 소프트웨어 애플리케이션(330)에 의해서 수행될 수 있다. 1500 에서, 패터닝 시스템)예를 들어 패터닝 시스템(300) 내의 패터닝 디바이스에 의하여 제공되는 패턴의 측정 결과, 및/또는 패터닝 디바이스에 의해 제공될 패턴에 대한 시뮬레이션 결과가 획득된다. 일 실시예에서, 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 패턴의 측정치는 계측 장치(310)로부터 획득된다.
1510 에서, 이러한 패턴과 타겟 패턴 사이의 오차가 결정된다. 일 실시예에서, 오차는 임계 치수 오차이다. 일 실시예에서, 오차는, 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다.
단계 1520 에서, 오차가 특정 공차 범위 안에 있는지 여부가 결정된다. 오차가 공차 범위 안에 있는 것에 응답하여, 방법은 끝난다. 그렇지 않으면, 방법은 1530 으로 진행한다.
1530 에서, 패터닝 디바이스에 대한 수정 정보가 오차에 기초하여 생성된다. 일 실시예에서, 오차 중 적어도 일부는, 패터닝 디바이스가 수정 정보에 따라서 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)에 의해 수정되는 경우 패터닝 시스템의 하나 이상의 수정 장치에 의해 정정가능한 오차로 변환된다. 일 실시예에서, 추가적으로 또는 대안적으로, 오차 중 적어도 일부는, 패터닝 디바이스가 수정 정보에 따라서 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)에 의해 수정되는 경우 감소된다. 그러면 방법은 1500 으로 복귀한다. 반복적인 수정은 오차가 공차 범위 내에 있을 때까지 계속될 수 있다.
도 16 을 참조하면, 에칭-적재 효과를 정정하기 위한 패터닝 디바이스 수정 방법의 일 실시예의 흐름도가 도시된다. 에칭-적재 효과는 패터닝 오차(예를 들어, 오버레이 오차)에 기여하는 인자이다. 예를 들어, 에칭-적재 효과는 3-차원(3D) NAND 플래시 메모리 제품을 제조하는 데에 큰 영향을 미칠 수 있다. 에칭-적재 효과는 에칭 레이트가 에칭될 재료의 양에 따라 달라진다는 것을 나타낸다. 다르게 말하면, 에칭 레이트는 기판 상의 패턴들의 상이한 밀도에 대해서 달라진다. 상이한 에칭 레이트는 상이한 패터닝 오차(예를 들어, CD의 오차)를 유도할 수 있다. 도 16 의 흐름도에서 수행되는 방법은 소프트웨어 애플리케이션(330)에 의해서 수행될 수 있다.
1600 에서, 패터닝 시스템)예를 들어 패터닝 시스템(300) 내의 패터닝 디바이스에 의하여 제공되는 패턴의 측정 결과, 및/또는 패터닝 디바이스에 의해 제공될 패턴에 대한 시뮬레이션 결과가 획득된다. 일 실시예에서, 측정 또는 시뮬레이션 결과는 패터닝 시스템의 에칭 툴에 의해 처리된 이후의 패턴에 대한 것이다. 일 실시예에서, 에칭 툴 이후에 패턴의 측정치는 계측 장치(310)로부터 얻어진다. 일 실시예에서, 측정 또는 시뮬레이션 결과는, 예를 들어 에칭-적재 효과의 식별을 가능하게 하거나 및/또는 에칭 툴의 업스트림에서 도입된 오차를 설명하기 위한, 패터닝 시스템의 에칭 툴에 의한 처리 이전의, 패턴의 측정 또는 시뮬레이션 정보를 포함한다.
1610 에서, 측정 및/또는 시뮬레이션 결과에 기초하는 패터닝 오차 정보가 결정된다. 일 실시예에서, 패터닝 오차 정보는 에칭 로딩 효과에 기인한 오차를 포함한다.
1620 에서, 패터닝 오차 정보가 특정 공차 범위 안에 속하는지 여부가 결정된다. 패터닝 오차 정보가 공차 범위 안에 있는 것에 응답하여, 방법은 끝난다. 그렇지 않으면, 방법은 1630 으로 진행한다.
1630 에서, 패터닝 디바이스를 수정하기 위한 및/또는 패터닝 시스템 내에서 에칭 툴로부터 업스트림에 있는 수정 장치를 수정하기 위한 수정 정보가 패터닝 오차에 기초하여 생성된다. 일 실시예에서, 오차 중 적어도 일부는, 패터닝 디바이스가 패터닝 디바이스 수정 정보에 따라서 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)에 의해 수정되는 경우 및/또는 패터닝 시스템의 수정 장치가 수정 장치 수정 정보에 의하여 조절되는 경우, 패터닝 시스템의 하나 이상의 수정 장치에 의해 정정가능한 오차로 변환된다. 일 실시예에서, 추가적으로 또는 대안적으로, 오차 중 적어도 일부는, 패터닝 디바이스가 패터닝 디바이스 수정 정보에 따라서 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320)에 의해 수정되는 경우 및/또는 패터닝 시스템의 수정 장치가 수정 장치 수정 정보에 의하여 조절되는 경우, 감소된다. 일 실시예에서, 패터닝 디바이스를 수정하기 위한 수정 정보 및 수정 장치를 조절하기 위한 수정 정보는, 예를 들어 수정 장치에 의해 정정가능한 패터닝 오차의 일부의 수정 장치에 의한 최대 정정 및 패터닝 디바이스 수정에 의한 잔차 오차의 정정을 가능하게 하도록 공동-최적화된다.
그러면 방법은 1600 으로 복귀한다. 반복적인 수정은 패터닝 오차가 공차 범위 내에 있을 때까지 계속될 수 있다.
위에서 언급된 바와 같이, 패터닝 디바이스는, 잔여 패터닝 오차(예컨대, 임계 치수 오차 및/또는 오버레이 오차)가 바람직하게는 요구되는 공차 내로 정정될 수 있도록, 수정될 수 있다. 다양한 패터닝 오차가 이러한 방식으로 정정될 수 있다.
패터닝 프로세스의 예시적인 패터닝 오차는 프로세스-유도형 다이내 또는 필드내 패터닝 오차일 수 있다. 이러한 오차는 높은 주파수일 수 있고, 이미지 필드 또는 다이에 걸쳐서 주기적인 성질을 가질 수 있다. 현존하는 패터닝 시스템은 이러한 패터닝 오차를 아예 정정할 수 없거나 충분하게 정정할 수 없을 수 있고, 이것이 수율 손실을 가져올 수 있다. 따라서, 일 실시예에서, 패터닝 디바이스는 이러한 패터닝 오차를 감소 또는 제거하게 돕도록 수정될 수 있다.
따라서, 예를 들어 높은 주파수일 수 있고 및/또는 주기적인 성질을 가질 수 있는, 패터닝 프로세스에서의 프로세스-유도 다이내 또는 필드내 패터닝 오차를 더욱 또는 더 양호하게 정정할 수 있는 방법 및/또는 장치가 제공된다. 예를 들어, 주기적 성질 또는 성분(예컨대 이미지 필드 내에서의 패터닝 프로세스 오차의 주기적 성분)을 가지는 패터닝 프로세스 오차, 예컨대 임계 치수 오차 및/또는 오버레이 오차를, 예를 들어 패터닝 디바이스(및 선택적으로 하나 이상의 제조 프로세스 툴)를 수정함으로써 적어도 감소, 수정 또는 제거하기 위한 방법 및 장치가 제공된다.
동적 랜덤-액세스 메모리(DRAM), 네거티브 AND(NAND), 또는 다른 제품을 제조할 때, 주기적 성질을 가지는 고 주파수 패터닝 오차가 기판 상의 이미지 필드 내에서 관찰되었다. 이미지 필드는 패터닝 디바이스를 사용하여 리소그래피 장치(예를 들어, 도 1 의 리소그래피 장치)에 의해 한 번에 또는 한 번의 스캐닝 동작 중에 노광되는, 기판의 영역이라고 불릴 수 있다.
이러한 패터닝 오차는 패터닝 디바이스 외의 것에 의해서 유도된다. 이것은 이미지 필드 내의 하나 이상의 좌표(x, y)에 대한 고차수(예를 들어, 3차보다 높은 차수) 다항식으로서 모델링될 수 있다. 하지만, 리소그래피 장치의 하나 이상의 수정 장치는 후술되는 바와 같이 패터닝 오차의 특정 차수(예를 들어, 제3차수까지)의 공간적 분포까지만 정정할 수 있을 수 있을 뿐이기 때문에, 잔여 패터닝 오차는 이러한 리소그래피 장치에 의해서는 완전히 정정될 수 없다. 일부 예들에서, 잔여 패터닝 오차는 리소그래피 장치에 의해 정정된 후에도 여전히 클 수 있고, 그 결과 수율 손실을 야기하는 결함이 생길 수 있다.
도 17a 를 참조하면, 기판 상의 예 이미지 필드(300)가 도시된다. 전술된 바와 같이, 이미지 필드(300)는 리소그래피 장치(예를 들어, 도 1 의 리소그래피 장치)에 의하여 한 번에 또는 특정 스캐닝 동작에 의해서 노광될 수 있다. 일 실시예에서, 기판은 기판 전체에 위치된 복수 개의 노광된 이미지 필드(300)를 포함한다. 따라서, 패터닝 프로세스는 리소그래피 장치에 의한 복수 개의 노광을 수반할 수 있다.
도 17a 에 도시된 바와 같이, 이미지 필드(300)는 다이(310)의 열(330, 340, 350, 360), 또는 다이(310)의 행(335, 345, 355, 및 365)으로 배열되는 다이들을 포함한다. 이러한 경우에는 16 개의 다이가 존재하지만, 다른 개수가 존재할 수 있다. 이미지 필드(300)는, 이러한 경우에서 다이(310)의 인접한 열(330, 340, 350, 360)을 분리하도록 구성되는 주위 영역(320)을 더 포함한다. 추가적으로 또는 대안적으로, 주위 영역(320)은 인접한 행들(335, 345, 355, 365) 사이에 제공될 수 있다. 다른 예들에서, 이미지 필드(300)는 다른 적합한 개수의 열 및 행의 다이(310) 및/또는 주위 영역(320)을 포함할 수 있다. 일 실시예에서, 주위 영역(320)은 하나 이상의 측정 마크(예를 들어, 기판과 패터닝 디바이스 사이의 정확한 상대 정렬을 제공하기 위하여 사용되는 정렬 마크)를 포함한다.
이러한 예에서, 각각의 열(330, 340, 350, 360 내에서), 인접한 다이(310)는 스크라이브 라인(325)에 의해 분리된다. 일 실시예에서, 각각의 스크라이브 라인(325)의 폭은, 예를 들어 약 100 마이크로미터이다. 스크라이브 라인(325)은 인접한 다이들을 분리하기 위하여 사용된다. 일 실시예에서, 스크라이브 라인(325)은 10-100 마이크론의 폭을 가진다. 일 실시예에서, 주위 영역(320)은 스크라이브 라인으로서의 역할도 할 수 있다.
일 실시예에서, 주위 영역(320)은 복수 개의 다이(310)와는 다르게 구성된다. 예를 들어, 주위 영역(320)은 복수 개의 다이(310)와 다른 하나 이상의 재료를 포함할 수 있다. 다른 예로서, 주위 영역(320)은 복수 개의 다이(310)와는 다른 하나 이상의 물리적 구조체(예를 들어, 정렬 마크)를 포함할 수 있다. 일 실시예에서, 주위 영역(320)은 500 마이크론 내지 3 밀리미터의 폭을 가진다. 이와 유사하게, 스크라이브 라인(325)은, 예를 들어 패터닝되지 않음으로써 복수 개의 다이(310)와는 다르게 구성된다.
주위 영역(320)과 다이(310) 사이에 상이한 구성이 있기 때문에, 결과적으로 각각의 다이(310) 내에 스트레스(화살표로 표시된 바와 같음)가 생길 수 있고, 이것이 이미지 필드(300) 전체에 주기적 성질을 가지는 패터닝 오차가 생기게 할 수 있다. 다이에 인가된 스트레스는 도 17a 에서 화살표로 표시된 것처럼 인접한 주위 영역(320)으로부터 다이(310)의 중앙부를 향해 반대 방향으로 발생하는 것으로 관찰되었다. 스트레스는 하나 이상의 인자에 의해서 야기될 수 있다. 일 실시예에서, 다이(310)와 주위 영역(320)이 상이한 격자 파라미터를 가지는 상이한 재료를 포함하기 때문에, 스트레스는 다이와 주위 영역 사이의 격자 불일치(lattice mismatch)에 의해 야기될 수 있다. 추가적으로 또는 대안적으로, 스트레스는 제조 프로세스 중의 온도 변화에 의해서 야기될 수도 있다. 예를 들어, 계속되는 사후 노광 베이크 프로세스 중에, 온도가 증가하면 다이(310)와 주위 영역(320)이 다르게 팽창할 수 있고, 이것이 다이(310) 내에 스트레스를 야기한다. 포스트 노광 베이크 프로세스 스트레스는 온도가 다시 그 정상 온도로 떨어진 이후에도 사라지지 않을 수 있다.
도 17b 는 전술된 바와 같은, 이미지 필드(300) 전체에 걸친 시뮬레이션된 패터닝 오차를 도시한다. 수평 축은 이미지 필드(300) 내의 다이(310)의 각각의 개별 행(335, 345, 355, 365)에 평행한 방향과 나란한 위치를 나타내고, 다이(310)의 개별 행(335, 345, 355, 365)의 다이를 통해 진행한다. 수직 축은 패터닝 오차를 나타낸다. 패터닝 오차는 오버레이 오차, 임계 치수 오차, 측벽 각도 오차, 또는 하단면 틸트 오차를 포함할 수도 있으나, 이에 한정되지는 않는다. 이러한 예에서, 패터닝 오차는 오버레이 오차이다.
도 17b 에서 볼 수 있는 바와 같이, 도 17b 에 도시된 바와 같은 패터닝 오차의 주기적 성분의 패터닝 오차는 다이(310)의 행(335, 345, 355, 365)의 각각의 다이 내에서 반복된다. 따라서, 도 17b 에 도시되는 예에서, 패터닝 오차는 4 개의 주기(370)를 포함하고, 각각의 주기는 다이(310)의 행(335, 345, 355, 365) 내의 다이의 패터닝 오차를 포함한다. 이러한 예에서, 각각의 주기는 패터닝 오차 영역(372) 및 블랭크 영역(374)을 포함한다. 블랭크 영역(372)은, 대응하는 주위 영역(320)에 대해서 식별된 패터닝 오차가 없다는 것을 표시한다. 이것은 주위 영역(320)이 다이(310)의 기능성 구조체를 포함하지 않기 때문이다. 상대적으로 안정한 패터닝 오차 부분들이 다이(310)의 행(335, 345, 355, 365)에 걸쳐서 도 17b 에 도시된 바와 같이 주기적으로 발생하는 것은, 가끔 "다이-스케일링 효과"이라고 불릴 수 있다.
패터닝 오차 영역(372)은 대응하는 다이(310)(또는 다이(310)의 열(330, 340, 350, 360))에 걸쳐서 분산된 거의 선형인 패터닝 오차를 도시한다. 일 실시예에서, 패터닝 오차는 상이한 분포를 가질 수 있다. 패터닝 오차는 이미지 필드 내의 하나 이상의 좌표(x, y)에 대해서 선형 함수 또는 다항식으로 모델링될 수 있다.
도 17a 에 도시된 바와 같이, 인접한 주위 영역(320)은 다이(310)의 열(330, 340, 350, 360)에 의해서 분리된다. 따라서, 패터닝 오차(도 17b 에서 패터닝 오차 영역(372) 내에 도시된 바와 같음)는 각각의 다이(310) 내에 분포된다. 일 실시예에서, 인접한 주위 영역(320)은 다이(310)의 두 개 이상의 열(330, 340, 350, 360)에 의해서 분리될 수 있다. 따라서, 패터닝 오차(도 17b 의 패터닝 오차 영역(372) 내에 도시된 바와 같음)는 두 개 이상의 다이(310) 내에서 분포될 수 있다. 결과적으로, 두 개 이상의 다이(310) 각각은 도 17b 의 패터닝 오차 영역(372) 내에 도시된 바와 같은 패터닝 오차의 일부를 포함한다.
위에서 언급된 바와 같이, 도 17b 에 도시된 바와 같은 패터닝 오차는 리소그래피 장치 내에서 이미지 필드 전체의 정정이 이루어진 이후에도 남아 있다. 이것은 리소그래피 장치(예를 들어, 도 1 의 리소그래피 장치)가 이미지 필드(300) 전체의 패터닝 오차의 특정 차수의 공간적 분포까지만을 정정할 수 있기 때문이다. 그러므로, 리소그래피 장치는 그 자체로는 기판 상의 이미지 필드(300) 내의 도 17b 에 도시된 바와 같은 패터닝 오차를 정정하지 못하거나, 또는 전술된 패터닝 오차를 야기하는 스트레스의 속성의 관점에서 볼 때 도 17b 에 반영된 정정 이전에 패터닝 오차를 정정하지 못할 수 있다.
따라서, 예를 들어 이미지 필드 및/또는 다이 전체에 걸친 패터닝 프로세스에서의 프로세스-유도 패터닝 오차, 예컨대 오버레이 오차, 임계 치수 오차 등을 더 정정하거나 더 양호하게 정정할 수 있는 방법 및/또는 장치가 제공된다.
도 18 을 참조하면, 패터닝 디바이스 오차 수정 방법의 일 실시예의 흐름도가 도시된다. 도 18 의 흐름도에서 실행되는 방법 또는 그 중 하나 이상의 부분은 소프트웨어 애플리케이션(예를 들어, 소프트웨어 애플리케이션(430))에 의해서 수행될 수 있다.
710 에서, 패터닝 디바이스를 수반하는 패터닝 프로세스 내의 기판 패터닝 오차가 획득된다. 일 실시예에서, 패터닝 오차는 기판 상의 패터닝 디바이스의 이미지 필드 내의 오버레이 오차, 임계 치수 오차, 측벽 각도 오차, 및/또는 하단면 틸트 오차를 포함할 수도 있으나, 이에 한정되지는 않는다. 패터닝 오차는, 패터닝 시스템(400) 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체(예를 들어, 기능적 디바이스 구조체, 측정 타겟 등)의 측정에 기초하여 및/또는 패터닝 시스템(400) 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도될 수 있다. 예를 들어, 일 실시예에서, 계측 장치(410)는, 패터닝 시스템(400) 내의 패터닝 디바이스를 사용하여 패턴이 인쇄된 하나 이상의 기판에 관련되고, 그로부터 기판 패터닝 오차가 결정되는 측정치를 획득하도록 구성된다. 일 실시예에서, 계측 장치(410)는 기판 상의 다이에 걸친(across die) 또는 이미지 필드 프로세스-유도 패터닝 오차와 연관된 하나 이상의 파라미터(예를 들어, 오버레이 오차, 임계 치수 오차, 측벽 각도 오차, 하단면 틸트 오차 등)의 하나 이상의 값을 측정 및/또는 결정한다. 일 실시예에서, 추가적으로 또는 대안적으로, 패터닝 시스템(400) 내의 기판 패터닝 오차는, 하나 이상의 기판과 연관된 패터닝 시스템(400) 자체에서의 측정치, 예컨대 레벨 센서 측정치로부터 유도된 초점-관련 정보(예를 들어, 필드내 초점, 기판 평탄도 등), 노광 중의 기판의 위치 데이터(예를 들어, 측정 시스템(IF)으로부터 얻어진 데이터) 등으로부터 유도될 수 있다. 일 실시예에서, 기판 패터닝 오차는, 후술되는 바와 같이 제 1 수정 및/또는 제 2 수정 정보에 따라서 수정 및/또는 사용되는 패터닝 디바이스를 사용하여 처리된 하나 이상의 기판에 대해서 결정된다.
일 실시예에서, 측정 및/또는 시뮬레이션은 리소그래피 장치 내에서 이루어지고 있는 필드내 정정의 특정 차수(예를 들어, 제3차까지) 공간적 분포로 수행될 수 있다. 따라서, 측정 및/또는 시뮬레이션은 잔여 패터닝 오차를 제공할 수 있다.
예를 들어 계측 장치(예를 들어, 계측 장치(410))를 사용하여 측정된 예시적인 패터닝 오차가 도 19 에서 도시된다. 도 19 에서, 수평 축은 기판 상의 이미지 필드에 걸친 다이의 행들에 평행하고 이들을 통과하는 방향에 따른 위치를 나타낸다. 수평 축 상의 제로는 다이들 중간에(예를 들어, 도 17a 의 다이들 중 두 번째와 세 번째 열들 사이의 스크라이브 라인 내에) 위치된다. 수직 축은 패터닝 오차(예를 들어, 오버레이 오차, 임계 치수 오차, 측벽 각도 오차, 하단면 틸트 오차 등)를 나타낸다. 도 19 에 도시된 바와 같은 패터닝 오차는, 예를 들어 다이들의 행 각각 내의 스트레스에 의해 야기된 주기적 성분을 포함할 수 있다. 주기적 성분의 각각의 주기 내의 패터닝 오차는, 도 17a 및 도 17b 에서 설명된 것과 같은 기판 상의 인접한 주위 영역들 사이의 하나 이상의 다이 내에 분포될 수 있다. 추가적으로, 도 19 에 도시된 바와 같은 패터닝 오차는 하나 이상의 비-주기적 성분도 포함할 수 있다.
720 에서, 다이 및/또는 이미지 필드에 걸친 프로세스-유도 패터닝 오차가 이러한 패터닝 오차(예를 들어, 도 19 에 도시된 바와 같은 계측 장치에 의해 측정된 패터닝 오차)로부터 평가된다. 예를 들어, 패터닝 오차가 모델링될 수 있다. 일 실시예에서, 주기적 성분이 이러한 패터닝 오차로부터 결정된다. 일 실시예에서, 소프트웨어 애플리케이션(430)은 패터닝 프로세스에서의 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차의 주기적 성분을 결정한다.
730 에서, 패터닝 오차의 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차(예를 들어, 주기적 성분)는 임계 범위 내에 있다(예를 들어, 임계와 같거나 넘어감). 예를 들어, 소프트웨어 애플리케이션(430)은 이러한 패터닝 오차가 적용가능한 공칭 값의 공차 범위 내에 있는지 여부를 평가할 수 있다. 패터닝 오차의 주기적 성분이 공차 범위 내에 있으면, 방법은 760 으로 진행하여 방법이 끝난다. 그렇지 않으면, 방법은 740 으로 진행한다. 일부 예들에서, 공칭 값은 패터닝 디바이스 또는 패터닝 프로세스와 연관된 기판 패터닝 오차의 요구되는 값일 수 있다.
740 에서, 제 1 수정 정보는 패터닝 오차(예를 들어, 도 19 에 도시된 바와 같은 계측 장치에 의해 측정된 패터닝 오차)의 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차(예를 들어, 주기적 성분)에 기초하여 생성된다(예를 들어, 소프트웨어 애플리케이션(430)에 의하여). 일 실시예에서, 제 1 수정 정보는 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차의 주기적 성분이 제거되게 하거나 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차의 주기적 성분을 공차 범위 내로 감소시키도록 설계된다.
일 실시예에서, 제 1 수정 정보는 패터닝 디바이스 수정 툴을 사용하여 패터닝 디바이스를 수정하기 위한 것이다. 일 실시예에서, 제 1 수정 정보는 패터닝 디바이스 내의 유도된 로컬 변형 요소 및/또는 위치 투과 변동의 공간적 분포를 포함하는데, 이것은 패터닝 디바이스를 수정하도록 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320, 420))에 의해 사용될 수 있다.
일 실시예에서, 제 1 수정 정보는 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차를 패터닝 시스템(400) 내의 하나 이상의 제조 프로세스 툴(예를 들어, 리소그래피 장치 등)에 대한 정정가능 오차로 변환하기 위해 사용된다. 추가적으로 또는 대안적으로, 제 1 수정 정보는 패터닝 오차의 주기적 부분을 특정 범위로(예를 들어, 임계 범위 내로) 감소시키기 위하여 사용된다.
전술된 바와 같이, 하나 이상의 제조 프로세스 툴(예를 들어, 리소그래피 장치 등)은 패터닝 오차의 특정 차수까지의 공간적 분포를 정정할 수 있다. 그러므로, 일 실시예에서, 제 1 수정 정보는, 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차(예를 들어, 패터닝 오차의 주기적 성분)의 더 높은 차수의 공간적 분포(예를 들어, 하나 이상의 제조 프로세스 툴의 차수보다 높은, 예를 들어 4차 이상)를 정정하기 위해서 패터닝 디바이스 수정 툴에 대해 생성된다.
일 실시예에서, 제 1 수정 정보는 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차 중 적어도 일부의 주기적 정정이거나 이것을 포함한다. 즉, 정정은 패터닝 디바이스에 걸친 주기를 가진다. 일 실시예에서, 주기적 정정은 패터닝 디바이스에 걸쳐서 진동한다. 예를 들어, 정정은 패터닝 디바이스에 걸쳐서 정현파이다. 일 실시예에서, 주기적 정정의 크기는 패터닝 디바이스에 걸쳐서 진동한다.
선택적으로, 750 에서, 제 2 수정 정보가 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차(예를 들어, 패터닝 오차의 주기적 성분) 및/또는 제 1 수정 정보에 기초하여 패터닝 시스템(400) 내의 하나 이상의 제조 처리 툴에 대해서 생성된다. 예를 들어, 제 2 수정 정보는, 수정된(제 1 수정 정보에 따라) 패터닝 디바이스를 사용하여 처리된 기판 및 패터닝 시스템(400) 내의 조절된(제 2 수정 정보에 따라) 하나 이상의 제조 처리 툴(예를 들어, 리소그래피 장치 등) 상의, 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차가 제거되거나 임계 범위 내로 감소되게끔, 패터닝 시스템(400) 내의 하나 이상의 제조 처리 툴(예를 들어, 패터닝 시스템(400) 내의 리소그래피 장치)을 조절하기 위하여 사용될 수 있다.
따라서, 일 실시예에서, 제 2 수정 정보는 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차의 저차수 공간적 분포(예를 들어, 이하 3차 이하)를 정정하도록, 패터닝 시스템(400)의 하나 이상의 제조 처리 툴을 조절하기 위하여 생성된다.
일 실시예에서, 위에서 언급된 바와 같이, 제 1 수정 정보는 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차의 고차수 공간적 분포 중 적어도 일부를 저차수 공간적 분포(예를 들어, 3차 이하) 오차로 변환하고 잔여 더 고차수 오차를 정정(제거, 또는 임계 범위 내로 감소)하도록, 패터닝 디바이스 수정 툴에 대해서 생성된다. 제 2 수정 정보는 오차의 저차수 공간적 분포를 정정하도록 하나 이상의 제조 처리 툴을 조절하기 위하여 생성된다.
단계 750 이 완료된 이후에, 방법은 단계 710 으로 복귀할 수 있다. 패터닝 디바이스 및/또는 패터닝 시스템(400)의 이러한 반복적 수정은, 예를 들어 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차가 공차 안에 속할 때까지 계속될 수 있다.
일 실시예에서, 수정 정보가 적용된 이후에, 계측 장치는, 정정된 패터닝 오차가 공차 범위 내에 있는지 여부를 평가하기 위해서 전술된 것과 유사한 방법으로 측정을 수행할 수 있다(예를 들어, 계측 장치(410)에 의해 측정되거나 결정된 기판의 하나 이상의 파라미터(예를 들어, 오버레이 오차, 임계 선폭 오차, 측벽 각도 오차, 하단면 틸트 오차 등)의 하나 이상의 값을 평가함으로써). 일 실시예에서, 정정된 패터닝 오차가 공차 내에 있지 않으면, 패터닝 디바이스 수정 툴(420)에 의한 패터닝 디바이스의 추가적인 수정 및/또는 패터닝 시스템(400)의 하나 이상의 수정 장치의 하나 이상의 파라미터의 조절이 본 명세서에서 논의되는 것과 유사하게 수행된다.
도 20 을 참조하면, 패터닝 디바이스의 수정 유무에 의한, 주기적 부분의 수정 이후의 잔여 오차의 예가 도시된다. 이러한 경우에, 패터닝 오차는 리소그래피 장치가 이미지 필드에 걸쳐서 이미 정정(예를 들어, 정정의 3차 공간적 분포까지)을 제공한 이후의 잔여 패터닝 오차이다. 도 20 에서, 수평 축은 기판 상의 이미지 필드 내의 다이(이러한 경우에, 2 개의 다이)의 행(예를 들어, 도 17a 의 다이(310)의 행(335, 345, 355, 365) 중 하나 이상)과 평행하고 이것을 통과하는 방향에 따른 위치를 나타낸다. 수직 축은 제 1 및/또는 제 2 수정 정보를 사용하여 패터닝 오차를 수정한 이후의, 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차의 잔여 오차를 나타낸다. 수평 축 상의 제로는 다이들 중간에(예를 들어, 도 17a 의 다이들 중 두 번째와 세 번째 열들 사이의 스크라이브 라인 내에) 위치된다. 궤적 910 은 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320, 420)을 사용한 패터닝 디바이스의 수정이 없는 경우의 잔여 오차의 부분을 나타낸다. 궤적 920 은 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320, 420)을 사용한 패터닝 디바이스의 수정이 있는 경우의 잔여 오차의 부분을 나타낸다. 이러한 경우에, 궤적 920 으로 표현된 잔여 오차는 궤적 910 으로 표현된 잔여 오차에 대하여 약 60% 감소된 것으로 나타난다. 이것은, 패터닝 시스템(예를 들어, 패터닝 시스템(400) 내의 하나 이상의 제조 프로세스 툴에 추가하여, 패터닝 디바이스 수정 툴(예를 들어, 패터닝 디바이스 수정 툴(320, 420))이 패터닝 오차, 특히 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차의 주기적 성분을, 패터닝 디바이스를 수정함으로써 더욱 감소시키기 위해서 사용될 수 있다는 것을 나타낸다.
그러므로, 다양한 리소그래피-기초 제조 프로세스, 예컨대 DRAM 및 NAND 제조 프로세스가 단일 노광 필드 내에서 다수의 다이를 사용할 수 있다. 이러한 다이들 사이에 스크라이브 레인 또는 주위 영역이 존재한다. 이러한 영역은 다이 영역과는 다르다. 예를 들어, 이들은 다이 영역과 비교할 때 하나 이상의 상이한 패턴을 포함한다. 추가적으로 또는 대안적으로, 이들은 다이 영역과는 다른 하나 이상의 재료를 가진다. 결과적으로, 기판 처리에 의하여 다이 내에 스트레스가 생길 수 있고 이것이 전술된 바와 같은 다이-스케일링 효과를 야기한다. 다이-스케일링 효과는 패터닝 디바이스 상의 다이 레이아웃과 처리 방법에 관련된다. 예를 들어, 각각의 다이 내에서, 스트레스-유도 천이는, 예를 들어 -10 nm 내지 +10 nm의 범위 내에서 변할 수 있다.
다이-스케일링 효과는, 예를 들어 리소그래피 장치 내의 액츄에이터로써 정정할 수 있기에는 너무 높은 주파수의 공간적 분포를 가질 수 있다. 하지만, 다이-스케일링 효과는 하나의 기판을 처리하거나 복수 개의 기판을 처리할 때 시간이 지남에 따라서 크게 변하지 않는다는 점에서, 제조 프로세스 내에서 상대적으로 안정한 오차 지문이라고 간주될 수 있다. 이러한 안정성은, 이러한 원치않는 지문을 정정하기 위해서 정적 정정이 사용될 수 있다는 것을 의미한다.
따라서, 일 실시예에서, 전술된 바와 같이, 이러한 또는 임의의 다른 다이내 또는 필드내 프로세스-유도 지문을 적어도 부분적으로 정정하도록 패터닝 디바이스를 수정함으로써, 더 높은 차수의 정정이 이루어진다. 일 실시예에서, 패터닝 디바이스 수정은 주기적인 고 주파수 필드내 패터닝 오차에 대해서 사용된다. 일 실시예에서, 수정하는 것은 패터닝 디바이스의 주기적(예를 들어, 진동식) 정정을 수반한다. 일 실시예에서, 패터닝 프로세스 내의 하나 이상의 장치(예를 들어, 리소그래피 장치의 렌즈 액츄에이터, 리소그래피 장치의 패터닝 디바이스 또는 기판 스테이지 액츄에이터 등)는 더 높은 차수의 정정을 보완하는, 추가적인 더 낮은 차수의 정정을 생성한다. 이러한 관점에서 패터닝 디바이스 수정을 통해서, 패터닝 오차 지문은 25% 이상, 40% 이상, 50% 이상, 또는 60% 이상만큼 개선될 수 있다.
따라서, 위에서 논의된 바와 같이, 패터닝 시스템은 오차를 겪을 수 있고, 오차의 일부는 패터닝 시스템의 하나 이상의 수정 장치에 의해서는 정정가능하지 않을 수 있다(통상적으로 오차의 공간 분해능 때문에). 전술된 바와 같이, 일 실시예에서, 하나 이상의 수정 장치에 의해서 정정가능하지 않은 오차는, 하나 이상의 다른 수정 장치(예를 들어, 오차 정정을 위한 더 높은 공간 분해능을 가지는 수정 장치)에 의하여 및/또는 패터닝 디바이스의 수정(예를 들어, 높은 공간 분해능 정정)에 의하여 적어도 부분적으로 정정될 수 있다. 이러한 오차 정정을 가능하게 하기 위하여, 측정 결과는 오차(포함, 예를 들어 그 공간적 분포)를 결정하기 위하여 사용될 수 있다. 계측 장치(310)(예를 들어, 계측 시스템(MET)은 이러한 측정을 가능하게 하고, 오차 정보, 예컨대 오버레이 오차, 선량, 초점, 임계 치수 등을 결정할 수 있다.
이러한 측정을 이용하고 수정 정보가 생성되게 하기 위해서, 위에서 논의된 바와 같이 하나 이상의 수학 모델이 사용될 수 있다. 일 실시예에서, 소프트웨어 애플리케이션(330)이 모델링 및 수정 정보를 얻기 위해서 이러한 모델링을 사용하는 것을 가능하게 한다.
일 실시예에서, 패터닝 프로세스의 패터닝 오차 정보(예를 들어, 지문)를 패터닝 시스템 내의 패터닝 디바이스를 사용하여 모델링하기 위하여 오차 수학 모델이 제공된다. 일 실시예에서, 오차 수학 모델은 패터닝 시스템 내의 패터닝 디바이스를 사용하여 패터닝 프로세스에서 패터닝된 기판의 패터닝 오차 정보를 모델링한다. 일 실시예에서, 오차 수학 모델은 하나 이상의 타입의 고분해능 오차에 맞게 튜닝된다. 고분해능 오차의 타입의 예에는, 에칭-로딩 효과에 기인한 오차, 투영 시스템 온도상승(예를 들어, 투영 방사선으로부터)에 기인한 오차, 패터닝 디바이스 온도상승(예를 들어, 조명 방사선으로부터)에 기인한 오차, 기판 온도상승(예를 들어, 투영된 방사선으로부터)에 기인한 오차, 조명 수차 감도로부터 유래하는 오차(예를 들어, 리소그래피 장치의 투영 시스템의 오차), 패터닝 시스템간 매칭의 오차(예를 들어, 리소그래피 장치간 매칭), 및 패터닝 디바이스간 매칭의 오차가 있다.
일 실시예에서, 패터닝 시스템의 하나 이상의 수정 장치에 의해 및/또는 패터닝 디바이스 수정 툴(예를 들어, 도 4 에 설명된 툴과 같은 패터닝 디바이스 수정 툴(320)에 의해 이루어질 수 있는 패터닝 오차의 정정을 모델링하기 위하여 정정 수학 모델이 제공된다. 일 실시예에서, 패터닝 시스템의 하나 이상의 수정 장치에 의해 이루어질 수 있는 패터닝 오차의 정정을 모델링하기 위하여 정정 수학 모델이 제공된다. 일 실시예에서, 패터닝 디바이스 수정 툴(예를 들어, 도 4 에 설명된 툴과 같은 패터닝 디바이스 수정 툴(320)에 의해 이루어질 수 있는 패터닝 오차의 정정을 모델링하기 위하여 정정 수학 모델이 제공된다. 일 실시예에서, 패터닝 디바이스 수정 툴에 대한 정정 수학 모델은 하나 이상의 수정 장치에 대한 정정 수학 모델보다 더 높은 분해능을 가진다. 일 실시예에서, 오차 수학 모델은 패터닝 디바이스 수정 툴에 대한 정정 수학 모델과 같거나 비견되는 분해능을 가진다. 일 실시예에서, 고분해능은 기판 상에서의 1 mm 이하의 공간 주파수를 포함한다.
따라서, 일 실시예에서, 하나 이상의 수정 장치 및/또는 패터닝 디바이스 수정 툴에 대한 수정 정보는 하나 이상의 적용가능한 정정 수학 모델을 오차 수학 모델에 의해 모델링된 패터닝 오차에 적용함으로써 얻어질 수 있다.
일 실시예에서, 오차 수학 모델을 파라미터화하기 위해서, 계측 장치(310)는 패터닝 오차 정보를 측정하고 결정한다. 일 실시예에서, 패터닝 오차 정보는 오버레이 오차, 초점, 선량 및/또는 임계 치수를 포함한다. 측정을 하기 위하여, 계측 장치(310)는 기판 상의 하나 이상의 계측 타겟(예를 들어, 격자와 같은 회절 주기적 구조체, 또는 디바이스 패턴 자체의 구조체)을 사용할 수 있다. 바람직하게는, 하나 이상의 계측 타겟은 패터닝 오차를 정확하게 표현하고, 기판 전체의 패터닝 오차를 적절하게 특징짓기 위해서 충분한 양 및 위치의 계측 타겟이 측정된다.
따라서, 일 실시예에서, 소프트웨어 애플리케이션(330)은 측정을 위해서 하나 이상의 계측 타겟을 식별하고, 하나 이상의 계측 타겟에 대한 계측 레시피를 발전시키도록 구성된다. 계측 레시피는, 하나 이상의 계측 타겟 및/또는 측정 프로세스를 측정하기 위하여 사용되는, 계측 장치(310) 자체와 연관된 하나 이상의 파라미터(및 하나 이상의 연관된 값), 예컨대 측정빔의 하나 이상의 파장, 측정빔의 하나 이상의 편광 타입, 측정빔의 하나 이상의 선량 값, 측정빔의 하나 이상의 대역폭, 측정빔과 함께 사용되는 검사 장치의 하나 이상의 애퍼쳐 셋팅, 타겟 상에 측정빔을 위치시키기 위해 사용되는 정렬 마크, 사용되는 정렬 기법, 샘플링 스킴, 계측 타겟의 레이아웃 및 타겟 및/또는 타겟의 관심 지점을 측정하기 위한 이동 기법 등이다. 일 실시예에서, 계측 레시피는 오차 수학적 모델에 기초하여 선택된다.
일 실시예에서, 하나 이상의 계측 타겟은 그러한 패터닝 프로세스에 대해 설계되고 적격판정될 수 있다. 예를 들어, 복수 개의 계측 타겟 디자인이 평가되어 잔차 변동(체계적이고 및/또는 무작위적임)을 최소화하는 하나 이상의 계측 타겟을 식별할 수 있다. 일 실시예에서, 복수 개의 계측 타겟 디자인이 평가되어 그 성능이 디바이스와 매칭되는 하나 이상의 계측 타겟을 식별하고, 예를 들어 그의 오버레이 오차의 측정치가 디바이스의 오버레이 오차와 매칭되는 계측 타겟을 식별할 수 있다. 계측 타겟은, 예를 들어 오버레이, 초점, 임계 치수(CD), 정렬, 타겟 내의 비대칭 등 및 이들로부터 선택된 임의의 조합의 측정을 위해 디자인될 수 있다.
일 실시예에서, 계측 장치(310)는 하나의 계측 프로세스에 대해 하나 이상의 샘플링 방식을 적용할 수 있다. 일 실시예에서, 샘플링 스킴은: 기판 당 샘플 포인트의 개수, 샘플링되는 로트 당 기판의 개수; 샘플링되는 로트 내의 또는 로트 당 로트 기판(들)의 번호 지정; 샘플링되는 필드들의 개수; 기판 상의 샘플링된 필드의 레이아웃/위치; 각각의 필드 내의 사이트(site)의 위치; 필드 내의 사이트의 위치; 샘플의 주파수; 계측 타겟의 타입; 또는 측정 알고리즘 중에서 선택된 하나 이상의 파라미터를 포함할 수 있다.
일 실시예에서, 소프트웨어 애플리케이션(330)은, 오차 수학 모델과 샘플 포인트의 개수의 조합(예를 들어, 샘플링된 기판의 기판 및/또는 샘플링된 기판 당 포인트의 개수)에 대한 하나 이상의 양태(예를 들어, 샘플링된 위치/타겟의 레이아웃)를 더욱 결정하기 위하여 샘플 스킴 최적화기 모듈을 사용할 수 있다. 예를 들어, 샘플 스킴 최적화기가 다양한 제약 또는 제한사항, 예컨대 비-소출(non-yielding) 다이를 피하기 위해 기판의 에지로부터 최소화된 거리에서 샘플링 위치를 선택하는 것을 고려할 수 있다.
일 실시예에서, 샘플 스킴 최적화기는, 계측 장치(310)의 쓰루풋 모델에 적어도 부분적으로 기초하여 계측 레시피를 사용하여 계측 타겟으로써 데이터를 측정하기 위한 샘플링 스킴을 결정할 수 있다. 일 실시예에서, 샘플링 스킴은 오차 수학 모델에 더 기초할 수 있다. 샘플 스킴 최적화기는 또한 측정 데이터 및 샘플링 스킴에 기초하여 평가 파라미터를 더욱 결정할 수 있다(예를 들어, 스스로 계산할 수 있음). 예를 들어, 평가 파라미터는 많은 기판들 내의 기판-기판 변동, 잔여 불확정성, 잔여 체계적 변동 등을 포함할 수 있다. 그러면, 샘플 기법 최적화기는 평가 파라미터가 임계를 넘어가는지를 결정할 수 있다. 평가 파라미터가 임계치를 넘어가는 것으로 결정되면, 샘플 스킴 최적화기는 쓰루풋 모델에 적어도 부분적으로 기초하여 샘플링 스킴을 변화시킬 수 있다(예를 들어, 샘플링 스킴이 여전히 쓰루풋 모델의 하나 이상의 기준들을 만족시키도록 샘플링 스킴을 수정할 수 있음). 샘플 스킴 최적화기는, 상기 샘플링 스킴이 변경되었으면, 상기 측정 데이터 및 변경된 샘플링 스킴에 기초하여 적어도 상기 평가 파라미터를 결정하는 단계를 재수행하고, 상기 측정 데이터 및 변경된 샘플링 스킴에 기초하여 결정된 평가 파라미터가 임계치를 넘어가는지를 결정할 수 있다.
더 높은 차수의 기저 함수를 사용해서 데이터를 근사하면 통상적으로 노이즈에 대한 감도가 증가된다. 반면에, 기저 함수의 차수가 증가하면, 잔차는 감소될 것이다. 그러므로, 샘플 스킴 최적화기는, 잔차를 감소시키지만 노이즈에 대한 감도를 낮게 유지하기 위해 샘플링을 제어하는, 더 높은 차수를 고려하는 비용 함수를 통한 밸런싱(balancing)에 의해 해당 모델에 매칭될 샘플 스킴을 얻어내는 데에 있어서 이것을 고려할 수 있다. 예를 들어, 샘플 스킴은 입력 노이즈의 감소에 영향을 주고, 로트 당 측정될 수 있는 기판 개수는 노이즈의 감소에 영향을 주며, 및/또는 로트 샘플링은 출력 노이즈에 영향을 준다. 그러므로, 최적화의 일부로서, 다양한 상이한 샘플 스킴 변형예가 사용될 수 있다. 예를 들어, 측정된 로트 당 기판의 개수는 감소될 수 있고 및/또는 기판 당 샘플링된 위치의 개수는 감소될 수 있다. 추가 예로서, 기저 함수가 경계에서 "가장 크게(wildest)" "거동(behave)"할 수 있고 따라서 더 많은 정보가 경계에서 소망되기 때문에, 더 많은 측정 포인트가 필드 및/또는 기판의 경계 근처에서 선택될 수 있다.
일 실시예에서, 샘플 스킴 최적화기는 잠재적인 측정 위치들의 세트로부터 측정 위치의 최적의 서브세트를 선택한다. 그러므로, 샘플 스킴 최적화기로의 입력은, 측정된 데이터 내의 패터닝 오차(예를 들어, 지문) 및 그로부터 샘플링 스킴이 결정될 수 있는 측정 레이아웃(예를 들어, 측정 타겟이 존재할 수 있거나 위치될 수 있는, 예를 들어 기판 상에서 측정될 수 있는 모든 위치)을 나타내는 하나 이상의 수학 모델일 수 있다. 이러한 입력으로부터, 샘플 스킴 최적화기는 하나 이상의 모델 및 측정 레이아웃을 평가하여, 비용 함수에 기초하여 측정 위치(예를 들어, 측정의 개수 및/또는 특정한 위치)의 서브세트를 수반하는 하나 이상의 샘플링 스킴을 얻을 수 있다. 비용 함수는 잔여 불확정성을 감소시키는 것, 측정 위치의 균일한 분포를 얻는 것, 측정 위치의 클러스터링을 감소시키는 것, 로트-로트 변동을 감소시키는 것, 기판-기판 변동을 감소시키는 것 및/또는 고속 실행 시간을 획득하는 것을 수반할 수 있다. 일 실시예에서, 사용자는 제약, 예를 들어 측정될 포인트의 개수, 제외된 특정 필드 또는 필드내 포인트, 포인트의 분포를 나타내는 파라미터(예를 들어, 더 많은 포인트가 중심에 있다거나 더 많은 포인트가 에지에 있다거나) 등을 더 부과할 수 있다. 일 실시예에서, 샘플 스킴 최적화기는 비-소출 다이로부터 측정 포인트를 제외시키는 것과 같은 제약을 부과할 수 있다. 더 나아가, 샘플 스킴 최적화기는, 하나 이상의 샘플 스킴이 쓰루풋 모델의 기준들을 만족시키도록, 쓰루풋 모델을 사용하여 평가를 제약할 수 있다. 샘플 스킴 최적화기의 출력은 하나 이상의 샘플 스킴이다. 일 실시예에서, 샘플 스킴 최적화기는 입력 및 제약을 가능하게 하는 그래픽 사용자 인터페이스를 제공할 수 있다. 더 나아가, 그래픽 사용자 인터페이스는 샘플 스킴의 그래픽 표현(예를 들어, 측정 위치의 개수가 그 위치에 나란히 그래픽으로 표시되는 기판의 다이어그램 또는 픽쳐)을 제공할 수 있다. 그래픽 사용자 인터페이스는 또한 잔여 불확정성(예를 들어, 상이한 방향에 대한 것)과 같은 샘플링 스킴에 관련된 성능 정보를 제공할 수 있다.
따라서, 샘플 스킴 최적화기는 수학적 모델, 이용가능한 레이아웃 및 쓰루풋 모델에 기초하여 성긴 샘플링 스킴 및 세밀 샘플링 스킴 사이를 최적화할 수 있다. 성긴 샘플링은 가능한 최저 잔여 불확정성(그리고 따라서 수학적 모델의 견실한 캡쳐)을 가질 수 있지만, 기판의 열악한 커버리지 및 모델과 지문 사이의 불일치에 대한 열악한 견실성을 가질 수 있다. 반면에, 세밀 샘플링은 크고 널리 변동하는 잔여 불확정성을 가질 수 있지만, 기판의 양호한 커버리지를 가질 수 있고, 클러스터링을 피할 수 있으며, 모델과 지문 사이의 불일치에 대한 양호한 견실성을 가질 수 있다.
일 실시예에서, 위에서 언급된 바와 같이, 사용자는 샘플링 스킴에 대한 제약, 예를 들어 기판 당 최대 샘플 개수, 샘플링된 로트 당 기판의 최대 개수 등을 규정할 수 있다. 예를 들어, 인터페이스(예컨대 그래픽 사용자 인터페이스)는 사용자가 제약을 규정하게 할 수 있다. 일 실시예에서, 사용자는 평가될 하나 이상의 샘플링 스킴을 규정할 수 있다. 예를 들어, 인터페이스(예컨대 그래픽 사용자 인터페이스)는 사용자에게 샘플링 스킴들 중 하나 이상의, 또는 전부를 선택하도록 여러 샘플링 스킴을 제공하고, 및/또는 사용자가 고려할 샘플링 스킴을 추가하도록 할 수 있다.
일 실시예에서, 새로운 또는 수정된 디바이스 패턴(및 따라서 새 측정 데이터)이 아니라면 동일할 패터닝 프로세스 및 동일한 층에 새로운 또는 수정된 디바이스 패턴이 사용되는 경우, 하나 이상의 이전에 결정된 모델(하지만 새로운 측정 데이터에 대하여 파라미터화됨) 및 샘플링 스킴이 사용된다; 따라서, 하나 이상의 수학 모델을 새롭게 결정하거나 하나 이상의 샘플링 스킴을 새롭게 결정할 필요가 없을 수 있다.
일 실시예에서, 샘플 스킴 최적화기는, 특정 모델이 주어진 경우, 모델 근사 프로세스에 대한 정보를 가장 많이 제공하는 계측 포인트 위치를 선택한다. 동시에, 샘플링 스킴 최적화 알고리즘은 선택된 계측 포인트 위치를 균일한 방법으로 포지셔닝하려고 시도하여, 두 개의 목적이 균형을 이루게 한다. 일 실시예에서, 샘플링 스킴 최적화는 포텐셜 계측 포인트 위치의 목록과 함께 입력된다. 그러면, 샘플링 스킴은 적은 개수의 초기 선택된 계측 포인트 위치를 선택함으로써 초기화된다. 초기 선택된 계측 포인트 위치는 해당 모델에 따른 하나 이상의 기준들에 따라서 선택되어야 한다. 일 실시예에서, 이러한 선택된 계측 포인트 위치들 각각은 기판의 유효 영역의 에지에 포지셔닝되고, 등각으로 분리되는 계측 포인트 위치로서 선택될 수 있다. 초기화 단계는 각각의 선택된 계측 포인트 위치 주위에 제외 구역을 규정하는 것을 더 포함할 수 있다. 제외 구역 바깥의 모든 계측 포인트 위치는 후보 계측 포인트 위치이다; 즉 장래 반복 시에 "선택가능하다". 제외 구역은 원형이고 각각의 선택된 계측 포인트 위치에 중심을 둘 수 있으며, 즉, 선택된 계측 포인트 위치로부터 특정 거리 안에 있는 모든 계측 포인트 위치들은 제외 구역 안에 있을 수 있다. 그러면, 모든 후보 계측 포인트 위치, 즉 제외 구역 안에 있지 않은 모든 비-선택된 계측 포인트 위치가 평가된다. 각각의 후보 계측 포인트 위치에 대하여, 해당 계측 포인트 위치가 선택된다면 샘플링 스킴의 정보성(informativity)이 얼마나 많이 개선될지가 계산된다. 이러한 평가에서 사용되는 기준은 D-최적성(optimality)일 수 있다. 초기 제외 구역의 크기는, 후보 계측 포인트 위치의 초기 세트가 너무 크지 않도록 선택되었어야 한다. 후보 계측 포인트 위치의 개수는 최종 샘플링 스킴의 균일도, 정보성(예를 들어, D-최적성)과 알고리즘의 속도 사이의 절충이어야 한다. 모든 후보 계측 포인트 위치를 평가한 후에, 평가에 따르면 샘플링 스킴에 가장 많은 정보를 기여하는 계측 포인트 위치가 이제 샘플링 스킴에 추가된다. 샘플링 스킴이 충분한 선택된 계측 포인트 위치를 가지는지 여부가 결정된다. 만일 그러하다면, 샘플링 스킴은 준비가 된 것이다. 샘플링 스킴이 충분한 선택된 계측 포인트 위치를 가지지 않으면, 제외 구역이 새롭게 선택된 계측 포인트 위치 주위에 추가된다(다른 선택된 계측 포인트 위치도 제외 구역을 가질 것임). 그러면, 정보성과 균일도 사이의 적합한 균형을 유지하면서 선택을 할 수 있는 충분한 수의 후보 계측 포인트 위치가 남아 있는지 여부가 결정된다. 일 실시예에서, 너무 적은 후보 계측 포인트 위치가 존재한다고 결정되면, 이것은 제외 구역을 축소함으로써 해결된다. 제외 구역은 해당 시점에 샘플링 스킴 내에 포함된 선택된 계측 포인트 위치들 모두에 대해서, 또는 이러한 선택된 계측 포인트 위치의 서브세트만에 대해서 축소될 수 있다. 그러면, 선택을 할 수 있는 충분한 수의 후보 계측 포인트 위치가 남아 있는지 여부에 대한 결정 및(필요한 경우) 축소는, 샘플링 스킴을 완성하기에 충분한 수의 후보 계측 포인트 위치가 존재할 때까지 반복적으로 반복된다. 충분한 수의 후보 계측 포인트 위치가 존재하는 경우, 후보 계측 포인트 위치 평가 및 후속 단계가 반복된다. 일 실시예에서, 최적화는 상이한 기판에 대해 상이한 샘플링 스킴을 결정할 수 있다. 더 나아가, 상이한 기판의 상이한 샘플링 스킴은, 선택된 계측 포인트 위치가 높은 균일도의 정도로서 복수 개의 기판에 걸쳐서 분포되도록 연결될 수 있다: 예를 들어 기판들의 로트 마다 수행됨. 특히, 샘플링 스킴 최적화 방법은, 이전의 샘플링 스킴(이전의 기판에 대한 것)에 대해서 선택되었던 계측 포인트 위치가 로트 내의 후속 샘플링 스킴(후속 기판에 대한 것)에 대해서는 선택되지 않도록 할 수 있다. 이러한 방식으로, 기판들의 로트에 대한 각각의 선택된 계측 포인트 위치는 고유해진다. 일 실시예에서, 최적화는 각각의 개개의 기판에 대하여, 정규화된 모델 불확정성이 최소화된다는 것을 보장하도록 돕는다: 모든 파라미터 값은 개선된 정밀도로 결정될 수 있다. 이것은 측정에 있는 변동이 모델 예측의 변동에 미치는 영향을 최소화함으로써 이러한 작업을 수행된다.
일 실시예에서, 제 1 기판의 영역이 핫스폿을 포함한다는 것을, 패터닝 시스템 내의 패터닝 디바이스에 관계된 측정 및/또는 시뮬레이션 결과에 기초하여 식별하는 단계; 핫스폿에서의 제 1 오차 정보를 결정하는 단계; 및 컴퓨팅 시스템에 의하여, 수정된 패터닝 디바이스를 얻기 위하여 제 1 오차 정보에 기초하여 패터닝 디바이스를 수정하기 위한 제 1 오차 정보를 생성하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 이러한 방법은, 제 1 기판의 영역에 제공되는 제 1 패턴에 대한 측정 결과 및/또는 그러한 영역에 제공되는 제 1 패턴에 대한 시뮬레이션 결과를 획득하는 단계를 더 포함하는데, 제 1 패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용하여 제공되거나 제공될 것이다. 일 실시예에서, 제 1 오차 정보는, 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 1 오차는 패터닝 시스템에 대한 제 1 정정가능 오차를 포함한다. 일 실시예에서, 제 1 오차는 패터닝 시스템에 대한 제 1 정정불가능 오차를 포함한다. 일 실시예에서, 제 1 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 초점 정보, 및/또는 선량 정보 중에서 선택된 하나 이상을 포함한다. 일 실시예에서, 이러한 방법은, 패터닝 시스템 내의 수정된 패터닝 디바이스를 사용하여 제 2 기판의 영역에 제공되거나 제공될 제 2 패턴에 대한 측정 및/또는 시뮬레이션 결과를 획득하는 단계; 및 제 2 기판의 영역이 핫스폿을 포함하는지 여부를 제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 결정하는 단계를 더 포함한다. 일 실시예에서, 이러한 방법은, 제 2 기판의 영역이 핫스폿을 포함하는 것에 응답하여 제 2 기판의 영역에서의 제 2 오차 정보를 제 2 패턴에 기초하여 결정하는 단계; 및 수정된 패터닝 디바이스를 제 2 오차 정보에 기초하여 수정하기 위한 제 2 수정 정보를 생성하는 단계를 더 포함한다. 일 실시예에서, 제 2 오차 정보는, 패터닝 시스템 내의 수정된 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 수정된 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 대한 제 2 정정가능 오차를 포함한다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 대한 제 2 정정불가능 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 초점 정보, 및/또는 선량 정보 중에서 선택된 하나 이상을 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 제 1 기판의 영역이 핫스폿을 포함한다는 것을, 패터닝 시스템 내의 패터닝 디바이스에 관계된 측정 및/또는 시뮬레이션 결과에 기초하여 식별하고; 핫스폿에서의 제 1 오차 정보를 결정하며; 수정된 패터닝 디바이스를 얻기 위하여 제 1 오차 정보에 기초하여 패터닝 디바이스를 수정하기 위한 제 1 오차 정보를 생성하게 한다.
일 실시예에서, 실행될 경우, 머신-판독가능 명령은 더 나아가, 프로세서 시스템으로 하여금, 제 1 기판의 영역으로 제공되는 제 1 패턴에 대한 측정 결과 및/또는 그러한 영역으로 제공될 제 1 패턴에 대한 시뮬레이션 결과를 획득하게 하는데, 제 1 패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용하여 제공되거나 제공될 것이다. 일 실시예에서, 제 1 오차 정보는, 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 1 오차는 패터닝 시스템에 대한 제 1 정정가능 오차를 포함한다. 일 실시예에서, 제 1 오차는 패터닝 시스템에 대한 제 1 정정불가능 오차를 포함한다. 일 실시예에서, 제 1 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 초점 정보, 및/또는 선량 정보 중에서 선택된 하나 이상을 포함한다. 일 실시예에서, 실행될 경우, 머신-판독가능 명령은 더 나아가, 프로세서 시스템으로 하여금, 패터닝 시스템 내의 수정된 패터닝 디바이스를 사용하여 제 2 기판의 영역에 제공되거나 제공될 제 2 패턴에 대한 측정 및/또는 시뮬레이션 결과를 획득하고; 제 2 기판의 영역이 핫스폿을 포함하는지 여부를 제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 결정하게 한다. 일 실시예에서, 실행될 경우, 머신-판독가능 명령은 더 나아가, 프로세서 시스템으로 하여금, 제 2 기판의 영역이 핫스폿을 포함하는 것에 응답하여 제 2 기판의 영역에서의 제 2 오차 정보를 결정하고; 수정된 패터닝 디바이스를 제 2 오차 정보에 기초하여 수정하기 위한 제 2 수정 정보를 생성하게 한다. 일 실시예에서, 제 2 오차 정보는, 패터닝 시스템 내의 수정된 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 수정된 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 대한 제 2 정정가능 오차를 포함한다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 대한 제 2 정정불가능 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 초점 정보, 및/또는 선량 정보 중에서 선택된 하나 이상을 포함한다.
일 실시예에서, 패터닝 디바이스를 수반하는 패터닝 프로세스에 대한 패터닝 오차 정보를 획득하는 단계; 및 컴퓨터 시스템에 의하여, 패터닝 오차 정보 및 수정 장치에 대한 정보에 기초하여, 패터닝 프로세스의 수정 장치에 대한 패터닝 오차 오프셋을 결정하는 단계를 포함하는 방법이 제공되는데, 패터닝 오차 오프셋과 패터닝 오차의 조합은 수정 장치의 수정 범위 내에서 수정가능하다.
일 실시예에서, 패터닝 오차 정보를 획득하는 것은, 측정에 의하여 및/또는 시뮬레이션에 의하여 패터닝 오차 정보를 획득하는 것을 포함한다. 일 실시예에서, 패터닝 오차는 시변이고, 패턴 오차 오프셋이 없이 수정 장치에 의한 패터닝 오차의 정정은, 수정 범위를 벗어나거나 밖에 위치할 것이다. 일 실시예에서, 이러한 방법은, 패터닝 디바이스에 대한 제 1 수정 정보를 패터닝 오차 오프셋에 기초하여 생성하는 단계를 더 포함하는데, 패터닝 오차 오프셋의 적어도 일부는, 제 1 수정 정보에 따른 수정 이후에 패터닝 디바이스가 패터닝 프로세스 내에서 사용되는 경우 패터닝 오차와 결합된다. 일 실시예에서, 이러한 방법은, 패터닝 프로세스에서 사용되는 제조 처리 툴에 대한 제 2 수정 정보를 패터닝 오차 오프셋에 기초하여 생성하는 단계를 더 포함하는데, 패터닝 오차 오프셋의 적어도 일부는, 제조 처리 툴이 제 2 수정 정보에 따른 수정 이후에 사용되는 경우 패터닝 오차와 결합된다. 일 실시예에서, 제조 처리 툴은 트랙 툴, 증착 툴, 평탄화 툴 및/또는 에칭 툴을 포함한다.
일 실시예에서, 패터닝 시스템의 에칭 툴에 의해 처리된 이후에 패턴의 측정 및/또는 시뮬레이션 결과를 획득하는 단계; 에칭 로딩(etch loading) 효과에 기인한 패터닝 오차를 측정 및/또는 시뮬레이션 결과에 기초하여 결정하는 단계; 및 컴퓨터 시스템에 의하여, 패터닝 오차에 기초하여, 패터닝 시스템 내에서 에칭 툴로부터 업스트림에 있는 패터닝 디바이스를 수정하기 위한 및/또는 수정 장치를 조절하기 위한 수정 정보를 생성하는 단계를 포함하는 방법으로서, 패터닝 디바이스가 수정 정보에 따라 수정되고 및/또는 수정 장치가 수정 정보에 따라 조절되는 경우, 패터닝 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소되는, 방법이 제공된다.
일 실시예에서, 이러한 방법은 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함한다. 일 실시예에서, 이러한 방법은 패터닝 시스템 내에서 에칭 툴로부터 업스트림에 있는 수정 장치에 대한 수정 정보를 생성하는 단계를 포함한다. 일 실시예에서, 이러한 방법은 패터닝 디바이스를 수정하기 위한 수정 정보 및 수정 장치를 조절하기 위한 수정 정보를 공동-최적화하는 단계를 더 포함한다.
일 실시예에서, 패터닝 디바이스 레지스트레이션 오차에 추가하여, 또는 그 외의 오차에 관련된 정보를 획득하는 단계 - 오차의 일부는 패터닝 시스템의 수정 장치에 의해 정정가능하지 않음 -; 및 컴퓨터 시스템에 의하여, 오차 정보에 기초하여 패터닝 디바이스를 수정하기 위한 수정 정보를 생성하는 단계 - 패터닝 디바이스가 수정 정보에 따라 수정되면 수정 정보는 상기 오차의 일부를 수정 장치에 대해서 정정가능한 오차로 변환함 - 를 포함하는 방법이 제공된다.
일 실시예에서, 수정 정보를 생성하는 단계는, 수정 장치의 수정 범위에 기초하여 수정 정보를 생성하는 것을 더 포함한다. 일 실시예에서, 이러한 방법은 패터닝 시스템의 수정 장치에 대한 수정 정보는 오차 정보 및 패터닝 디바이스를 수정하기 위한 수정 정보에 기초하여 생성하는 단계를 더 포함하는데, 수정 장치에 대한 수정 정보는 수정된 패터닝 디바이스에 의해 생성된 정정가능 오차와 관련된 정보를 포함한다. 일 실시예에서, 이러한 방법은 패터닝 디바이스를 수정하기 위한 수정 정보 및 수정 장치를 조절하기 위한 수정 정보를 공동-최적화하는 단계를 더 포함한다. 일 실시예에서, 패터닝 오차 정보는 측정 및/또는 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 패터닝 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 초점 정보, 및/또는 선량 정보 중에서 선택된 하나 이상을 포함한다. 일 실시예에서, 패터닝 오차 중 일부를 패터닝 시스템에 대한 정정가능한 오차로 변환하는 것은, 패터닝 디바이스의 기판 내에서 유도된 로컬 변형 요소 및/또는 로컬 투과 변동을 생성하는 것을 포함한다. 일 실시예에서, 유도된 로컬 변형 요소를 생성하는 것은, 기판의 재료 특성을 변경시키도록 레이저 펄스를 사용하여, 유도된 로컬 밀도 및/또는 투과 변동을 생성하는 것을 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 디바이스를 수반하는 패터닝 프로세스에 대한 패터닝 오차 정보를 획득하고; 패터닝 오차 정보 및 수정 장치에 대한 정보에 기초하여, 패터닝 프로세스의 수정 장치에 대한 패터닝 오차 오프셋을 결정하도록 하고, 패터닝 오차 오프셋과 패터닝 오차의 조합은 수정 장치의 수정 범위 내에서 수정가능하다.
일 실시예에서, 실행되는 경우, 머신-판독가능 명령은 더 나아가, 프로세서 시스템이 측정치로부터 및/또는 시뮬레이션에 의하여 패터닝 오차 정보를 획득하게 한다. 일 실시예에서, 패터닝 오차는 시변이고, 패턴 오차 오프셋이 없이 수정 장치에 의한 패터닝 오차의 정정은, 수정 범위를 벗어나거나 밖에 위치할 것이다. 일 실시예에서, 실행되는 경우, 머신-판독가능 명령은 더 나아가, 프로세서 시스템이 패터닝 디바이스에 대한 제 1 수정 정보를 패터닝 오차 오프셋에 기초하여 생성하게 하는데, 패터닝 오차 오프셋의 적어도 일부는, 제 1 수정 정보에 따른 수정 이후에 패터닝 디바이스가 패터닝 프로세스 내에서 사용되는 경우 패터닝 오차와 결합된다. 일 실시예에서, 실행되는 경우, 머신-판독가능 명령은 더 나아가, 프로세서 시스템이 패터닝 프로세스에서 사용되는 제조 처리 툴에 대한 제 2 수정 정보를 패터닝 오차 오프셋에 기초하여 생성하게 하는데, 패터닝 오차 오프셋의 적어도 일부는, 제조 처리 툴이 제 2 수정 정보에 따른 수정 이후에 사용되는 경우 패터닝 오차와 결합된다. 일 실시예에서, 제조 처리 툴은 트랙 툴, 증착 툴, 평탄화 툴 및/또는 에칭 툴을 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 시스템의 에칭 툴에 의해 처리된 이후에 패턴의 측정 및/또는 시뮬레이션 결과를 획득하고; 에칭 로딩(etch loading) 효과에 기인한 패터닝 오차를 측정 및/또는 시뮬레이션 결과에 기초하여 결정하며; 및 패터닝 오차에 기초하여, 패터닝 시스템 내에서 에칭 툴로부터 업스트림에 있는 패터닝 디바이스를 수정하기 위한 및/또는 수정 장치를 조절하기 위한 수정 정보를 생성하도록 하고, 패터닝 디바이스가 수정 정보에 따라 수정되고 및/또는 수정 장치가 수정 정보에 따라 조절되는 경우, 패터닝 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소된다.
일 실시예에서, 실행될 경우, 머신-판독가능 명령은 프로세서 시스템이 패터닝 디바이스에 대한 수정 정보를 생성하게 한다. 일 실시예에서, 실행될 경우, 머신-판독가능 명령은 프로세서 시스템이 패터닝 시스템 내에서 에칭 툴로부터 업스트림에 있는 수정 장치에 대한 수정 정보를 생성하게 한다. 일 실시예에서, 실행될 경우, 머신-판독가능 명령은 프로세서 시스템이 패터닝 디바이스를 수정하기 위한 수정 정보 및 수정 장치를 조절하기 위한 수정 정보를 공동-최적화하게 한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 디바이스 레지스트레이션 오차에 추가하여, 또는 그 외의 오차에 관련된 정보를 획득하고 - 오차의 일부는 패터닝 시스템의 수정 장치에 의해 정정가능하지 않음 -; 오차 정보에 기초하여 패터닝 디바이스를 수정하기 위한 수정 정보를 생성하게 하고, 패터닝 디바이스가 수정 정보에 따라 수정되면 수정 정보는 상기 오차의 일부를 수정 장치에 대해서 정정가능한 오차로 변환한다.
일 실시예에서, 프로세서 시스템이 수정 정보를 생성하게 하는 머신-판독가능 명령은 더 나아가, 프로세서 시스템이 수정 장치의 수정 범위에 기초하여 수정 정보를 생성하게 한다. 일 실시예에서, 실행될 경우, 머신-판독가능 명령은 프로세서 시스템이 패터닝 시스템의 수정 장치에 대한 수정 정보는 오차 정보 및 패터닝 디바이스를 수정하기 위한 수정 정보에 기초하여 생성하게 하는데, 수정 장치에 대한 수정 정보는 수정된 패터닝 디바이스에 의해 생성된 정정가능 오차와 관련된 정보를 포함한다. 일 실시예에서, 실행될 경우, 머신-판독가능 명령은 프로세서 시스템으로 하여금 패터닝 디바이스를 수정하기 위한 수정 정보 및 수정 장치를 조절하기 위한 수정 정보를 공동-최적화하게 한다. 일 실시예에서, 패터닝 오차 정보는 측정 및/또는 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 패터닝 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 초점 정보, 및/또는 선량 정보 중에서 선택된 하나 이상을 포함한다. 일 실시예에서, 실행될 경우, 머신-판독가능 명령은 프로세서 시스템으로 하여금 패터닝 디바이스의 기판 내의 유도된 로컬 변형 요소 및/또는 로컬 투과 변동이 생성되게 하여, 패터닝 오차의 일부가 패터닝 시스템에 대한 정정가능한 오차로 변환될 수 있게 한다. 일 실시예에서, 프로세서 시스템이 유도된 로컬 변형 요소 및/또는 로컬 투과 변동을 생성하게 하는 머신-판독가능 명령은 더 나아가, 프로세서 시스템으로 하여금 기판의 재료 특성을 변경하기 위해서 레이저 펄스를 사용함으로써 유도된 로컬 밀도 및/또는 투과 변동을 생성하게 한다.
일 실시예에서, 기판의 영역에 제공되는 패턴의 측정 결과 및/또는 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 획득하는 단계 - 상기 패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용하여 제공되거나 제공될 것임 -; 상기 패턴과 타겟 패턴 사이의 오차를 결정하는 단계; 및 컴퓨터 시스템에 의하여, 상기 패터닝 디바이스에 대한 수정 정보를 상기 오차에 기초하여 생성하는 단계 - 상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되는 경우 상기 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소됨 -를 포함하는 방법이 제공된다.
일 실시예에서, 오차는 임계 치수 오차이다. 일 실시예에서, 오차는, 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 기판의 영역에 제공되는 패턴의 측정 결과 및/또는 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 획득하고 - 상기 패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용하여 제공되거나 제공될 것임 -; 상기 패턴과 타겟 패턴 사이의 오차를 결정하며; 상기 패터닝 디바이스에 대한 수정 정보를 상기 오차에 기초하여 생성하게 하고, 상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되는 경우 상기 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소된다.
일 실시예에서, 오차는 임계 치수 오차이다. 일 실시예에서, 오차는, 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다.
일 실시예에서, 패터닝 프로세스를 위한 패터닝 디바이스에 패턴 수정 툴에 의해 이루어진 또는 이루어질 수정을 기술하는 정보를 획득하는 단계; 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형을 획득하는 단계; 및 컴퓨터 시스템에 의하여, 패터닝 디바이스의 크래킹 작용(cracking behavior)을 패터닝 디바이스의 수정 정보 및 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형에 기초하여 예측하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 크래킹 동작을 예측하는 단계는, 패터닝 디바이스의 스트레스 또는 압박 맵을 패터닝 디바이스의 수정 정보 및 온도 및/또는 패터닝 디바이스의 변형의 공간적 분포에 기초하여 결정하는 것; 및 패터닝 디바이스의 스트레스 또는 압박 맵에 기초하여 크래킹의 척도를 유도하는 것을 더 포함하는데, 패터닝 디바이스는 크래킹의 척도가 패터닝 디바이스 크랙 임계를 넘는 것에 응답하여 크랙될 것으로 예측된다. 일 실시예에서, 이러한 방법은, 패터닝 프로세스에서 사용되는 패터닝 시스템 내의 수정 장치에 의한 패터닝 프로세스의 조절 및 패터닝 디바이스 수정 툴에 의해 이루어질 패터닝 디바이스의 수정을 공동-최적화하는 것을 더 포함한다. 일 실시예에서, 이러한 방법은 공동-최적화에 기초하여 제 1 수정 정보를 생성하는 단계를 더 포함하는데, 제 1 수정 정보는 패터닝 디바이스 수정 툴이 패터닝 디바이스의 수정을 구현하게 명령한다. 일 실시예에서, 이러한 방법은 공동-최적화에 기초하여 제 2 수정 정보를 생성하는 단계를 더 포함하는데, 제 2 수정 정보는 패터닝 시스템 내의 수정 장치가 조절을 구현하도록 명령한다. 일 실시예에서, 패턴 수정 툴에 의해 이루어지거나 이루어질 수정은 패터닝 디바이스의 기판 내의 유도된 로컬 변형 요소를 포함한다.
일 실시예에서, 패터닝 시스템 내에서 사용할 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형을 획득하는 단계; 컴퓨터 시스템에 의하여, 패터닝 디바이스의 크래킹 작용에 대한 예측을 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형에 기초하여 획득하는 단계; 및 상기 예측이 패터닝 디바이스가 크랙되었거나 크랙될 것을 표시하는 것에 응답하여, 상기 패터닝 시스템 내의 패터닝 디바이스의 사용을 금지하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 패터닝 디바이스는 패터닝 디바이스 수정 툴에 의해서 수정되었다. 일 실시예에서, 온도 및/또는 변형의 공간적 분포를 획득하는 것은, 패터닝 디바이스의 표면 상의 또는 그에 가까운 복수 개의 위치에서 온도 및/또는 변형을 측정하는 것을 포함한다. 일 실시예에서, 이러한 방법은, 패터닝 시스템 내에서 패터닝 디바이스가 사용되는 것을 방지한 이후에 수정을 위하여 패터닝 디바이스를 패터닝 디바이스 수정 툴로 전송하는 것을 더 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 프로세스를 위한 패터닝 디바이스에 패턴 수정 툴에 의해 이루어진 또는 이루어질 수정을 기술하는 정보를 획득하고; 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형을 획득하며; 및 패터닝 디바이스의 크래킹 동작(cracking behavior)을 패터닝 디바이스의 수정 정보 및 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형에 기초하여 예측하게 한다.
일 실시예에서, 프로세서 시스템이 크래킹 동작을 예측하게 하는 명령은 더 나아가 프로세서 시스템으로 하여금, 패터닝 디바이스의 스트레스 또는 압박 맵을 패터닝 디바이스의 수정 정보 및 온도 및/또는 패터닝 디바이스의 변형의 공간적 분포에 기초하여 결정하고; 패터닝 디바이스의 스트레스 또는 압박 맵에 기초하여 크래킹의 척도를 유도하게 하는데, 패터닝 디바이스는 크래킹의 척도가 패터닝 디바이스 크랙 임계를 넘는 것에 응답하여 크랙될 것으로 예측된다. 일 실시예에서, 실행될 경우, 머신-판독가능 명령은 더 나아가 프로세서 시스템으로 하여금 패터닝 프로세스에서 사용되는 패터닝 시스템 내의 수정 장치에 의한 패터닝 프로세스의 조절 및 패터닝 디바이스 수정 툴에 의해 이루어질 패터닝 디바이스의 수정을 공동-최적화하게 한다. 일 실시예에서, 실행될 경우, 머신-판독가능 명령은 더 나아가 프로세서 시스템으로 하여금 공동-최적화에 기초하여 제 1 수정 정보를 생성하게 하는데, 제 1 수정 정보는 패터닝 디바이스 수정 툴이 패터닝 디바이스의 수정을 구현하게 명령한다. 일 실시예에서, 실행될 경우, 머신-판독가능 명령은 더 나아가 프로세서 시스템으로 하여금 공동-최적화에 기초하여 제 2 수정 정보를 생성하게 하는데, 제 2 수정 정보는 패터닝 시스템 내의 수정 장치가 조절을 구현하도록 명령한다. 일 실시예에서, 패턴 수정 툴에 의해 이루어지거나 이루어질 수정은 패터닝 디바이스의 기판 내의 유도된 로컬 변형 요소를 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 시스템 내에서 사용할 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형을 획득하고; 패터닝 디바이스의 크래킹 동작에 대한 예측을 온도의 공간적 분포 및/또는 패터닝 디바이스의 변형에 기초하여 획득하며; 상기 예측이 패터닝 디바이스가 크랙되었거나 크랙될 것을 표시하는 것에 응답하여, 상기 패터닝 시스템 내의 패터닝 디바이스의 사용을 금지하게 한다.
일 실시예에서, 패터닝 디바이스는 패터닝 디바이스 수정 툴에 의해서 수정되었다. 일 실시예에서, 시스템은 온도 및/또는 변형 센서를 더 포함하고, 프로세서 시스템이 온도 및/또는 변형의 공간적 분포를 획득하게 하는 명령은 나아가 프로세서 시스템으로 하여금, 패터닝 디바이스의 표면 상의 또는 그에 가까운 복수 개의 위치에서 온도 센서를 사용하여 온도를 측정하고 및/또는 패터닝 디바이스의 표면 상의 또는 그에 가까운 복수 개의 위치에서 변형 센서를 사용하여 변형을 측정하게 한다. 일 실시예에서, 실행될 경우, 머신-판독가능 명령은 더 나아가 프로세서 시스템으로 하여금, 패터닝 시스템 내에서 패터닝 디바이스가 사용되는 것을 방지한 이후에 수정을 위하여 패터닝 디바이스를 패터닝 디바이스 수정 툴로 전송하게 한다.
일 실시예에서, 패터닝 시스템 내의 제 1 패터닝 디바이스에 관계된 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하는 단계; 상기 패터닝 시스템 내의 제 2 패터닝 디바이스에 관계된 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하는 단계; 제 1 오차 정보와 제 2 오차 정보 사이의 차를 결정하는 단계; 및 컴퓨터 시스템에 의하여, 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차에 기초하여, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하고, 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차는, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 상기 수정 정보에 따라 수정된 이후에 특정 범위 내로 감소되는, 방법이 제공된다.
일 실시예에서, 이러한 방법은, 패터닝 시스템 내의 제 1 패터닝 디바이스에 의하여 제공된 제 1 패턴의 제 1 측정 결과 및/또는 그에 의해서 제공될 제 1 패턴에 대한 제 1 시뮬레이션 결과를 획득하는 단계 및 패터닝 시스템 내의 제 2 패터닝 디바이스에 의하여 제공된 제 2 패턴의 제 2 측정 결과 및/또는 그에 의해서 제공될 제 2 패턴에 대한 제 2 시뮬레이션 결과를 획득하는 단계를 더 포함한다. 일 실시예에서, 제 1 오차 정보는, 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 레지스트레이션 오차 및/또는 제 1 오버레이 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는, 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 레지스트레이션 오차 및/또는 제 2 오버레이 오차를 포함한다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층 내에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 다른 기판 상에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층 내에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제본들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 패터닝 시스템 내의 제 1 패터닝 디바이스에 관계된 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하고; 상기 패터닝 시스템 내의 제 2 패터닝 디바이스에 관계된 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하며; 제 1 오차 정보와 제 2 오차 정보 사이의 차를 결정하고; 및 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차에 기초하여, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하도록 하고, 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차는, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 상기 수정 정보에 따라 수정된 이후에 미리 결정된 범위 내로 감소된다.
일 실시예에서, 실행될 경우, 머신-판독가능 명령은 더 나아가 프로세서 시스템으로 하여금, 패터닝 시스템 내의 제 1 패터닝 디바이스에 의하여 제공된 제 1 패턴의 제 1 측정 결과 및/또는 그에 의해서 제공될 제 1 패턴에 대한 제 1 시뮬레이션 결과를 획득하게 하고, 패터닝 시스템 내의 제 2 패터닝 디바이스에 의하여 제공된 제 2 패턴의 제 2 측정 결과 및/또는 그에 의해서 제공될 제 2 패턴에 대한 제 2 시뮬레이션 결과를 획득하게 한다. 일 실시예에서, 제 1 오차 정보는, 패터닝 시스템 내의 제 1 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 제 1 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 레지스트레이션 오차 및/또는 제 1 오버레이 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는, 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 레지스트레이션 오차 및/또는 제 2 오버레이 오차를 포함한다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층 내에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 다른 기판 상에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층 내에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제본들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
일 실시예에서, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 관계된 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하는 단계; 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 관계된 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하는 단계; 제 1 오차 정보와 제 2 오차 정보 사이의 차를 결정하는 단계; 및 컴퓨터 시스템에 의하여, 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차에 기초하여, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하고, 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차는, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 상기 수정 정보에 따라 수정된 이후에 특정 범위 내로 감소되는, 방법이 제공된다.
일 실시예에서, 이러한 방법은, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 의하여 제공된 제 1 패턴의 제 1 측정 결과 및/또는 그에 의해서 제공될 제 1 패턴에 대한 제 1 시뮬레이션 결과를 획득하는 단계 및 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 의하여 제공된 제 2 패턴의 제 2 측정 결과 및/또는 그에 의해서 제공될 제 2 패턴에 대한 제 2 시뮬레이션 결과를 획득하는 단계를 더 포함한다. 일 실시예에서, 제 1 오차 정보는, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 레지스트레이션 오차 및/또는 제 1 오버레이 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는, 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 레지스트레이션 오차 및/또는 제 2 오버레이 오차를 포함한다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층 내에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 다른 기판 상에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층 내에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제본들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 관계된 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하고; 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 관계된 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하며; 제 1 오차 정보와 제 2 오차 정보 사이의 차를 결정하고; 및 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차에 기초하여, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하도록 하고, 상기 제 1 오차 정보와 제 2 오차 정보 사이의 차는, 상기 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 상기 수정 정보에 따라 수정된 이후에 미리 결정된 범위 내로 감소된다.
일 실시예에서, 실행될 경우, 머신-판독가능 명령은 더 나아가 프로세서 시스템으로 하여금, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 의하여 제공된 제 1 패턴의 제 1 측정 결과 및/또는 그에 의해서 제공될 제 1 패턴에 대한 제 1 시뮬레이션 결과를 획득하게 하고, 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 의하여 제공된 제 2 패턴의 제 2 측정 결과 및/또는 그에 의해서 제공될 제 2 패턴에 대한 제 2 시뮬레이션 결과를 획득하게 한다. 일 실시예에서, 제 1 오차 정보는, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 레지스트레이션 오차 및/또는 제 1 오버레이 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는, 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 레지스트레이션 오차 및/또는 제 2 오버레이 오차를 포함한다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층 내에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 다른 기판 상에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층 내에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제본들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
일 실시예에서, 컴퓨터 시스템에 의하여, 패터닝 시스템 내의 패터닝 디바이스를 수반하는 패터닝 프로세스의 고분해능 패터닝 오차 정보를 오차 수학 모델을 사용하여 모델링하는 단계, 상기 컴퓨터 시스템에 의하여, 패터닝 디바이스 수정 툴에 의하여 이루어질 수 있는 패터닝 오차의 정정을 정정 수학 모델을 사용하여 모델링하는 단계 - 상기 정정 수학 모델은 상기 오차 수학 모델과 실질적으로 동일한 분해능을 가짐 -, 및 상기 컴퓨터 시스템에 의하여, 상기 오차 수학 모델에 의하여 모델링된 패터닝 오차 정보에 상기 정정 수학 모델을 적용함으로써, 상기 패터닝 디바이스 수정 툴을 사용하여 상기 패터닝 디바이스를 수정하기 위한 수정 정보를 결정하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 이러한 방법은 추가적인 정정 수학 모델을 사용하여 상기 패터닝 시스템의 하나 이상의 수정 장치에 의해 이루어질 수 있는 패터닝 오차의 정정을 모델링하는 단계를 더 포함하고, 상기 추가적인 정정 수학 모델은 상기 정정 수학 모델보다 낮은 분해능을 가진다. 일 실시예에서, 고분해능 패터닝 오차는: 에칭-로딩 효과에 기인한 오차, 투영 시스템 온도상승에 기인한 오차, 패터닝 디바이스 온도상승에 기인한 오차, 기판 온도상승에 기인한 오차, 조명 수차 감도로부터 유래하는 오차, 패터닝 시스템간 매칭의 오차, 및/또는 패터닝 디바이스간 매칭의 오차 중에서 선택된 하나 이상을 포함한다. 일 실시예에서, 이러한 방법은 하나 이상의 기판 상의 복수 개의 계측 타겟의 샘플을 사용하여 패터닝 오차 정보를 측정하기 위한 샘플 스킴(sample scheme)을 선택하는 단계를 더 포함하고, 상기 선택은 상기 오차 수학 모델 및 하나 이상의 제약에 기초한다. 일 실시예에서, 고분해능은 기판 상에서의 1 mm 이하의 공간 주파수를 포함한다. 일 실시예에서, 패터닝 오차 정보는 오버레이 오차, 선량, 초점 및/또는 임계 치수를 포함한다.
일 실시예에서, 시스템으로서, 하드웨어 프로세서 시스템; 및
머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하고, 상기 머신-판독가능 명령은 실행될 경우 상기 프로세서 시스템으로 하여금,
컴퓨터 시스템에 의하여, 패터닝 시스템 내의 패터닝 디바이스를 수반하는 패터닝 프로세스의 고분해능 패터닝 오차 정보를 오차 수학 모델을 사용하여 모델링하고,
상기 컴퓨터 시스템에 의하여, 패터닝 디바이스 수정 툴에 의하여 이루어질 수 있는 패터닝 오차의 정정을 정정 수학 모델을 사용하여 모델링하며 - 상기 정정 수학 모델은 상기 오차 수학 모델과 실질적으로 동일한 분해능을 가짐 -,
상기 컴퓨터 시스템에 의하여, 상기 오차 수학 모델에 의하여 모델링된 패터닝 오차 정보에 상기 정정 수학 모델을 적용함으로써, 상기 패터닝 디바이스 수정 툴을 사용하여 상기 패터닝 디바이스를 수정하기 위한 수정 정보를 결정하게 하는, 시스템이 제공된다.
일 실시예에서, 실행될 경우, 상기 머신-판독가능 명령은 더 나아가 상기 프로세서 시스템으로 하여금, 추가적인 정정 수학 모델을 사용하여 상기 패터닝 시스템의 하나 이상의 수정 장치에 의해 이루어질 수 있는 패터닝 오차의 정정을 모델링하게 하고, 추가적인 정정 수학 모델은 상기 정정 수학 모델보다 낮은 분해능을 가진다. 일 실시예에서, 고분해능 패터닝 오차는: 에칭-로딩 효과에 기인한 오차, 투영 시스템 온도상승에 기인한 오차, 패터닝 디바이스 온도상승에 기인한 오차, 기판 온도상승에 기인한 오차, 조명 수차 감도로부터 유래하는 오차, 패터닝 시스템간 매칭의 오차, 및/또는 패터닝 디바이스간 매칭의 오차 중에서 선택된 하나 이상을 포함한다. 일 실시예에서, 실행될 경우, 상기 머신-판독가능 명령은 더 나아가 상기 프로세서 시스템으로 하여금, 하나 이상의 기판 상의 복수 개의 계측 타겟의 샘플을 사용하여 패터닝 오차 정보를 측정하기 위한 샘플 스킴(sample scheme)을 선택하게 하고, 상기 선택은 상기 오차 수학 모델 및 하나 이상의 제약에 기초한다. 일 실시예에서, 고분해능은 기판 상에서의 1 mm 이하의 공간 주파수를 포함한다. 일 실시예에서, 패터닝 오차 정보는 오버레이 오차, 선량, 초점 및/또는 임계 치수를 포함한다.
일 실시예에서, 패터닝 디바이스를 수반하는, 패터닝 프로세스 내의 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차를 획득하는 단계; 및 컴퓨터 시스템에 의하여, 상기 패터닝 오차에 기초하여 상기 패터닝 디바이스를 수정하기 위한 제 1 수정 정보를 생성하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 이러한 방법은, 패터닝 오차의 주기적 성분을 결정하는 단계 및 상기 패터닝 오차의 주기적 성분에 기초하여 상기 패터닝 디바이스를 수정하기 위한 제 1 수정 정보를 생성하는 단계를 포함한다. 일 실시예에서, 제 1 수정 정보는 상기 패터닝 오차를 적어도 부분적으로 정정하도록 상기 패터닝 디바이스의 주기적 수정을 생성하기 위한 것이다. 일 실시예에서, 상기 패터닝 디바이스의 주기적 수정의 크기는 상기 패터닝 디바이스에 걸쳐서 진동한다. 일 실시예에서, 상기 패터닝 오차는 이미지 필드 내의 복수 개의 다이에 걸쳐서 분포되고, 각각의 다이는 상기 패터닝 오차의 주기적 성분의 하나의 주기의 적어도 일부를 포함한다. 일 실시예에서, 기판 상의 복수 개의 다이는 인접한 다이들 사이에 주위 영역을 포함하고, 상기 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차는 상기 주위 영역으로부터 상기 다이에 유도된 스트레스로부터 발생한다. 일 실시예에서, 상기 주위 영역은 상기 복수 개의 다이와는 다른 재료를 포함한다. 일 실시예에서, 상기 주위 영역은 상기 복수 개의 다이와는 다른 물리적 구조체를 포함한다. 일 실시예에서, 상기 패터닝 오차는, 상기 패터닝 디바이스가 제 1 수정 정보에 따라서 수정되는 경우, 상기 패터닝 프로세스 내의 하나 이상의 제조 프로세스 툴에 대해서 정정가능한 오차로 변환되고, 및/또는 특정 범위 내로 감소된다. 일 실시예에서, 상기 제 1 수정 정보는 상기 패터닝 디바이스를 수정하기 위하여, 상기 패터닝 디바이스 내의 유도된 로컬 변형 요소 및/또는 위치 투과 변동의 공간적 분포를 포함한다. 일 실시예에서, 상기 방법은, 컴퓨터 시스템에 의하여, 상기 패터닝 프로세스에서 사용되는 하나 이상의 제조 처리 툴에 대한 제 2 수정 정보를 상기 패터닝 오차 및/또는 제 1 수정 정보에 기초하여 생성하는 단계를 더 포함한다. 일 실시예에서, 상기 제 2 수정 정보는 상기 제 1 수정 정보에 기초하여 생성된다. 일 실시예에서, 상기 패터닝 오차는 상기 기판 상의 이미지 필드 내의 임계 치수 오차, 오버레이 오차, 측벽 각도 오차, 또는 하단면 틸트 오차이다. 일 실시예에서, 상기 패터닝 오차는, 패터닝 프로세스 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 실행되는 경우, 머신-판독가능 명령은 상기 프로세서 시스템으로 하여금, 패터닝 디바이스를 수반하는, 패터닝 프로세스 내의 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차를 획득하고; 컴퓨터 시스템에 의하여, 상기 패터닝 오차에 기초하여 상기 패터닝 디바이스를 수정하기 위한 제 1 수정 정보를 생성하게 한다.
일 실시예에서, 상기 명령은, 상기 패터닝 오차의 주기적 성분을 결정하고, 상기 패터닝 오차의 주기적 성분에 기초하여 상기 패터닝 디바이스를 수정하기 위한 제 1 수정 정보를 생성하도록 더욱 구성된다. 일 실시예에서, 상기 제 1 수정 정보는 상기 패터닝 오차를 적어도 부분적으로 정정하기 위하여 상기 패터닝 디바이스의 주기적 수정을 생성하기 위한 것이다. 일 실시예에서, 상기 패터닝 디바이스의 주기적 수정의 크기는 상기 패터닝 디바이스에 걸쳐서 진동한다. 일 실시예에서, 상기 패터닝 오차는 이미지 필드 내의 복수 개의 다이에 걸쳐서 분포되고, 각각의 다이는 상기 패터닝 오차의 주기적 성분의 하나의 주기의 적어도 일부를 포함한다. 일 실시예에서, 기판 상의 복수 개의 다이는 인접한 다이들 사이에 주위 영역을 포함하고, 상기 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차는 상기 주위 영역으로부터 상기 다이에 유도된 스트레스로부터 발생한다. 일 실시예에서, 상기 주위 영역은 상기 복수 개의 다이와는 다른 재료를 포함한다. 일 실시예에서, 상기 주위 영역은 상기 복수 개의 다이와는 다른 물리적 구조체를 포함한다. 일 실시예에서, 상기 패터닝 오차는, 상기 패터닝 디바이스가 제 1 수정 정보에 따라서 수정되는 경우, 상기 패터닝 프로세스 내의 하나 이상의 제조 프로세스 툴에 대해서 정정가능한 오차로 변환되고, 및/또는 특정 범위 내로 감소된다. 일 실시예에서, 상기 제 1 수정 정보는 상기 패터닝 디바이스를 수정하기 위하여, 상기 패터닝 디바이스 내의 유도된 로컬 변형 요소 및/또는 위치 투과 변동의 공간적 분포를 포함한다. 일 실시예에서, 상기 머신-판독가능 명령은, 컴퓨터 시스템에 의하여, 상기 패터닝 프로세스에서 사용되는 하나 이상의 제조 처리 툴에 대한 제 2 수정 정보를 상기 패터닝 오차 및/또는 제 1 수정 정보에 기초하여 생성하도록 더욱 구성된다. 일 실시예에서, 상기 제 2 수정 정보는 상기 제 1 수정 정보에 기초하여 생성된다. 일 실시예에서, 상기 패터닝 오차는 상기 기판 상의 이미지 필드 내의 임계 치수 오차, 오버레이 오차, 측벽 각도 오차, 또는 하단면 틸트 오차이다. 일 실시예에서, 상기 패터닝 오차는, 패터닝 프로세스 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도된다.
도 17 을 참조하면, 컴퓨터 시스템(100)이 도시된다. 컴퓨터 시스템(100)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 매커니즘과, 정보를 처리하기 위하여 버스(102)와 커플링된 프로세서(104)(또는 여러 프로세서들(104 및 105)을 포함한다. 컴퓨터 시스템(100)은 프로세서(104)에 의하여 실행될 정보 및 명령을 저장하기 위하여 버스(102)에 커플링되는, 랜덤 액세스 메모리(RAM) 또는 다른 동적 스토리지 디바이스와 같은 메인 메모리(106)를 더 포함한다. 메인 메모리(106)는 프로세서(104)에 의하여 실행될 명령이 실행되는 도중에 일시적 변수 또는 다른 중간 정보를 저장하기 위해서도 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령을 저장하기 위하여 버스(102)에 커플링된 판독 전용 메모리(ROM)(108) 또는 다른 정적 스토리지 디바이스를 더 포함한다. 자기적 디스크 또는 광학적 디스크와 같은 스토리지 디바이스(110)가 제공되고 정보 및 명령을 저장하기 위하여 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 정보를 컴퓨터 사용자에게 디스플레이하기 위하여, 버스(102)를 통해서 음극선관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 키와 다른 키들을 포함하는 입력 디바이스(114)는 정보 및 커맨드 셀렉션을 프로세서(104)로 통신하기 위하여 버스(102)에 커플링된다. 다른 타입의 사용자 입력 디바이스는, 지시 정보와 커맨드 셀렉션을 프로세서(104)로 통신하고 디스플레이(112) 상에서의 커서 움직임을 제어하기 위한, 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 콘트롤(116)이다. 이러한 입력 디바이스는 통상적으로 두 개의 축인 제 1 축(예를 들어, x)과 제 2 축(예를 들어, y)에서 2-자유도를 가져서, 디바이스가 평면에서의 위치를 특정하게 한다. 터치 패널(스크린) 디스플레이가 입력 디바이스로서 사용될 수도 있다.
컴퓨터 시스템(100)은 프로세서(104)가 메인 메모리(106) 내에 저장된 하나 이상의 명령 중 하나 이상의 시퀀스를 실행하는 것에 응답하여, 도 5 내지 도 7 및 도 10 내지 도 16 에서 기술된 것과 같은 방법을 구현하기에 적합할 수 있다. 이러한 명령들은 스토리지 디바이스(110)와 같은 다른 컴퓨터-판독가능 매체로부터 메인 메모리(106)로 독출될 수 있다. 메인 메모리(106)에 포함된 명령의 시퀀스를 실행하면, 프로세서(104)는 본 명세서에서 설명되는 프로세스 단계를 수행하게 된다. 메인 메모리(106)에 포함된 명령의 시퀀스를 실행하기 위하여, 다중 처리 장치 내의 하나 이상의 프로세서가 채용될 수도 있다. 다른 실시예에서, 소프트웨어 명령 대신에 또는 이와 조합되어 유선 회로부가 사용될 수도 있다. 따라서, 실시예들은 하드웨어 회로와 소프트웨어의 임의의 특정한 조합으로 한정되지 않는다.
"컴퓨터-판독가능 매체"라는 용어는 본 명세서에서 사용될 때 실행되도록 프로세서(104)로 명령을 제공하는 데에 참여하는 임의의 유형의(tangible) 매체를 가리킨다. 이러한 매체는 비-휘발성 미디어, 휘발성 미디어, 및 송신 미디어를 포함하지만 이들로 한정되지는 않는 많은 형태를 취할 수도 있다. 비-휘발성 미디어는 예를 들어, 스토리지 디바이스(110)와 같은 광학적 또는 자기적 디스크를 포함한다. 휘발성 미디어는 메인 메모리(106)와 같은 동적 메모리를 포함한다. 송신 미디어는 동축 케이블, 구리 배선, 및 버스(102)를 포함하는 와이어를 포함하는 광섬유(fiber optics)를 포함한다. 송신 미디어는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 중에 생성되는 것과 같은 음파 또는 광파의 형태를 띨 수도 있다. 컴퓨터-판독가능 미디어의 공통 형태는, 예를 들어 플로피 디스크, 가요성 디스크, 하드 디스크, 자기 테이프, 및 임의의 다른 자기적 매체, 자기-광학적 매체, CD-ROM, DVD, 임의의 다른 광학적 매체, 펀치 카드, 종이 테이프, 홀들의 패턴을 가진 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH EPROM, 임의의 다른 메모리 칩 또는 카트리지, 후술될 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능 매체들이 하나 이상의 명령의 하나 이상의 시퀀스를 실행되도록 프로세서(104)로 운반하는 것에 수반될 수 있다. 예를 들어, 명령들은 처음에 원격 컴퓨터의 자기적 디스크 상에 보유될 수도 있다. 원격 컴퓨터는 명령들을 자신의 동적 메모리 내로 로딩하고 명령들을 모뎀을 사용하여 전화선을 통해 전송할 수 있다. 컴퓨터 시스템(100)에 국지적으로 보유되는 모뎀은 전화선에서 데이터를 수신하고, 적외선 송신기를 사용하여 이러한 데이터를 적외선 신호로 변환한다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호에서 운반되는 데이터를 수신하고, 이러한 데이터를 버스(102)에 로딩할 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 운반하며, 프로세서(104)는 이로부터 명령들을 취출하고 실행한다. 메인 메모리(106)로부터 수신된 명령들은 프로세서(104)에 의한 실행 이전에 또는 그 이후에 선택적으로 스토리지 디바이스(110)에 저장될 수 있다.
컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 더 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결된 네트워크 링크(120)로 양-방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하기 위한 종합 정보 통신망(integrated services digital network; ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(118)는 호환가능한 LAN에 데이터 통신 연결을 제공하기 위한 근거리 네트워크(LAN) 카드일 수 있다. 무선 링크가 구현될 수도 있다. 임의의 이러한 구현형태에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림을 운반하는 전기적, 전자기적 또는 광학적 신호를 전송하고 수신한다.
네트워크 링크(120)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124) 또는 인터넷 서비스 제공자(ISP)(126)에 의하여 작동되는 데이터 장비로 연결을 제공할 수 있다. 이제 ISP(126)는, 현재 일반적으로 "인터넷(128)"이라고 불리는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122)와 인터넷(128) 양자 모두는 디지털 데이터 스트림을 운반하는 전기적, 전자기적 또는 광학적 신호를 사용한다. 컴퓨터 시스템(100)으로의 또는 그로부터의 디지털 데이터를 운반하는, 다양한 네트워크들을 통과하는 신호와 네트워크 링크(120)를 통과하고 통신 인터페이스(118)를 통과하는 신호는 정보를 수송하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통해서, 메시지를 전송하고 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷의 예에서, 서버(130)는 애플리케이션 프로그램에 대한 요청된 코드를 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 송신할 수 있다. 하나 이상의 실시예에 따르면, 이러한 하나의 다운로드된 애플리케이션은, 예를 들어 실시예의 조명 최적화를 제공한다. 수신된 코드는 수신될 때 프로세서(104)에 의하여 실행되고, 및/또는 추후에 실행되도록 스토리지 디바이스(110), 또는 다른 비-휘발성 스토리지에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 애플리케이션 코드를 반송파의 형태로 획득할 수 있다.
예컨대, 본 발명의 실시예는 본 명세서에 개시된 바와 같은 방법을 기술하는 기계 판독 가능한 명령어들의 하나 이상의 시퀀스들을 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되는 데이터 저장 매체(예컨대, 반도체 메모리, 자기 디스크 또는 광디스크)의 형태를 취할 수 있다. 더 나아가, 기계 판독 가능한 명령어는 두 개 이상의 컴퓨터 프로그램에서 구현될 수 있다. 두 개 이상의 컴퓨터 프로그램은 하나 이상의 상이한 메모리 및/또는 데이터 저장 미디어에 저장될 수 있다.
본 명세서에서 설명되는 임의의 제어기는 하나 이상의 컴퓨터 프로그램이 리소그래피 장치의 적어도 하나의 컴포넌트 내에 위치된 하나 이상의 컴퓨터 프로세서에 의해 판독되는 경우 각각 또는 조합되어 동작될 수 있다. 제어기는 각각 또는 조합하여 신호를 수신, 처리, 및 송신하기에 적합한 임의의 구성을 가질 수 있다. 하나 이상의 프로세서는 제어기 중 적어도 하나와 통신하도록 구성된다. 예를 들어, 각각의 제어기는 전술된 방법에 대한 머신-판독가능 명령을 포함하는 컴퓨터 프로그램을 실행하기 위한 하나 이상의 프로세서를 포함할 수 있다. 제어기는 이러한 컴퓨터 프로그램을 저장하기 위한 데이터 저장 매체, 및/또는 이러한 매체를 수용하기 위한 하드웨어를 포함할 수 있다. 그러므로, 제어기(들)는 하나 이상의 컴퓨터 프로그램의 머신 판독가능 명령에 따라 동작할 수 있다. 비록 본문에서 IC의 제조에서 검사 장치를 사용하는 것에 대해서 특별히 언급하였지만, 본 명세서에서 설명되는 검사 장치는 다른 응용 분야, 예컨대 집적 광 시스템의 제조, 자기장 도메인 메모리용 유도 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등을 가질 수 있다는 것이 이해되어야 한다. 당업자는, 이러한 다른 응용예의 문맥에서, 본 명세서에서 사용된 "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어와 동의어로 간주될 수 있음을 이해할 것이다. 본 명세서에서 기판은, 예를 들어 트랙(통상적으로 레지스트 층을 기판에 도포하고 노광된 레지스트를 현상하는 툴), 계측 툴 및/또는 검사 툴에서, 노광 전 또는 노광 후에 처리될 수 있다. 적용 가능한 범위에서, 본 명세서에서의 개시물은 이러한 기판 처리 툴 및 다른 기판 처리 툴에 적용될 수 있다. 또한, 예컨대 다층 집적회로를 생성하기 위하여 기판이 복수 회 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 지칭할 수 있다.
비록 위에서 광 리소그래피의 콘텍스트에서 본 발명의 실시예를 사용하는 것에 대해 특정하여 언급하였지만, 본 발명이 다른 애플리케이션, 예를 들어 나노임프린트(nanoimprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 나노임프린트 리소그래피의 경우, 패터닝 디바이스는 임프린트 템플릿 또는 몰드이다. 본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.
본 명세서에서, 임계를 넘어가거나 통과한다는 것은, 특정 값 미만 또는 특정한 값 이하인 값을 가지는 어떤 것, 특정 값보다 높거나 특정 값 이상인 어떤 것, 예를 들어 파라미터 등에 기초하여 다른 것보다 높거나 낮게 랭킹된(예를 들어 정렬을 통해) 어떤 것을 포함할 수 있다.
본 명세서에서 오차의 정정함(correcting) 또는 정정(correction)은, 오차를 제거하거나 오차를 공차 범위 내로 감소시키는 것을 포함한다.
"최적화함(optimizing)" 및 "최적화(optimization)" 라는 용어는 본 명세서에서 사용될 때, 리소그래피 또는 패터닝 처리의 결과 및/또는 프로세스가 더 바람직한 특성, 예컨대 기판 상의 설계 레이아웃의 투영의 더 높은 정확도, 더 큰 프로세스 윈도우 등과 같이 더 양호한 특성을 가지도록 리소그래피 장치, 패터닝 프로세스 등을 조절하는 것을 가리키거나 의미한다. 따라서, "최적화함(optimizing)" 및 "최적화(optimization)" 라는 용어는 본 명세서에서 사용될 때, 하나 이상의 파라미터에 대한 하나 이상의 값들의 초기 세트와 비교할 때, 적어도 하나의 관련된 메트릭에서, 개선, 예를 들어 국지적인 최적값을 제공하는, 그러한 하나 이상의 파라미터에 대한 하나 이상의 값들을 식별하는 프로세스를 가리키거나 의미한다. "최적" 및 다른 관련된 용어는 이에 상응하게 해석되어야 한다. 일 실시예에서, 최적화 단계는 하나 이상의 메트릭에서 추가적인 개선을 제공하도록 반복적으로 적용될 수 있다.
시스템의 최적화 프로세스에서, 시스템 또는 프로세스의 성능 지수는 비용 함수로서 표현될 수 있다. 최적화 프로세스는 비용 함수를 최적화(예를 들어 최소화 또는 최대화)하는 시스템 또는 프로세스의 파라미터들(설계 변수)의 세트를 찾는 과정이 된다. 비용 함수는 최적화의 목표에 따라 임의의 적합한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템 또는 프로세스의 특정한 특성(평가 포인트)의 이러한 특성의 의도된 값(예를 들어, 이상적인 값)에 대한 편차의 가중치가 부여된 평균제곱근(RMS)일 수 있다; 비용 함수는 또한 이러한 편차들 중 최대값(예를 들어 최악의 편차)일 수도 있다. 본 명세서에서 "평가 포인트"라는 용어는 시스템 또는 프로세스의 임의의 특성을 포함하도록 넓게 해석되어야 한다. 시스템 또는 프로세스의 설계 변수는 유한 범위로 한정되거나 및/또는 시스템의 구현형태들의 실용성 때문에 상호의존적일 수 있다. 리소그래피 장치 또는 디바이스 제조 프로세스의 경우에, 이러한 제약들은 흔히 튜닝가능한 범위, 및/또는 패터닝 디바이스 제조성(manufacturability) 설계 규칙과 같은 하드웨어의 물리적 성질 및 특성과 연관되며, 평가 포인트는 기판 상의 레지스트상 상의 물리적 포인트, 및 선량 및 초점과 같은 비-물리적 특성을 포함할 수 있다.
본 발명은 다음 절들을 사용하여 더 기술될 수 있다.
1. 패터닝 시스템의 에칭 툴에 의해 처리된 이후에 패턴의 측정 및/또는 시뮬레이션 결과를 획득하는 단계;
에칭 로딩(etch loading) 효과에 기인한 패터닝 오차를 상기 측정 및/또는 시뮬레이션 결과에 기초하여 결정하는 단계; 및
컴퓨터 시스템에 의하여, 상기 패터닝 오차에 기초하여, 상기 패터닝 시스템 내에서 상기 에칭 툴로부터 업스트림에 있는 패터닝 디바이스를 수정하기 위한 및/또는 수정 장치를 조절하기 위한 수정 정보를 생성하는 단계를 포함하고,
상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되고 및/또는 상기 수정 장치가 상기 수정 정보에 따라 조절되는 경우, 상기 패터닝 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소되는, 방법.
2. 제 1 절에 있어서,
상기 방법은, 상기 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하는, 방법.
3. 제 1 절 또는 제 2 절에 있어서,
상기 방법은, 상기 패터닝 시스템 내에서 상기 에칭 툴로부터 업스트림에 있는 상기 수정 장치에 대한 수정 정보를 생성하는 단계를 포함하는, 방법.
4. 제 1 절 내지 제 3 절 중 어느 한 절에 있어서,
상기 방법은, 상기 패터닝 디바이스를 수정하기 위한 수정 정보 및 상기 수정 장치를 조절하기 위한 수정 정보를 공동-최적화하는 단계를 더 포함하는, 방법.
5. 기판의 영역에 제공되는 패턴의 측정 결과 및/또는 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 획득하는 단계 - 상기 패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용하여 제공되거나 제공될 것임 -;
상기 패턴과 타겟 패턴 사이의 오차를 결정하는 단계; 및
컴퓨터 시스템에 의하여, 상기 패터닝 디바이스에 대한 수정 정보를 상기 오차에 기초하여 생성하는 단계를 포함하고,
상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되는 경우, 상기 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소되는, 방법.
6. 제 5 절에 있어서,
상기 오차는 임계 치수 오차인, 방법.
7. 제 5 절 또는 제 6 절에 있어서,
상기 오차는, 상기 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 상기 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도되는, 방법.
8. 비일시적 컴퓨터 프로그램 제품으로서,
프로세서 시스템이 제 1 절 내지 제 7 절 중 어느 한 절의 방법을 수행하게 하기 위한 머신-판독가능 명령을 포함하는, 비일시적 컴퓨터 프로그램 제품.
9. 시스템으로서,
하드웨어 프로세서 시스템; 및
머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하고, 상기 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금:
패터닝 시스템의 에칭 툴에 의해 처리된 이후에 패턴의 측정 및/또는 시뮬레이션 결과를 획득하고;
에칭 로딩(etch loading) 효과에 기인한 패터닝 오차를 상기 측정 및/또는 시뮬레이션 결과에 기초하여 결정하며;
상기 패터닝 오차에 기초하여, 상기 패터닝 시스템 내에서 상기 에칭 툴로부터 업스트림에 있는 패터닝 디바이스를 수정하기 위한 및/또는 수정 장치를 조절하기 위한 수정 정보를 생성하게 하고,
상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되고 및/또는 상기 수정 장치가 상기 수정 정보에 따라 조절되는 경우, 상기 패터닝 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소되는, 시스템.
10. 제 9 절에 있어서,
실행될 경우, 상기 머신-판독가능 명령은 상기 프로세서 시스템으로 하여금 상기 패터닝 디바이스에 대한 수정 정보를 생성하게 하는, 시스템.
11. 제 9 절 또는 제 10 절에 있어서,
실행될 경우, 상기 머신-판독가능 명령은 상기 프로세서 시스템으로 하여금 상기 패터닝 시스템 내에서 상기 에칭 툴로부터 업스트림에 있는 수정 장치에 대한 수정 정보를 생성하게 하는, 시스템.
12. 제 9 절 내지 제 11 절 중 어느 한 절에 있어서,
실행될 경우, 상기 머신-판독가능 명령은 상기 프로세서 시스템으로 하여금, 상기 패터닝 디바이스를 수정하기 위한 수정 정보 및 상기 수정 장치를 조절하기 위한 수정 정보를 공동-최적화하게 하는, 시스템.
13. 시스템으로서,
하드웨어 프로세서 시스템; 및
머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하고,
상기 머신-판독가능 명령은 실행되는 경우 상기 프로세서 시스템으로 하여금,
기판의 영역에 제공되는 패턴의 측정 결과 및/또는 상기 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 획득하고 - 상기 패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용하여 제공되거나 제공될 것임 -;
상기 패턴과 타겟 패턴 사이의 오차를 결정하며;
상기 패터닝 디바이스에 대한 수정 정보를 상기 오차에 기초하여 생성하게 하고,
상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되는 경우, 상기 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소되는, 시스템.
14. 제 13 절에 있어서,
상기 오차는 임계 치수 오차인, 시스템.
15. 제 13 절 또는 제 14 절에 있어서,
상기 오차는, 상기 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 상기 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도되는, 시스템.
16. 패터닝 디바이스를 수반하는, 패터닝 프로세스 내의 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차를 획득하는 단계; 및
컴퓨터 시스템에 의하여, 상기 패터닝 오차에 기초하여 상기 패터닝 디바이스를 수정하기 위한 제 1 수정 정보를 생성하는 단계를 포함하는, 방법.
17. 제 16 절에 있어서,
상기 방법은, 상기 패터닝 오차의 주기적 성분을 결정하는 단계 및 상기 패터닝 오차의 주기적 성분에 기초하여 상기 패터닝 디바이스를 수정하기 위한 제 1 수정 정보를 생성하는 단계를 포함하는, 방법.
18. 제 16 절 또는 제 17 절에 있어서,
상기 제 1 수정 정보는 상기 패터닝 오차를 적어도 부분적으로 정정하도록 상기 패터닝 디바이스의 주기적 수정을 생성하기 위한 것인, 방법.
19. 제 18 절에 있어서,
상기 패터닝 디바이스의 주기적 수정의 크기는 상기 패터닝 디바이스에 걸쳐서 진동하는, 방법.
20. 제 16 절 내지 제 19 절 중 어느 한 절에 있어서,
상기 패터닝 오차는 한 이미지 필드 내의 복수 개의 다이에 걸쳐서 분포되고,
다이들 각각은 상기 패터닝 오차의 주기적 성분의 하나의 주기의 적어도 일부를 포함하는, 방법.
21. 제 20 절에 있어서,
상기 기판 상의 복수 개의 다이는 인접한 다이들 사이에 주위 영역을 포함하고,
상기 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차는 상기 주위 영역으로부터 상기 다이에 유도된 스트레스로부터 발생하는, 방법.
22. 제 21 절에 있어서,
상기 주위 영역은 상기 복수 개의 다이와는 다른 재료를 포함하는, 방법.
23. 제 21 절 또는 제 22 절에 있어서,
상기 주위 영역은 상기 복수 개의 다이와는 다른 물리적 구조체를 포함하는, 방법.
24. 제 16 절 내지 제 23 절 중 어느 한 절에 있어서,
상기 패터닝 오차는, 상기 패터닝 디바이스가 제 1 수정 정보에 따라서 수정되는 경우, 상기 패터닝 프로세스 내의 하나 이상의 제조 프로세스 툴에 대해서 정정가능한 오차로 변환되고, 및/또는 특정 범위 내로 감소되는, 방법.
25. 제 16 절 내지 제 24 절 중 어느 한 절에 있어서,
상기 제 1 수정 정보는 상기 패터닝 디바이스를 수정하기 위하여, 상기 패터닝 디바이스 내의 유도된 로컬 변형 요소 및/또는 위치 투과 변동의 공간적 분포를 포함하는, 방법.
26. 제 16 절 내지 제 25 절 중 어느 한 절에 있어서,
상기 방법은, 컴퓨터 시스템에 의하여, 상기 패터닝 프로세스에서 사용되는 하나 이상의 제조 처리 툴에 대한 제 2 수정 정보를 상기 패터닝 오차 및/또는 제 1 수정 정보에 기초하여 생성하는 단계를 더 포함하는, 방법.
27. 제 26 절에 있어서,
상기 제 2 수정 정보는 상기 제 1 수정 정보에 기초하여 생성되는, 방법.
28. 제 16 절 내지 제 27 절 중 어느 한 절에 있어서,
상기 패터닝 오차는 상기 기판 상의 하나의 이미지 필드 내의 임계 치수 오차, 오버레이 오차, 측벽 각도 오차, 또는 하단면 틸트 오차인, 방법.
29. 제 16 절 내지 제 28 절 중 어느 한 절에 있어서,
상기 패터닝 오차는, 상기 패터닝 프로세스 내에서 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정치에 기초하여 및/또는 상기 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도되는, 방법.
30. 비일시적 컴퓨터 프로그램 제품으로서,
프로세서 시스템이 제 16 절 내지 제 29 절 중 어느 한 절의 방법을 수행하게 하기 위한 머신-판독가능 명령을 포함하는, 비일시적 컴퓨터 프로그램 제품.
31. 시스템으로서,
하드웨어 프로세서 시스템; 및
머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하고,
실행되는 경우, 상기 머신-판독가능 명령은 상기 프로세서 시스템으로 하여금,
패터닝 디바이스를 수반하는, 패터닝 프로세스 내의 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차를 획득하고;
컴퓨터 시스템에 의하여, 상기 패터닝 오차에 기초하여 상기 패터닝 디바이스를 수정하기 위한 제 1 수정 정보를 생성하게 하는, 시스템.
32. 제 31 절에 있어서,
상기 명령은, 상기 패터닝 오차의 주기적 성분을 결정하고, 상기 패터닝 오차의 주기적 성분에 기초하여 상기 패터닝 디바이스를 수정하기 위한 제 1 수정 정보를 생성하도록 더욱 구성되는, 시스템.
33. 제 31 절 또는 제 32 절에 있어서,
상기 제 1 수정 정보는 상기 패터닝 오차를 적어도 부분적으로 정정하도록 상기 패터닝 디바이스의 주기적 수정을 생성하기 위한 것인, 시스템.
34. 제 33 절에 있어서,
상기 패터닝 디바이스의 주기적 수정의 크기는 상기 패터닝 디바이스에 걸쳐서 진동하는, 시스템.
35. 제 31 절 내지 제 34 절 중 어느 한 절에 있어서,
상기 패터닝 오차는 한 이미지 필드 내의 복수 개의 다이에 걸쳐서 분포되고,
다이들 각각은 상기 패터닝 오차의 주기적 성분의 하나의 주기의 적어도 일부를 포함하는, 시스템.
36. 제 35 절에 있어서,
상기 기판 상의 복수 개의 다이는 인접한 다이들 사이에 주위 영역을 포함하고,
상기 다이에 걸친 또는 이미지 필드 프로세스-유도 패터닝 오차는 상기 주위 영역으로부터 상기 다이에 유도된 스트레스로부터 발생하는, 시스템.
37. 제 36 절에 있어서,
상기 주위 영역은 상기 복수 개의 다이와는 다른 재료를 포함하는, 시스템.
38. 제 36 절 또는 제 37 절에 있어서,
상기 주위 영역은 상기 복수 개의 다이와는 다른 물리적 구조체를 포함하는, 시스템.
39. 제 31 절 내지 제 38 절 중 어느 한 절에 있어서,
상기 패터닝 오차는, 상기 패터닝 디바이스가 제 1 수정 정보에 따라서 수정되는 경우, 상기 패터닝 프로세스 내의 하나 이상의 제조 프로세스 툴에 대해서 정정가능한 오차로 변환되고, 및/또는 특정 범위 내로 감소되는, 시스템.
40. 제 31 절 내지 제 39 절 중 어느 한 절에 있어서,
상기 제 1 수정 정보는 상기 패터닝 디바이스를 수정하기 위하여, 상기 패터닝 디바이스 내의 유도된 로컬 변형 요소 및/또는 위치 투과 변동의 공간적 분포를 포함하는, 시스템.
41. 제 31 절 내지 제 40 절 중 어느 한 절에 있어서,
상기 머신-판독가능 명령은, 상기 컴퓨터 시스템에 의하여, 상기 패터닝 프로세스에서 사용되는 하나 이상의 제조 처리 툴에 대한 제 2 수정 정보를 상기 패터닝 오차 및/또는 제 1 수정 정보에 기초하여 생성하게 하도록 더욱 구성되는, 시스템.
42. 제 41 절에 있어서,
상기 제 2 수정 정보는 상기 제 1 수정 정보에 기초하여 생성되는, 시스템.
43. 제 31 절 내지 제 42 절 중 어느 한 절에 있어서,
상기 패터닝 오차는 상기 기판 상의 하나의 이미지 필드 내의 임계 치수 오차, 오버레이 오차, 측벽 각도 오차, 또는 하단면 틸트 오차인, 시스템.
44. 제 31 절 내지 제 43 절 중 어느 한 절에 있어서,
상기 패터닝 오차는, 상기 패터닝 프로세스 내에서 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정치에 기초하여 및/또는 상기 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도되는, 시스템.
비록 본 발명의 특정한 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다. 예컨대, 본 발명의 실시예는 위에서 개시된 바와 같은 방법을 기술하는 기계 판독 가능한 명령어들의 하나 이상의 시퀀스들을 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되는 데이터 저장 매체(예컨대, 반도체 메모리, 자기 디스크 또는 광디스크)의 형태를 취할 수 있다.
위의 설명은 한정적인 것이 아니라 예시적인 의도로 제공된다. 따라서, 다음 진술되는 청구항의 범위로부터 벗어나지 않으면서, 기술된 바와 같은 본 발명이 변경될 수 있다는 것이 당업자에게는 명백할 것이다.

Claims (15)

  1. 패터닝 시스템의 에칭 툴에 의해 처리된 이후에 패턴의 측정 및/또는 시뮬레이션 결과를 획득하는 단계;
    에칭 로딩(etch loading) 효과에 기인한 패터닝 오차를 상기 측정 및/또는 시뮬레이션 결과에 기초하여 결정하는 단계; 및
    컴퓨터 시스템에 의하여, 상기 패터닝 오차에 기초하여, 상기 패터닝 시스템 내에서 상기 에칭 툴로부터 업스트림에 있는 패터닝 디바이스를 수정하기 위한 및/또는 수정 장치를 조절하기 위한 수정 정보를 생성하는 단계를 포함하고,
    상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되고 및/또는 상기 수정 장치가 상기 수정 정보에 따라 조절되는 경우, 상기 패터닝 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소되는, 방법.
  2. 제 1 항에 있어서,
    상기 방법은, 상기 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하는, 방법.
  3. 제 1 항에 있어서,
    상기 방법은, 상기 패터닝 시스템 내에서 상기 에칭 툴로부터 업스트림에 있는 상기 수정 장치에 대한 수정 정보를 생성하는 단계를 포함하는, 방법.
  4. 제 1 항에 있어서,
    상기 방법은, 상기 패터닝 디바이스를 수정하기 위한 수정 정보 및 상기 수정 장치를 조절하기 위한 수정 정보를 공동-최적화하는 단계를 더 포함하는, 방법.
  5. 기판의 영역에 제공되는 패턴의 측정 결과 및/또는 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 획득하는 단계 - 상기 패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용하여 제공되거나 제공될 것임 -;
    상기 패턴과 타겟 패턴 사이의 오차를 결정하는 단계; 및
    컴퓨터 시스템에 의하여, 상기 패터닝 디바이스에 대한 수정 정보를 상기 오차에 기초하여 생성하는 단계를 포함하고,
    상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되는 경우, 상기 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소되는, 방법.
  6. 제 5 항에 있어서,
    상기 오차는 임계 치수 오차인, 방법.
  7. 제 5 항에 있어서,
    상기 오차는, 상기 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 상기 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도되는, 방법.
  8. 비일시적 컴퓨터 프로그램 제품으로서,
    프로세서 시스템이 제 1 항의 방법을 수행하게 하기 위한 머신-판독가능 명령을 포함하는, 비일시적 컴퓨터 프로그램 제품.
  9. 시스템으로서,
    하드웨어 프로세서 시스템; 및
    머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하고, 상기 머신-판독가능 명령은 실행되는 경우 프로세서 시스템으로 하여금:
    패터닝 시스템의 에칭 툴에 의해 처리된 이후에 패턴의 측정 및/또는 시뮬레이션 결과를 획득하고;
    에칭 로딩(etch loading) 효과에 기인한 패터닝 오차를 상기 측정 및/또는 시뮬레이션 결과에 기초하여 결정하며;
    상기 패터닝 오차에 기초하여, 상기 패터닝 시스템 내에서 상기 에칭 툴로부터 업스트림에 있는 패터닝 디바이스를 수정하기 위한 및/또는 수정 장치를 조절하기 위한 수정 정보를 생성하게 하고,
    상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되고 및/또는 상기 수정 장치가 상기 수정 정보에 따라 조절되는 경우, 상기 패터닝 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소되는, 시스템.
  10. 제 9 항에 있어서,
    실행될 경우, 상기 머신-판독가능 명령은 상기 프로세서 시스템으로 하여금 상기 패터닝 디바이스에 대한 수정 정보를 생성하게 하는, 시스템.
  11. 제 9 항에 있어서,
    실행될 경우, 상기 머신-판독가능 명령은 상기 프로세서 시스템으로 하여금 상기 패터닝 시스템 내에서 상기 에칭 툴로부터 업스트림에 있는 수정 장치에 대한 수정 정보를 생성하게 하는, 시스템.
  12. 제 9 항에 있어서,
    실행될 경우, 상기 머신-판독가능 명령은 상기 프로세서 시스템으로 하여금, 상기 패터닝 디바이스를 수정하기 위한 수정 정보 및 상기 수정 장치를 조절하기 위한 수정 정보를 공동-최적화하게 하는, 시스템.
  13. 시스템으로서,
    하드웨어 프로세서 시스템; 및
    머신-판독가능 명령을 저장하는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하고,
    상기 머신-판독가능 명령은 실행되는 경우 상기 프로세서 시스템으로 하여금,
    기판의 영역에 제공되는 패턴의 측정 결과 및/또는 상기 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 획득하고 - 상기 패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용하여 제공되거나 제공될 것임 -;
    상기 패턴과 타겟 패턴 사이의 오차를 결정하며;
    상기 패터닝 디바이스에 대한 수정 정보를 상기 오차에 기초하여 생성하게 하고,
    상기 패터닝 디바이스가 상기 수정 정보에 따라 수정되는 경우, 상기 오차는 정정가능 오차로 변환되고 및/또는 특정 범위로 감소되는, 시스템.
  14. 제 13 항에 있어서,
    상기 오차는 임계 치수 오차인, 시스템.
  15. 제 13 항에 있어서,
    상기 오차는, 상기 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체의 측정에 기초하여 및/또는 상기 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체의 시뮬레이션에 기초하여 유도되는, 시스템.
KR1020187014163A 2015-10-19 2016-09-28 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치 KR102132373B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562243603P 2015-10-19 2015-10-19
US62/243,603 2015-10-19
US201662399942P 2016-09-26 2016-09-26
US62/399,942 2016-09-26
PCT/EP2016/073084 WO2017067765A1 (en) 2015-10-19 2016-09-28 Method and apparatus to correct for patterning process error

Publications (2)

Publication Number Publication Date
KR20180072760A true KR20180072760A (ko) 2018-06-29
KR102132373B1 KR102132373B1 (ko) 2020-07-10

Family

ID=57003519

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187014163A KR102132373B1 (ko) 2015-10-19 2016-09-28 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치

Country Status (4)

Country Link
US (1) US10915689B2 (ko)
KR (1) KR102132373B1 (ko)
TW (1) TWI633395B (ko)
WO (1) WO2017067765A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210084599A (ko) * 2018-11-30 2021-07-07 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3396458A1 (en) * 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process
KR102352673B1 (ko) * 2017-08-07 2022-01-17 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법
EP3457212A1 (en) 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
US10837919B2 (en) * 2017-11-06 2020-11-17 Kla Corporation Single cell scatterometry overlay targets
EP3734366A1 (en) 2019-05-03 2020-11-04 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
CN113678063A (zh) 2019-04-04 2021-11-19 Asml荷兰有限公司 光刻工艺的子场控制和相关设备
KR20220113200A (ko) * 2021-02-05 2022-08-12 에스케이하이닉스 주식회사 극자외선 리소그래피용 펠리클 및 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030054642A1 (en) * 2000-10-25 2003-03-20 Hiroshi Kagotani Production method of semiconductor device and production system of semiconductor device
US20120029856A1 (en) * 2010-07-30 2012-02-02 Kla-Tencor Corporatioin Method and system for providing process tool correctables
US20140038087A1 (en) * 2012-08-01 2014-02-06 International Business Machines Corporation Extreme ultraviolet (euv) multilayer defect compensation and euv masks

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5424548A (en) * 1993-09-21 1995-06-13 International Business Machines Corp. Pattern specific calibration for E-beam lithography
US7444616B2 (en) 1999-05-20 2008-10-28 Micronic Laser Systems Ab Method for error reduction in lithography
US6634018B2 (en) 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
US6699627B2 (en) 2000-12-08 2004-03-02 Adlai Smith Reference wafer and process for manufacturing same
US7261983B2 (en) * 2000-12-08 2007-08-28 Litel Instruments Reference wafer and process for manufacturing same
US7136144B2 (en) * 2001-09-20 2006-11-14 Litel Instruments Method and apparatus for self-referenced dynamic step and scan intra-field lens distortion
US7045259B2 (en) * 2001-09-26 2006-05-16 Intel Corporation Post exposure modification of critical dimensions in mask fabrication
DE10297658B4 (de) 2002-02-20 2013-09-19 Carl Zeiss Sms Ltd. Verfahren und System zum Reparieren defekter Photomasken
US6924088B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
US7403834B2 (en) 2003-05-08 2008-07-22 Regents Of The University Of California Methods of and apparatuses for controlling process profiles
US6961626B1 (en) * 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US7369697B2 (en) * 2004-06-17 2008-05-06 Intel Corporation Process variable of interest monitoring and control
US7301603B2 (en) 2004-06-24 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Exposure system and method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7362415B2 (en) 2004-12-07 2008-04-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7303842B2 (en) 2005-04-13 2007-12-04 Kla-Tencor Technologies Corporation Systems and methods for modifying a reticle's optical properties
KR100604940B1 (ko) * 2005-06-14 2006-07-28 삼성전자주식회사 포토 마스크의 측정 장치, 이를 이용한 포토 마스크의 cd측정방법, cd를 이용하여 포토 마스크를 보정하는장치와 방법 및 포토 마스크의 제조방법
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
US7462429B2 (en) 2005-10-12 2008-12-09 Asml Netherlands B.V. Method and arrangement for correcting thermally-induced field deformations of a lithographically exposed substrate
WO2007086316A1 (ja) 2006-01-26 2007-08-02 Nikon Corporation 重ね合わせ管理方法及び装置、処理装置、測定装置及び露光装置、デバイス製造システム及びデバイス製造方法、並びにプログラム及び情報記録媒体
KR100791338B1 (ko) 2006-08-07 2008-01-03 삼성전자주식회사 레지스트레이션이 보정된 포토마스크 및 포토마스크의레지스트레이션 보정 방법
US7709187B2 (en) 2006-10-23 2010-05-04 International Business Machines Corporation High resolution imaging process using an in-situ image modifying layer
US7995832B2 (en) 2007-01-11 2011-08-09 Kla-Tencor Corporation Photomask inspection and verification by lithography image reconstruction using imaging pupil filters
WO2009148976A1 (en) 2008-06-03 2009-12-10 Brion Technologies, Inc. Lens heating compensation methods
US8572517B2 (en) 2008-06-10 2013-10-29 Cadence Design Systems, Inc. System and method for modifying a data set of a photomask
NL2003718A (en) 2008-11-10 2010-05-11 Brion Tech Inc Methods and system for model-based generic matching and tuning.
JP2010182718A (ja) 2009-02-03 2010-08-19 Toshiba Corp 露光方法及び露光システム
JP4826846B2 (ja) 2009-02-12 2011-11-30 信越化学工業株式会社 パターン形成方法
US8812145B2 (en) 2010-01-22 2014-08-19 Synopsys, Inc. Modeling mask errors using aerial image sensitivity
DE102011078927B4 (de) 2010-07-12 2019-01-31 Carl Zeiss Sms Ltd. Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske
US20120054694A1 (en) 2010-08-24 2012-03-01 Ayman Yehia Hamouda Aerial Image Signatures
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007615A (en) 2010-11-30 2012-05-31 Asml Netherlands Bv Method of operating a patterning device and lithographic apparatus.
US8539394B2 (en) 2011-03-02 2013-09-17 Carl Zeiss Sms Ltd. Method and apparatus for minimizing overlay errors in lithography
US11372340B2 (en) * 2011-04-06 2022-06-28 Kla Corporation Method and system for providing a quality metric for improved process control
NL2008702A (en) 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
US8524423B2 (en) 2011-07-11 2013-09-03 United Microelectronics Corp. Method of forming assist feature patterns
US9709903B2 (en) * 2011-11-01 2017-07-18 Kla-Tencor Corporation Overlay target geometry for measuring multiple pitches
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
KR101757780B1 (ko) 2012-05-31 2017-07-14 에이에스엠엘 네델란즈 비.브이. 구배-기반 패턴 및 평가 지점 선택
CN103440361B (zh) * 2013-07-19 2016-02-24 清华大学 一种等离子体刻蚀工艺中刻蚀产额的建模方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030054642A1 (en) * 2000-10-25 2003-03-20 Hiroshi Kagotani Production method of semiconductor device and production system of semiconductor device
KR100844809B1 (ko) * 2000-10-25 2008-07-09 소니 가부시끼 가이샤 반도체 장치의 제조 방법 및 반도체 장치의 제조 시스템
US20120029856A1 (en) * 2010-07-30 2012-02-02 Kla-Tencor Corporatioin Method and system for providing process tool correctables
KR20130095262A (ko) * 2010-07-30 2013-08-27 케이엘에이-텐코 코포레이션 프로세스 툴 정정치를 제공하기 위한 방법 및 시스템
US20140038087A1 (en) * 2012-08-01 2014-02-06 International Business Machines Corporation Extreme ultraviolet (euv) multilayer defect compensation and euv masks

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210084599A (ko) * 2018-11-30 2021-07-07 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법

Also Published As

Publication number Publication date
KR102132373B1 (ko) 2020-07-10
US10915689B2 (en) 2021-02-09
US20180307135A1 (en) 2018-10-25
WO2017067765A1 (en) 2017-04-27
TWI633395B (zh) 2018-08-21
TW201725452A (zh) 2017-07-16

Similar Documents

Publication Publication Date Title
US11036146B2 (en) Method and apparatus to reduce effects of nonlinear behavior
KR102087310B1 (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
KR102132373B1 (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
TWI610127B (zh) 校正圖案化製程誤差之方法與裝置
JP2021073510A (ja) 処理装置をモニタするための方法及びシステム
TWI623811B (zh) 校正圖案化製程誤差之方法、電腦程式產品與系統
US10719011B2 (en) Method and apparatus to correct for patterning process error
US11126093B2 (en) Focus and overlay improvement by modifying a patterning device
KR102271283B1 (ko) 패턴 위치설정 정확도 증가 방법 및 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right