KR20210084599A - 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법 - Google Patents

제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법 Download PDF

Info

Publication number
KR20210084599A
KR20210084599A KR1020217016523A KR20217016523A KR20210084599A KR 20210084599 A KR20210084599 A KR 20210084599A KR 1020217016523 A KR1020217016523 A KR 1020217016523A KR 20217016523 A KR20217016523 A KR 20217016523A KR 20210084599 A KR20210084599 A KR 20210084599A
Authority
KR
South Korea
Prior art keywords
pattern
patterning device
feature
device pattern
determining
Prior art date
Application number
KR1020217016523A
Other languages
English (en)
Other versions
KR102610060B1 (ko
Inventor
로쉬니 비스워스
라파엘 씨. 호웰
쿠이핑 장
닝닝 지아
징징 리우
추안 장
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Priority to KR1020237041449A priority Critical patent/KR20230167453A/ko
Publication of KR20210084599A publication Critical patent/KR20210084599A/ko
Application granted granted Critical
Publication of KR102610060B1 publication Critical patent/KR102610060B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Abstract

패터닝 디바이스 패턴을 결정하기 위한 방법이 본원에서 설명된다. 방법은, (i) 적어도 하나의 피처를 갖는 초기 패터닝 디바이스 패턴, 및 (ii) 적어도 하나의 피처의 원하는 피처 크기를 획득하는 단계, 패터닝 프로세스 모델, 초기 패터닝 디바이스 패턴 및 기판에 대한 타겟 패턴에 기초하여, 초기 패터닝 디바이스를 사용함으로써의 기판 이미지의 예측된 패턴과 기판에 대한 타겟 패턴 간의 차이 값을 획득하는 단계, 적어도 하나의 피처의 제조성(manufacturability)과 관련된 패널티 값을 결정하는 단계 ― 패널티 값은 적어도 하나의 피처의 크기의 함수로서 변동됨 ― , 및 차이 값 및 페널티 값의 합이 감소되도록 초기 패터닝 디바이스 패턴 및 원하는 피처 크기에 기초하여 패터닝 디바이스 패턴을 결정하는 단계를 포함한다.

Description

제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
본 출원은 2018년 11월 30일에 출원되고 그 전체가 인용에 의해 본원에 포함되는 미국 출원 제62/773,475호를 우선권으로 주장한다.
본원에서의 설명은 일반적으로 패터닝 프로세스 및 설계 레이아웃에 대응하는 패터닝 디바이스의 패턴들을 결정하기 위한 장치 및 방법들에 관한 것이다.
리소그래피 투영 장치가 예컨대, 집적 회로들(IC)의 제조에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예컨대, 마스크)는 IC의 개별 층("설계 레이아웃")에 대응하는 패턴을 포함하거나 제공할 수 있고, 이 패턴은 패터닝 디바이스 상의 패턴을 통해 타겟 부분을 조사하는 것과 같은 방법들에 의해, 방사선-민감성 재료("레지스트")의 층으로 코팅된 기판(예컨대, 실리콘 웨이퍼) 상의 타겟 부분(예컨대, 하나 이상의 다이들을 포함함) 상으로 전사될 수 있다. 일반적으로, 단일 기판은 한 번에 하나의 타겟 부분씩, 리소그래피 투영 장치에 의해 패턴이 연속적으로 전사되는 복수의 인접 타겟 부분들을 포함한다. 일 유형의 리소그래피 투영 장치들에서, 전체 패터닝 디바이스 상의 패턴이 한꺼번에 하나의 타겟 부분 상으로 전사되며; 이러한 장치를 일반적으로 스테퍼(stepper)로서 지칭된다. 일반적으로 스텝-앤-스캔(step-and-scan) 장치로서 지칭되는 대안적인 장치에서, 투영 빔은 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스를 스캔하는 동시에, 기판을 이 기준 방향에 평행 또는 반-평행으로 이동시킨다. 패터닝 디바이스 상의 패턴의 상이한 부분들은 점진적으로 하나의 타겟 부분으로 전사된다. 일반적으로, 리소그래피 투영 장치는 감소 비(M)(예컨대, 4)를 가질 것이기 때문에, 기판이 이동되는 속도(F)는 투영 빔이 패터닝 디바이스를 스캔하는 속도의 1/M 배가 될 것이다. 본원에서 설명된 바와 같은 리소그래피 디바이스들에 관한 더 많은 정보는 예컨대, 본원에 인용에 의해 포함된 US 6,046,792로부터 수집될 수 있다.
패턴을 패터닝 디바이스로부터 기판으로 전사하기 전에, 기판은 프라이밍(priming), 레지스트 코팅 및 소프트 베이킹과 같은 다양한 절차들을 거칠 수 있다. 노출 후, 기판은 노출-후 베이킹(PEB), 현상, 하드 베이킹 및 전사된 패턴의 측정/검사와 같은 다른 절차들("노출-후 절차들")을 거칠 수 있다. 이 일련의 절차들은 IC와 같은 디바이스의 개별 층을 제조하기 위한 토대로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 다양한 프로세스들을 거칠 수 있으며, 모두가 디바이스의 개별 층을 마무리하려는 것이다. 디바이스에서 여러 층들이 요구되는 경우, 전체 절차 또는 그 변형이 각각의 층에 대해 반복된다. 결국, 디바이스는 기판 상의 각각의 타겟 부분에 존재할 것이다. 그 후, 이러한 디바이스들은 다이싱(dicing) 또는 톱질(sawing)과 같은 기술을 통해 서로 분리되며, 여기서 개별 디바이스들은 캐리어 상에 장착되거나 핀들 등에 연결될 수 있다.
따라서, 반도체 디바이스들과 같은 제조 디바이스들은 통상적으로 디바이스들의 다양한 피처들 및 다수의 층들을 형성하기 위해 다수의 제조 프로세스들을 사용하여 기판(예컨대, 반도체 웨이퍼)을 프로세싱하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로 예컨대, 증착, 리소그래피, 에칭, 화학-기계적 폴리싱 및 이온 주입을 사용하여 제조 및 프로세싱된다. 다수의 디바이스들은 기판 상의 복수의 다이들 상에서 제조되고 그 후, 개별 디바이스들로 분리될 수 있다. 이 디바이스 제조 프로세스는 패터닝 프로세스로 간주될 수 있다. 패터닝 프로세스는 패터닝 디바이스 상의 패턴을 기판에 전사하기 위해 리소그래피 장치의 패터닝 디바이스를 사용하는 광학 및/또는 나노임프린트 리소그래피와 같은 패터닝 단계를 수반하고, 통상적으로 그러나 선택적으로, 하나 이상의 관련된 패턴 프로세싱 단계들 이를테면, 현상 장치에 의한 레지스트 현상, 베이킹 도구를 사용한 기판의 베이킹, 에칭 장치를 사용한 패턴을 사용한 에칭 등을 수반한다.
언급된 바와 같이, 리소그래피는 IC들과 같은 디바이스 제조에 있어 중심적인 단계이며, 여기서 기판 상에 형성된 패턴들은 마이크로프로세서들, 메모리 칩들 등과 같은 디바이스들의 기능적 요소들을 정의한다. 유사한 리소그래피 기술들이 또한, 평면 패널 디스플레이들, 마이크로-전자기계 시스템(MEMS) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 프로세스들이 계속 진보함에 따라, 기능적 요소들의 치수들은 지속적으로 감소되는 반면, 일반적으로 "무어의 법칙"으로서 지칭되는 추세에 따라 디바이스 당 기능적 요소들 이를테면, 트랜지스터들의 양이 수십 년 동안 꾸준히 증가되었다. 현재 기술 상태에서, 디바이스들의 층들은, 100nm 훨씬 아래, 즉 조명 소스(예컨대, 193nm 조명 소스)로부터의 방사선의 파장의 절반 미만의 치수들을 갖는 개별 기능적 요소들을 생성하도록 심 자외선(deep-ultraviolet) 조명 소스로부터의 조명을 사용하여 기판 상에 설계 레이아웃을 투영하는 리소그래피 투영 장치들을 사용하여 제조된다.
리소그래피 투영 장치의 전통적인 해상도 제한보다 작은 치수들을 가진 피처들이 인쇄되는 이 프로세스는 일반적으로 해상도 공식
Figure pct00001
에 따라 low-k1 리소그래피로 알려져 있으며, 여기서 λ는 사용된 방사선의 파장(현재 대부분의 경우에, 248nm 또는 193nm)이고, NA는 리소그래피 투영 장치에서 투영 광학기의 개구수이고, CD는 "임계 치수" ― 일반적으로 인쇄된 가장 작은 피처 크기 ― 이며, k1은 경험적 해상도 팩터이다. 일반적으로, k1이 작을수록, 특정 전기 기능 및 성능을 달성하기 위해 설계자에 의해 계획된 형상 및 치수들과 유사한 패턴을 기판 상에 재현하는 것이 더 어려워진다. 이러한 어려움들을 극복하기 위해, 리소그래피 투영 장치, 설계 레이아웃 또는 패터닝 디바이스에 정교한 미세-튜닝 단계들이 적용된다. 이들은 예컨대, NA 및 광학 코히어런스 세팅(optical coherence setting)들의 최적화, 맞춤형 조명 체계들, 위상 시프팅 패터닝 디바이스들의 사용, 설계 레이아웃의 광학 근접 보정(OPC, 때로는 "광학 및 프로세스 보정"으로서 또한 지칭됨), 또는 일반적으로 "해상도 향상 기술들"(RET)"로서 정의되는 다른 방법들을 포함한다(그러나 이에 제한되지 않음). 본원에서 사용되는 바와 같은 "투영 광학기"라는 용어는 예컨대, 굴절 광학기, 반사 광학기, 어퍼처들 및 반사 굴절 광학기를 포함하는 다양한 유형들의 광학 시스템들을 포함하는 것으로 광범위하게 해석되어야 한다. "투영 광학기(projection optics)"라는 용어는 또한, 방사선의 투영 빔을 집합적으로 또는 단독으로 지향, 성형 또는 제어하기 위해 이들 설계 유형들 중 임의의 것에 따라 동작하는 구성 요소들을 포함할 수 있다. "투영 광학기"라는 용어는 광학 구성 요소가 리소그래피 투영 장치의 광학 경로 상에 로케이팅되는 곳과 상관없이, 리소그래피 투영 장치의 임의의 광학 구성 요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 통과하기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하기 위한 광학 구성 요소들, 및/또는 방사선이 패터닝 디바이스를 통과한 후 방사선을 성형, 조정 및/또는 투영하기 위한 광학 구성 요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 제외한다.
일 실시예에서, 패터닝 디바이스 패턴을 결정하기 위한 방법이 제공된다. 방법은, (i) 적어도 하나의 피처를 갖는 초기 패터닝 디바이스 패턴, 및 (ii) 적어도 하나의 피처의 원하는 피처 크기를 획득하는 단계; 패터닝 프로세스 모델, 초기 패터닝 디바이스 패턴 및 기판에 대한 타겟 패턴에 기초하여, 초기 패터닝 디바이스를 사용함으로써의 기판 이미지의 예측된 패턴과 기판에 대한 타겟 패턴 간의 차이 값을 획득하는 단계; 적어도 하나의 피처의 제조성(manufacturability)과 관련된 패널티 값을 결정하는 단계 ― 패널티 값은 적어도 하나의 피처의 크기의 함수로서 변동됨 ― ; 및 차이 값 및 페널티 값의 합이 감소되도록 초기 패터닝 디바이스 패턴 및 원하는 피처 크기에 기초하여 패터닝 디바이스 패턴을 결정하는 단계를 포함한다.
일 실시예에서, 패터닝 디바이스 패턴을 결정하는 단계는 반복적인 프로세스이다. 반복은 초기 패터닝 디바이스 패턴의 적어도 하나의 피처의 크기를 수정하는 단계; 적어도 하나의 피처의 수정된 크기에 대응하는 패널티 값을 결정하는 단계; 및 차이 값 및 페널티 값의 합이 감소되는지를 결정하는 단계를 포함한다.
일 실시예에서, 패널티 값을 결정하는 단계는, 원하는 피처 크기 주변의 크기들을 갖는 피처들을 갖는 초기 패터닝 디바이스 패턴의 패턴을 검출하는 단계; 이진화 함수를 사용하여 검출된 패턴의 이진화된 패턴을 컴퓨팅하는 단계 ― 이진화 함수는 크기가 원하는 피처 크기의 주어진 간격에 속하는 피처들을 분류함 ― ; 검출된 패턴 및 이진화된 패턴의 조합에 기초하여 페널티 값을 결정하는 단계를 포함하고, 조합은 다양한 크기들의 피처들을 포함한다.
일 실시예에서, 검출된 패턴은 원하는 피처 크기의 ±20 % 범위 내의 크기들을 갖는 피처들을 포함한다.
일 실시예에서, 초기 패터닝 디바이스 패턴의 적어도 하나의 피처의 크기를 수정하는 단계는, 차이 값 및 패널티 값의 합이 감소되도록 적어도 하나의 피처의 크기를 증가 또는 감소시키는 단계를 포함한다.
일 실시예에서, 적어도 하나의 피처의 크기를 증가시키는 단계는 차이 값 및 페널티 값의 합이 최소화되게 한다.
일 실시예에서, 적어도 하나의 피처의 크기를 감소시키는 단계는 차이 값 및 페널티 값의 합이 최소화되게 한다.
일 실시예에서, 적어도 하나의 피처의 크기를 감소시키는 단계는 패터닝 디바이스 패턴으로부터 적어도 하나의 피처를 제거한다.
일 실시예에서, 예측된 패턴과 타겟 패턴 사이의 차이 값은 예측된 패턴의 피처의 윤곽과 예측된 패턴의 윤곽에 대응하는 타겟 패턴의 다른 윤곽 사이의 에지 배치 오류(edge placement error)이다.
일 실시예에서, 초기 패터닝 디바이스 패턴 또는 패터닝 디바이스 패턴은 곡선 패턴이다.
일 실시예에서, 패터닝 디바이스 패턴을 수정하는 단계는 보조 피처들의 배치 및/또는 윤곽 수정을 포함하는 광학 근접 보정들을 포함한다.
일 실시예에서, 초기 패터닝 디바이스 패턴 또는 패터닝 디바이스 패턴은 픽셀화된 이미지이다.
일 실시예에서, 패턴을 검출하는 것은 패터닝 디바이스 패턴 또는 초기 패터닝 디바이스 패턴의 픽셀화된 이미지의 이미지 프로세싱에 기초한다.
일 실시예에서, 패턴을 검출하는 단계는 특성 변조 거리를 갖는 커널을 초기 패터닝 디바이스 패턴의 픽셀화된 이미지와 컨볼빙(convolving)하는 단계를 포함하고, 특성 변조 거리는 픽셀화된 이미지의 신호 주변의 값의 범위에 대응한다.
일 실시예에서, 신호는 픽셀화된 이미지의 픽셀의 강도와 관련된다.
일 실시예에서, 특성 변조 거리는 원하는 피처 크기로 세팅된다.
일 실시예에서, 커널은 가우시안의 라플라시안 또는 가우시안의 차이 함수이다.
일 실시예에서, 이진화된 패턴을 컴퓨팅하는 단계는 검출된 패턴의 이미지의 이미지 프로세싱에 기초한다.
일 실시예에서, 이진화된 패턴을 컴퓨팅하는 단계는 이진화 임계 값에 기초하여 검출된 패턴들의 이미지 내의 픽셀들을 식별하는 단계를 포함하며, 여기서 이진화 임계 값은 적어도 하나의 피처의 원하는 크기의 주어진 간격 내에서 피처에 속하는 픽셀 위치를 분류한다.
일 실시예에서, 이진화된 함수는 시그모이드(sigmoid)이다.
일 실시예에서, 이진화 임계 값은 0.5보다 크다.
일 실시예에서, 페널티 값은 픽셀화된 패터닝 디바이스 패턴의 픽셀과 연관된 스칼라 값이며, 여기서 픽셀은 수정되는 적어도 하나의 피처에 대응한다.
일 실시예에서, 비-일시적 컴퓨터 프로그램 제품은, 프로세서로 하여금 위에서 언급된 방법들 중 임의의 방법의 단계들의 수행을 유발하게 하기 위한 기계-판독 가능 명령들을 포함한다.
도 1은 일 실시예에 따른 리소그래피 시스템의 다양한 서브시스템들의 블록도를 도시한다.
도 2는 일 실시예에 따라 이미지에서 패턴의 적어도 일부 또는 패턴의 특성을 시뮬레이팅하는 방법에 대한 흐름도를 예시한다.
도 3은 일 실시예에 따라 초기 마스크 패턴을 수정함으로써 마스크 패턴을 결정하는 예를 예시한다.
도 4a는 일 실시예에 따른 블롭-검출(blob-detection) 동작에 사용되는 신호의 예를 예시한다.
도 4b는 일 실시예에 따른 가우시안의 라플라시안(Laplacian-of-Gaussians; LoG) 커널을 사용하여 블롭-동작을 수행한 예시적인 결과를 예시한다.
도 5a는 일 실시예에 따른 마스크 규칙 체크(MRC)를 적용하지 않고 획득된 예시적인 곡선 마스크 패턴을 예시한다.
도 5b는 일 실시예에 따라 MRC가 적용될 때 획득된 예시적인 곡선 마스크 패턴을 예시한다.
도 5c는 일 실시예에 따라 도 5a의 마스크 패턴의 일부와 도 5b의 마스크 패턴의 대응하는 부분 사이의 예시적인 비교를 예시한다.
도 6a는 일 실시예에 따라 MRC에 기초하여 획득된 마스크 패턴과 MRC 없이 획득된 다른 마스크 패턴 사이의 예시적인 비교를 예시한다.
도 6b는 일 실시예에 따라 MRC에 기초하여 마스크 패턴의 피처를 성장시키기 위해 적용되는 예시적인 페널티 함수를 예시한다.
도 6c는 일 실시예에 따라 MRC에 기초하여 마스크 패턴의 피처를 축소하기 위해 적용되는 예시적인 페널티 함수를 예시한다.
도 7a는 일 실시예에 따라 마스크 패턴을 결정하기 위한 방법의 흐름도이다.
도 7b는 일 실시예에 따라 도 7a의 방법에 대한 예측된 패턴을 획득하기 위한 예시적인 시뮬레이션 프로세스이다.
도 8a는 일 실시예에 따라 도 7a의 초기 마스크 패턴의 수정들을 결정하는 데 사용되는 페널티 함수를 생성하는 예시적인 프로세스이다.
도 8b는 일 실시예에 따라 도 8a의 프로세스 동안 출력되는 강도 프로파일을 갖는 예시적인 블로그 이미지이다.
도 8c는 일 실시예에 따라 도 8b의 강도 프로파일에 적용된 예시적인 단계 함수이다.
도 8d는 일 실시예에 따라 도 8c로부터 발생하는 예시적인 이진화된 강도 프로파일이다.
도 8e는 일 실시예에 따라 도 8a의 프로세스로부터 발생하는 예시적인 페널티 함수이다.
도 9a는 일 실시예에 따른 예시적인 타겟 패턴을 예시한다.
도 9b는 종래의 방법들에 기초하여 생성된 마스크를 사용하여 이미징된 기판 상에서 관찰된 결함들의 예이다.
도 9c는 도 7a의 방법에 기초하여 생성된 마스크를 사용하여 이미징된 기판 상에서 관찰된 결함들의 예이다.
도 10은 일 실시예에 따른 예시적인 컴퓨터 시스템의 블록도이다.
도 11은 일 실시예에 따른 리소그래피 투영 장치의 개략도이다.
도 12는 일 실시예에 따른 다른 리소그래피 투영 장치의 개략도이다.
도 13은 일 실시예에 따른 도 11의 장치의 보다 상세한 도면이다.
도 14는 일 실시예에 따라 도 12 및 도 13의 장치의 소스 컬렉터 모듈(SO)의 보다 상세한 도면이다.
이 텍스트에서는 IC들의 제조에 대해 구체적 참조가 이루어질 수 있지만, 본원에서의 설명은 다른 많은 가능한 애플리케이션들을 갖는다는 것이 명시적으로 이해되어야 한다. 예컨대, 이는 통합된 광학 시스템들, 자기 도메인 메모리, 액정 디스플레이 패널들, 박막 자기 헤드들 등에 대한 안내 및 검출 패턴들의 제조에 사용될 수 있다. 당업자는, 이러한 대안적인 애플리케이션들의 맥락에서, 이 텍스트에서 "레티클", "웨이퍼" 또는 "다이"라는 용어들의 임의의 사용이 보다 일반적인 용어들인 "마스크", "기판" 및 "타겟 부분"과 각각 상호 교환 가능한 것으로 간주되어야 한다는 것을 인지할 것이다.
본 문서에서 "방사선" 및 "빔"이라는 용어들은 자외선 방사선(예컨대, 365, 248, 193, 157 또는 126 nm의 파장을 가짐) 및 EUV(예컨대, 약 5-100 nm 범위의 파장을 갖는 극자외선 방사선)를 포함한 모든 유형들의 전자기 방사선을 포괄하는 데 사용된다.
패터닝 디바이스는 하나 이상의 설계 레이아웃들을 포함할 수 있거나 이를 형성할 수 있다. 설계 레이아웃은 컴퓨터 지원 설계(CAD) 프로그램들을 활용하여 생성될 수 있으며, 이 프로세스는 종종 전자 설계 자동화(EDA)로서 지칭된다. 대부분의 CAD 프로그램들은 기능적인 설계 레이아웃들/패터닝 디바이스들을 생성하기 위해 일 세트의 미리 결정된 설계 규칙들을 따른다. 이러한 규칙들은 프로세싱 및 설계 제한에 의해 세팅된다. 예컨대, 설계 규칙들은 디바이스들(이를테면, 게이트들, 커패시터들 등) 또는 상호연결 라인들 간의 공간 공차를 정의하여 디바이스들 또는 라인들이 서로 바람직하지 않은 방식으로 상호작용하지 않는 것을 보장한다. 설계 규칙 제한들 중 하나 이상은 "임계 치수"(CD)로서 지칭될 수 있다. 디바이스의 임계 치수는 라인 또는 구멍의 최소 폭 또는 2개의 라인들 또는 2개의 구멍들 사이의 최소 공간으로서 정의될 수 있다. 따라서 CD는 설계된 디바이스의 전체 크기 및 밀도를 결정한다. 물론, 디바이스 제조에서의 목표들 중 하나는 (패터닝 디바이스를 통해) 기판 상에서 원래 설계 의도를 충실하게 재현하는 것이다.
패턴 레이아웃 설계는 예로서, 광학 근접 보정(OPC)과 같은 해상도 향상 기술의 적용을 포함할 수 있다. OPC는, 기판 상에 투영된 설계 레이아웃의 이미지의 최종 크기 및 배치가 패터닝 디바이스 상의 설계 레이아웃의 크기 및 배치와 동일하지 않거나, 또는 단순히 이에 의존할 것이라는 사실을 해결한다. "마스크", "레티클", "패터닝 디바이스"라는 용어들은 본원에서 상호 교환 가능하게 활용된다는 것에 주의한다. 또한, 당업자는 RET의 맥락에서와 같이 "마스크", "패터닝 디바이스" 및 "설계 레이아웃"이라는 용어가 상호 교환 가능하게 사용될 수 있고, 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라, 물리적 패터닝 디바이스를 표현하기 위해 설계 레이아웃이 사용할 수 있다는 것을 인지할 것이다. 일부 설계 레이아웃 상에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 포지션은 다른 인접 피처들의 존재 또는 부재에 의해 어느 정도 영향을 받을 것이다. 이러한 근접 효과(proximity effect)들은 하나의 피처로부터 다른 피처로 커플링된 미량의 방사선 또는 회절 및 간섭과 같은 비-기하학적 광학 효과들로부터 발생한다. 유사하게, 근접 효과들은 일반적으로, 리소그래피를 뒤따르는 노출-후 베이킹(PEB), 레지스트 현상 및 에칭 동안 확산 및 다른 화학적 효과들로부터 발생할 수 있다.
설계 레이아웃의 투영된 이미지가 주어진 타겟 회로 설계의 요건들에 따를 가능성을 증가시키기 위해, 근접 효과는 설계 레이아웃의 정교한 수치 모델들, 수정들 또는 사전-왜곡들을 사용하여 예측 및 보상될 수 있다. 논문 "Full-Chip Lithography Simulation and Design Analysis ― How OPC Is Changing IC Design"(C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005))는 현재 "모델-기반" 광학 근접 보정 프로세스들의 개요를 제공한다. 통상적인 고급 설계에서, 설계 레이아웃의 거의 모든 피처는 타겟 설계에 대한 투영된 이미지의 높은 충실도를 달성하기 위해 일부 수정을 갖는다. 이러한 수정들은 에지 포지션들 또는 라인 폭들의 시프팅 또는 바이어싱뿐만 아니라, 다른 피처들의 투영을 보조하도록 의도되는 "보조" 피처들의 적용을 포함할 수 있다.
OPC의 가장 간단한 형태들 중 하나는 선택적 바이어스이다. CD 대 피치 곡선이 주어지면, 모든 상이한 피치들은 패터닝 디바이스 레벨에서 CD를 변경함으로써 적어도, 최상의 포커스 및 노출에서 동일한 CD를 생성하도록 강제될 수 있다. 따라서 피처가 기판 레벨에서 너무 작게 인쇄되는 경우, 패터닝 디바이스 레벨 피처가 공칭보다 약간 더 크게 되도록 바이어싱되고 그 반대의 경우도 마찬가지다. 패터닝 디바이스 레벨로부터 기판 레벨로의 패턴 전사 프로세스는 비선형이기 때문에, 바이어스의 양은 단순히, 최상의 포커스 및 노출에서의 측정된 CD 오류와 감소 비를 곱한 값이 아니라, 모델링 및 실험을 통해 적절한 바이어스가 결정할 수 있다. 선택적 바이어스는 특히, 공칭 프로세스 조건에서만 적용되는 경우 근접 효과들의 문제에 대한 불완전한 해결책이다. 원칙적으로, 이러한 바이어스가 적용되어 최상의 포커스 및 노출에서 균일한 CD 대 피치 곡선들을 제공할 수 있더라도, 노출 프로세스가 공칭 조건으로부터 변동되면, 각각의 바이어싱된 피치 곡선이 상이하게 응답하여 상이한 피처들에 대해 상이한 프로세스 윈도우를 초래할 것이다. 프로세스 윈도우는 피처가 충분히 적절히 생성되는(예컨대, 피처의 CD가 ±10 % 또는 ±5 %와 같은 특정 범위 내에 있음) 둘 이상의 프로세스 파라미터들(예컨대, 리소그래피 장치의 포커스 및 방사선 도즈)의 값들의 범위이다. 따라서 동일한 CD 대 피치를 제공하는 "최상의" 바이어스는 심지어 전체 프로세스 윈도우에 부정적인 영향을 미쳐, 모든 타겟 피처들이 원하는 프로세스 공차 내에서 기판 상에 인쇄되는 포커스 및 노출 범위를 확대하기 보다는, 감소시킬 수 있다.
다른 더 복잡한 OPC 기술들이 위의 1-차원 바이어스 예를 초월한 적용을 위해 개발되었다. 2-차원 근접 효과는 라인 단부 단축(line end shortening)이다. 라인 단부들은 노출 및 포커스의 함수로서 그의 원하는 단부 포인트에서 "풀 백(pull back)"하는 경향을 갖는다. 다수의 경우들에서, 긴 라인 단부의 단부 단축의 정도는 대응하는 라인 협소화(line narrowing)보다 몇 배 더 클 수 있다. 이러한 유형의 라인 단부 풀백은 소스-드레인 구역 위의 폴리실리콘 게이트 층과 같이, 라인 단부가 커버하려고 했던 하부 층(underlying layer)을 완전히 교차하지 못하는 경우, 제조되고 있는 디바이스의 치명적인 장애를 초래할 수 있다. 이러한 유형의 패턴은 포커스 및 노출에 매우 민감하기 때문에, 단순히 라인 단부를 설계 길이보다 길어지도록 편향시키는 것은 불충분한데, 그 이유는 최상의 포커스 및 노출에서 또는 노출 부족 조건에서 라인은 지나치게 길어져서, 연장된 라인 단부가 이웃 구조들에 닿을 때 단락을 초래하거나, 또는 회로의 개별 피처들 사이에 더 많은 공간이 추가되는 경우 불필요하게 큰 회로 크기를 초래할 것이다. 집적 회로 설계 및 제조의 목표들 중 하나는 기능적 요소들의 수를 최대화하면서, 칩 당 요구되는 영역을 최소화하는 것이므로, 과도한 간격을 추가하는 것은 바람직하지 않은 해결책이다.
2-차원 OPC 접근법들은 라인 단부 풀백 문제를 해결하는 데 도움이 될 수 있다. "해머헤드(hammerhead)들" 또는 "세리프(serif)들"과 같은 추가 구조들("보조 피처들"로서 또한 알려짐)이 라인 단부들에 추가되어 효과적으로 이들을 제자리에 고정하고 전체 프로세스 윈도우에 걸친 감소된 풀백을 제공할 수 있다. 최상의 포커스 및 노출에서도, 이러한 추가 구조들이 해결책이 되는 것이 아니라, 이들은 자체로 완전히 해결됨 없이, 메인 피처의 외관을 변경한다. 본원에서 사용되는 바와 같은 "메인 피처"는 프로세스 윈도우의 일부 또는 모든 조건들 하에서 기판 상에 인쇄하도록 의도된 피처를 의미한다. 보조 피처들은, 패터닝 디바이스 상의 패턴이 더 이상 단순히 감소 비 만큼 확대된 원하는 기판 패턴이 아닌 범위에서, 라인 단부들에 추가된 단순한 해머헤드들보다 훨씬 더 공격적인 형태를 취할 수 있다. 세리프들과 같은 보조 피처들은 단순히 라인 단부 풀백을 감소시키는 것보다 훨씬 더 많은 상황들에 대해 적용될 수 있다. 내부 또는 외부 세리프들은 코너 라운딩 또는 에지 돌출들을 감소시키기 위해 임의의 에지, 특히 2차원 에지들에 적용될 수 있다. 선택적인 바이어싱 및 모든 크기들 및 극성들의 보조 피처들이 충분할 수록, 패터닝 디바이스 상의 피처들은 기판 레벨에서 원하는 최종 패턴과 점점 덜한 유사함(resemblance)을 지닌다. 일반적으로, 패터닝 디바이스 패턴은 기판-레벨 패턴의 사전-왜곡된 버전이 되며, 여기서 왜곡은 제조 프로세스 동안 발생하는 패턴 변형을 상쇄 또는 역전시켜 설계자에 의해 의도된 것과 가능한 근접한 패턴을 기판 상에 생성하도록 의도된다.
다른 OPC 기술은 메인 피처들에 연결된 이러한 보조 피처들(예컨대, 세리프들) 대신 또는 이에 추가로, 완전히 독립적이고 비-용해성(non-resolvable) 보조 피처들을 사용하는 것을 수반한다. 여기서 "독립"이라는 용어는 이러한 보조 피처들의 에지들이 메인 피처들의 에지에 연결되지 않음을 의미한다. 이러한 독립적인 보조 피처들은 피처들로서 기판 상에 인쇄하도록 의도되거나 요구되는 것이 아니라 오히려, 그 메인 피처의 인쇄성 및 프로세스 공차를 향상시키기 위해 근처의 메인 피처의 항공 이미지를 수정하도록 의되된다. 이러한 보조 피처들(종종 "산란 막대들" 또는 "SBAR"로서 지칭됨)은 메인 피처들의 에지 외부의 피처들인 서브-해상도 보조 피처들(SRAF) 및 메인 피처들의 에지 내부로부터 파여지는 피처들인 서브-해상도 인버스 피처들(SRIF)을 포함할 수 있다. SBAR의 존재는 패터닝 디바이스 패턴에 또 다른 층의 복잡성을 추가한다. 산란 막대들의 사용의 간단한 예는 비-용해성 산란 막대들의 규칙적인 어레이가 격리된 라인 피처의 양 사이드들 상에 그려지는 경우이며, 이는 항공 이미지 관점에서, 격리된 라인이 조밀한 라인들의 어레이 내의 단일 라인을 더 잘 표현하는 것처럼 보이게 만드는 효과를 가져, 포커스 및 노출 공차 면에서 조밀한 패턴의 것보다 훨씬 더 근접한 프로세스 윈도우를 초래한다. 이러한 장식된 격리된 피처와 조밀한 패턴 사이의 공통 프로세스 윈도우는 패터닝 디바이스 레벨에서 격리된 것으로 그려진 피처의 것보다 포커스 및 노출 변동들에 대해 더 큰 공통 공차를 가질 것이다.
보조 피처는 패터닝 디바이스 상의 피처들과 설계 레이아웃의 피처들 간의 차이로서 간주될 수 있다. "메인 피처" 및 "보조 피처"이라는 용어들은 패터닝 디바이스 상의 특정 피처가 어느 하나로서 라벨링되어야 한다는 것을 암시하지 않는다.
이 텍스트에 사용된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟 부분에 생성되는 패턴에 대응하도록 패터닝된 단면을 인입 방사선 빔에 부여하는 데 사용할 수 있는 일반 패터닝 디바이스를 지칭하는 것으로 광의로 해석될 수 있고; "광 밸브(light valve)"라는 용어 또한 이 맥락에서 사용될 수 있다. 전통적인 마스크(투과형 또는 반사형; 이진, 위상-시프팅, 하이브리드 등) 외에도, 다른 이러한 패터닝 디바이스들의 예들은 다음을 포함한다:
- 프로그래밍 가능 미러 어레이. 이러한 디바이스의 예는 점탄성 제어 층 및 반사 표면을 갖는 매트릭스-어드레싱 가능 표면이다. 이러한 장치 배후의 기본 원리는, (예컨대) 반사 표면의 어드레싱된 영역은 회절 방사선으로서 입사 방사선을 반사하는 반면, 어드레싱되지 않은 영역들은 비회절 방사선으로서 입사 방사선을 반사한다는 것이다. 적절한 필터를 사용하여, 상기 비회절 방사선은 회절된 방사선만을 남기도록 반사된 빔으로부터 필터링되고; 이러한 방식으로 빔은 매트릭스-어드레싱 가능 표면의 어드레싱 패턴에 따라 패터닝된다. 요구된 매트릭스-어드레싱은 적합한 전자 수단을 사용하여 수행될 수 있다.
- 프로그래밍 가능한 LCD 어레이. 그러한 구조의 예는 미국 특허 제 5,229,872 호에서 제공되며, 이는 본원에 인용에 의해 포함된다.
간략한 소개로서, 도 1은 예시적인 리소그래피 투영 장치(10A)를 예시한다. 주요 구성 요소들은, 심 자외선 엑시머 레이저 소스 또는 극 자외선(EUV) 소스를 포함하는 다른 유형의 소스일 수 있는 방사선 소스(12A)(위에서 논의된 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요가 없음), 예컨대, 부분 코히어런스를 정의하고 광 소스(12A)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있는 조명 광학기; 패터닝 디바이스(18A); 및 패터닝 디바이스 패턴의 이미지를 기판 평면(22A) 상으로 투영하는 투과 광학기(16Ac)를 포함한다. 투영 광학기의 동공 평면에서 조정 가능한 필터 또는 어퍼처(20A)는 기판 평면(22A) 상에 충돌하는 빔 각도들의 범위를 제한할 수 있으며, 여기서 가능한 최대 각도는 투영 광학기의 개구수 NA= n sin(Θmax)를 정의하고 여기서 n은 기판과 투영 광학기의 마지막 요소 사이의 매체의 굴절률이고, Θmax는 기판 평면(22A) 상에 여전히 충돌할 수 있는 투영 광학기로부터 빠져나오는 빔의 최대 각도이다.
리소그래피 투영 장치에서, 소스는 패터닝 디바이스에 조명(즉, 방사선)을 제공하고 투영 광학기는 패터닝 디바이스를 통해 기판 상으로 조명을 지향시키고 성형한다. 투영 광학기는 구성 요소(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 항공 이미지(AI)는 기판 레벨에서의 방사선 강도 분포이다. 기판 상의 레지스트 층이 노출되고 항공 이미지가 그 내부의 잠복 "레지스트 이미지"(RI)로서 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트 용해도의 공간적 분포로서 정의될 수 있다. 레지스트 모델은 항공 이미지로부터 레지스트 이미지를 계산하는 데 사용될 수 있으며, 그 예는 미국 특허 출원 공개 번호 US 2009-0157360에서 발견될 수 있으며, 그의 개시내용은 이로써 그 전체가 인용에 의해 포함된다. 레지스트 모델은 레지스트 층의 특성들(예컨대, 노출, PEB 및 현상 동안 발생하는 화학 프로세스들의 효과들)에만 관련된다. 리소그래피 투영 장치의 광학적 특성들(예컨대, 소스, 패터닝 디바이스 및 투영 광학기의 특성들)은 항공 이미지를 지시한다. 리소그래피 투영 장치에 사용되는 패터닝 디바이스가 변경될 수 있기 때문에, 적어도 소스 및 투영 광학기를 포함하는 나머지 리소그래피 투영 장치의 광학 특성들로부터 패터닝 디바이스의 광학적 특성들을 분리하는 것이 바람직할 수 있다.
리소그래피 프로세스를 이해하는 일 양상은 방사선 및 패터닝 디바이스의 상호작용을 이해하는 것이다. 방사선이 패터닝 디바이스를 통과한 후 방사선의 전자기장은 방사선이 패터닝 디바이스에 도달하기 전 방사선의 전자기장 및 상호작용을 특징화하는 함수로부터 결정될 수 있다. 이 함수는 마스크 투과 함수(이는 투과 패터닝 디바이스 및/또는 반사 패터닝 디바이스에 의한 상호작용을 설명하는 데 사용될 수 있음)으로서 지칭될 수 있다.
마스크 투과 함수는 다양한 형태들을 가질 수 있다. 일 형태는 이진이다. 이진 마스크 투과 함수는 패터닝 디바이스 상의 임의의 주어진 위치에서 2개의 값들(예컨대, 0 및 양의 상수) 중 어느 하나를 갖는다. 이진 형태의 마스크 투과 함수는 이진 마스크로서 지칭될 수 있다. 다른 형태는 연속적이다. 즉, 패터닝 디바이스의 투과율(또는 반사율)의 계수(modulus)는 패터닝 디바이스 상의 위치의 연속 함수이다. 투과율(또는 반사율)의 위상은 또한 패터닝 디바이스 상의 위치의 연속 함수일 수 있다. 연속적인 형태의 마스크 투과 함수는 연속 투과 마스크(CTM)로서 지칭될 수 있다. 예컨대, CTM은 픽셀화된 이미지로서 표현될 수 있으며, 여기서 각각의 픽셀에는 0 또는 1 중 어느 하나의 이진 값 대신 0과 1 사이의 값(예컨대, 0.1, 0.2, 0.3 등)이 할당될 수 있다. 예시적인 CTM 흐름 및 그의 세부사항들은 공동으로 양도된 미국 특허 번호 8,584,056에서 발견될 수 있으며, 그의 개시내용은 그 전체가 인용에 의해 본원에 포함된다.
일 실시예에 따르면, 설계 레이아웃은 연속 투과 마스크로서 최적화될 수 있다("CTM 최적화"). 이 최적화에서, 설계 레이아웃의 모든 위치들에서의 투과는 다수의 이산 값들로 제한되지 않는다. 대신, 투과는 상한 및 하한 내의 임의의 값을 가정할 수 있다. 더 많은 세부사항들은 공동으로 양도된 미국 특허 번호 8,584,056에서 발견될 수 있으며, 그의 개시내용은 이로써 그 전체가 인용에 의해 포함된다. 연속 투과 마스크는 패터닝 디바이스 상에서 구현하기가 매우 어렵다(불가능하진 않음). 그러나 투과를 다수의 이산 값들로 제한하지 않는 것은 최적화를 훨씬 더 빠르게 하기 때문에 이는 유용한 도구이다. EUV 리소그래피 투영 장치에서, 패터닝 디바이스는 반사성일 수 있다. CTM 최적화의 원리는 반사 패터닝 디바이스 상에서 생성되는 설계 레이아웃에 또한 적용 가능하며, 여기서 설계 레이아웃의 모든 위치들에서의 반사율은 다수의 별개의 값들로 제한되지 않는다. 따라서, 본원에서 사용된 바와 같이, "연속 투과 마스크"라는 용어는 반사 패터닝 디바이스 또는 투과 패터닝 디바이스 상에서 생성되는 설계 레이아웃을 지칭할 수 있다. CTM 최적화는 두꺼운-마스크 효과(thick-mask effect)들을 고려하는 3차원 마스크 모델에 기초할 수 있다. 두꺼운-마스크 효과는 광의 벡터 성질로부터 발생하고, 설계 레이아웃 상의 피처 크기들이 리소그래피 프로세스에 사용되는 광의 파장보다 작을 때 중요할 수 있다. 두꺼운-마스크 효과들은 전기장 및 자기장, 작은 개구들에서의 투과, 반사 및 위상 오류, 에지 회절(또는 산란) 효과들 또는 전자기 커플링에 대한 상이한 경계 조건들로 인한 편광 의존성을 포함한다. 3차원 마스크 모델의 더 많은 세부사항들은 공동으로 양도된 미국 특허 번호 7,703,069에서 발견될 수 있으며, 그의 개시내용은 이로써 그 전체가 인용에 의해 포함된다.
일 실시예에서, 보조 피처들(서브 해상도 보조 피처들 및/또는 인쇄 가능한 해상도 보조 피처들)은 연속 투과 마스크로서 최적화된 설계 레이아웃에 기초하여 설계 레이아웃에 배치될 수 있다. 이는 연속 투과 마스크로부터 보조 피처의 식별 및 설계를 허용한다.
패터닝 프로세스의 부분들을 모델링 및/또는 시뮬레이팅하는, 예컨대, 이미지(예컨대, 레지스트 이미지, 항공 이미지, 에칭 이미지)에서 패턴의 특성 또는 패턴의 적어도 일부를 모델링 및/또는 시뮬레이팅하는 방법의 예시적인 흐름도가 도 2에 예시된다. 인지될 바와 같이, 모델들은 상이한 패터닝 프로세스를 표현할 수 있고 아래에 설명된 모든 모델들을 포함할 필요는 없다.
위에서 설명된 바와 같이, 리소그래피 투영 장치에서, 조명 시스템은 패터닝 디바이스에 조명(즉, 방사선)을 제공하고 투영 광학기는 패터닝 디바이스로부터 기판 상으로 조명을 지향시킨다. 따라서, 일 실시예에서, 투영 광학기는 기판에서의 방사 강도 분포인 항공 이미지(AI)의 형성을 가능하게 한다. 기판 상의 레지스트 층이 노출되고 항공 이미지가 그 내부의 잠복 "레지스트 이미지"(RI)로서 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트 용해도의 공간적 분포로서 정의될 수 있다. 일 실시예에서, 리소그래피 프로세스의 시뮬레이션은 항공 이미지 및/또는 레지스트 이미지의 생성을 시뮬레이팅할 수 있다.
조명 모델(31)은 패터닝된 방사선 빔을 생성하는 데 사용되는 조명 모드의 광학적 특성(방사선 강도 분포 및/또는 위상 분포를 포함함)을 표현한다. 조명 모델(31)은 개구수 세팅들, 조명 시그마(σ) 세팅들뿐만 아니라 임의의 특정 조명 모드 형상(예컨대, 환형, 사중 극자, 쌍극자 등과 같은 축외(off-axis) 방사선 형상)을 포함(그러나 이에 제한되지 않음)하는 조명의 광학적 특성들을 표현할 수 있으며, 여기서 σ(또는 시그마)는 조명기의 외부 방사상 범위이다.
투영 광학기 모델(32)은 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기되는 위상 분포 및/또는 방사선 강도 분포에 대한 변화들을 포함함)을 표현한다. 투영 광학기 모델(32)은 다양한 팩터들, 예컨대, 투영 광학기의 구성 요소들의 가열, 투영 광학기의 구성 요소들의 기계적 연결에 의해 야기되는 응력 등에 의해 야기되는 광학 수차들을 포함할 수 있다. 투영 광학기 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수, 흡수 등으로부터 선택된 하나 이상을 포함하는, 투영 광학기의 광학적 특성들을 표현할 수 있다. 리소그래피 투영 장치의 광학적 특성들(예컨대, 조명, 패터닝 디바이스 패턴 및 투영 광학기의 특성들)은 항공 이미지를 지시한다. 리소그래피 투영 장치에 사용되는 패터닝 디바이스 패턴이 변경될 수 있기 때문에, 적어도 조명 및 투영 광학기를 포함하는 나머지 리소그래피 투영 장치의 광학 특성들로부터 패터닝 디바이스 패턴의 광학적 특성들을 분리하는 것이 바람직하다. 조명 모델(31) 및 투영 광학기 모델(32)은 투과 교차 계수(TCC) 모델로 결합될 수 있다.
패터닝 디바이스 패턴 모델(33)은 패터닝 디바이스 패턴(예컨대, 집적 회로, 메모리, 전자 디바이스 등의 피처에 대응하는 디바이스 설계 레이아웃)의 광학적 특성(주어진 패터닝 디바이스 패턴에 의해 야기되는 위상 분포 및/또는 방사선 강도 분포에 대한 변화들을 포함함)을 표현하며, 이는 패터닝 디바이스에 의해 형성된 또는 그 상의 피처들의 어레인지먼트의 표현이다. 패터닝 디바이스 모델(33)은 설계 피처들이 패터닝 디바이스의 패턴에 어떻게 배치되는지를 캡처하고, 예컨대, 미국 특허 번호 7,587,704(이는 그 전체가 인용에 의해 본원에 포함됨)에 설명된 바와 같이, 패터닝 디바이스 및 패터닝 디바이스 패턴의 상세한 물리적 특성들의 표현을 포함할 수 있다.
레지스트 모델(37)은 항공 이미지로부터 레지스트 이미지를 계산하는 데 사용될 수 있다. 그러한 레지스트 모델의 예는 미국 특허 번호 8,200,468에서 발견될 수 있으며, 이는 이로써 그 전체가 인용에 의해 포함된다. 레지스트 모델은 통상적으로, 예컨대, 기판 상에 형성된 레지스트 피처들의 윤곽들을 예측하기 위해 레지스트 노출, 노출 후 베이킹(PEB) 및 현상 동안 발생하는 화학 프로세스들의 효과들을 설명하고, 이에 따라 레지스트 모델은 통상적으로 레지스트 층의 이러한 특성들(예컨대, 노출, 노출 후 베이킹 및 현상 동안 발생하는 화학 프로세스들의 효과들)에만 관련된다. 일 실시예에서, 레지스트 층의 광학적 특성들, 예컨대, 굴절률, 필름 두께, 전파 및 편광 효과들은 투영 광학기 모델(32)의 부분으로서 캡처될 수 있다.
이러한 모델들을 가지면, 항공 이미지(36)는 조명 모델(31), 투영 광학 모델(32) 및 패터닝 디바이스 패턴 모델(33)로부터 시뮬레이팅될 수 있다. 항공 이미지(AI)는 기판 레벨에서의 방사선 강도 분포이다. 리소그래피 투영 장치의 광학적 특성들(예컨대, 조명, 패터닝 디바이스 및 투영 광학기의 특성들)은 항공 이미지를 지시한다.
위에서 언급된 바와 같이, 기판 상의 레지스트 층이 항공 이미지에 의해 노출되고 항공 이미지가 그 내부의 잠복 "레지스트 이미지"(RI)로서 레지스트 층으로 전사된다. 레지스트 이미지(38)는 레지스트 모델(37)을 사용하여 항공 이미지(36)로부터 시뮬레이팅될 수 있다. 따라서 일반적으로 광학 및 레지스트 모델 간의 연결은 레지스트 층 내에서 시뮬레이팅된 항공 이미지 강도이며, 이는 기판 상으로의 방사선의 투영, 레지스트 인터페이스에서의 굴절 및 레지스트 필름 스택에서의 다중 반사들로부터 발생한다. 방사 강도 분포(항공 이미지 강도)는 입사 에너지의 흡수에 의해 잠복 "레지스트 이미지"로 바뀌며, 이는 추가로 확산 프로세스들 및 다양한 로딩 효과들에 의해 수정된다. 풀-칩 애플리케이션(full-chip application)들에 대해 충분히 빠른 효율적인 시뮬레이션 방법들은 2-차원 공중(및 레지스트) 이미지에 의해 레지스트 스택의 현실적인 3-차원 강도 분포를 근사한다.
일 실시예에서, 레지스트 이미지는 포스트-패턴 전사 프로세스 모델(post-pattern transfer process model)(39)에 대한 입력으로 사용될 수 있다. 포스트-패턴 전사 프로세스 모델(39)은 하나 이상의 포스트-레지스트 현상 프로세스들(예컨대, 에칭, CMP 등)의 성능을 정의하고 에칭-후 이미지(40)를 생성할 수 있다. 즉, 에칭 이미지(40)는 포스트-패턴 전사 프로세스 모델(39)을 사용하여 레지스트 이미지(36)로부터 시뮬레이팅될 수 있다.
따라서, 이 모델 공식화는 전체 프로세스의 알려진 물리 및 화학의 전부는 아니지만 대부분을 설명하며, 모델 파라미터들 각각은 바람직하게는 별개의 물리적 또는 화학적 효과에 대응한다. 따라서 모델 공식화는 전체 제조 프로세스를 시뮬레이팅하는 데 있어 모델을 얼마나 잘 사용될 수 있는지에 대한 상한을 세팅한다.
패터닝 프로세스의 시뮬레이션은 예컨대, 공중, 레지스트 및/또는 에칭 이미지에서 윤곽들, CD들, 에지 배치(예컨대, 에지 배치 오류), 패턴 시프트 등을 예측할 수 있다. 즉, 항공 이미지(34), 레지스트 이미지(36) 또는 에칭 이미지(40)는 패턴의 특성(예컨대, 패턴의 존재, 위치, 유형, 형상 등)을 결정하는 데 사용될 수 있다. 따라서, 시뮬레이션의 목적은 예컨대, 인쇄된 패턴의 에지 배치 및/또는 윤곽들, 및/또는 패턴 시프트, 및/또는 항공 이미지 강도 경사 및/또는 CD 등을 정확하게 예측하는 것이다. 이러한 값들은 의도된 설계에 대해 비교되어, 예컨대, 패터닝 프로세스를 수정하고 결함이 발생할 것으로 예측되는 곳을 식별하는 등을 행할 수 있다. 의도된 설계는 일반적으로 GDSII 또는 OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 사전-OPC 설계 레이아웃으로서 정의된다.
패터닝 디바이스 패턴을 다양한 리소그래피 이미지들(예컨대, 항공 이미지, 레지스트 이미지 등)로 변환하는 데 사용되는 기술들 및 모델들의 세부사항들은 이러한 기술들 및 모델들을 사용하여 OPC를 적용하고 (예컨대, 프로세스 윈도우의 관점에서) 성능을 평가하며, 이는 미국 특허 출원 공개 번호들 US 2008-0301620, 2007-0050749, 2007-0031745, 2008-0309897, 2010-0162197, 2010-0180251 및 2011-0099526(이들 각각의 개시내용은 이로써 그 전체가 인용에 의해 포함됨)에 설명된다.
리소그래피 노드가 계속 축소됨에 따라, 점점 더 복잡해진 패터닝 디바이스 패턴(더 양호한 가독성을 위해 마스크로서 상호 교환 가능하게 지칭됨)이 요구된다(예컨대, 곡선 마스크). 본 방법은 DUV 스캐너들, EUV 스캐너들 및/또는 다른 스캐너들과 함께 핵심 층들에서 사용될 수 있다. 본 개시내용에 따른 방법은 소스 마스크 최적화(SMO), 마스크 최적화 및/또는 OPC를 포함하는 마스크 최적화 프로세스의 상이한 양상에 포함될 수 있다. 예컨대, 소스 마스크 최적화 프로세스는 "Optimization Flows of Source, Mask and Projection Optics"이라는 제목의 미국 특허 번호 9,588,438에서 설명되며, 이는 이로써 그 전체가 인용에 의해 포함된다.
일 실시예에서, 패터닝 디바이스 패턴은 직사각형 또는 계단 유사 형상들을 갖는 맨해튼(Manhattan) 패턴과는 달리, 다각형 형상들을 갖는 곡선 SRAF들을 포함하는 곡선 마스크이다. 곡선 마스크는 맨해튼 패턴에 비교하면 기판 상에 더 정확한 패턴들을 생성할 수 있다. 그러나 곡선 SRAF들의 기하학적 구조, 타겟 패턴들에 대한 그의 위치들 또는 다른 관련 파라미터들은, 이러한 곡선 형상들이 제조에 실현 가능하지 않을 수 있으므로 제조 제한들을 생성할 수 있다. 따라서 이러한 제한들은 마스크 설계 프로세스 동안 설계자에 의해 고려될 수 있다. 곡선 마스크를 제조하는데 있어 제한 및 난제에 대한 자세한 논의는 Spence 등의 "Manufacturing Challenges for Curvilinear Masks"(Proceeding of SPIE Volume 10451, Photomask Technology, 1045104(2017년 10월 16일); doi: 10.1117/12.2280470에서 제공되며, 이는 그 전체가 인용에 의해 본원에 포함된다.
앞서 언급된 바와 같이, 마스크 최적화 프로세스는 설계 레이아웃의 타겟 패턴에 또는 그 주변에 배치된 SRAF들 및 SERIF들과 같은 설계 레이아웃에 대한 OPC를 결정하는 것을 수반한다. 마스크는 OPC된 마스크가 제조 시설의 마스크 제조 프로세스를 만족하는지를 결정하기 위해 마스크 규칙 체크(MRC)를 사용하여 제조성에 대해 추가로 검증될 수 있다. MRC는 마스크 제조 프로세스 또는 장치의 제한 조건들을 지칭한다. 예컨대, MRC는 OPC 수정 피처들의 최소 라인 폭 또는 인접한 2개의 OPC 수정 피처들 사이의 최소 공간이 제조 공장(fab)의 제조 마스크-샵(manufacturing mask-shop)의 능력 내에 있다는 것을 검증한다. 일 실시예에서, MRC는 또한 명-필드/암-필드 프로세스에 대한 공간/폭 규칙이 위반되는 경우 풀백(pullback)과 같은 보정을 포함한다. MRC 관련 보정 후에, OPC 수정 데이터는 마스크를 제조하기 위해 마스크 라이터(mask writer)(예컨대, 전자 빔 라이터, 이온 빔 라이터 또는 레이저 빔 라이터)로 전송된다.
기존 접근법들은 맨해튼 유형의 패턴들에 대해서만 MRC를 사용하도록 구성된다. 기존 접근법들에 있어 2개의 문제들이 존재한다. 첫째로, 통상적으로 최적화 동안, MRC 제약들 또는 페널티들은 최소 크기들 및 영역들을 보호하고, 이에 따라, 다각형이 제거되는 솔루션을 고려하지 않고(예컨대, 연속 마스크 최적화의 경우, 다각형은 흔적도 없이 축소됨), 이 누락은 차선의 결과들을 생성할 수 있다. 둘째로, 단순한 기하학적 구조들이 없는 곡선 레이아웃들에 대해 또는 이미지들로서 파라미터화된 레이아웃들에 대해, 변수들은 일반적으로 다각형 에지 위치들을 명시하지 않아서, 에지들은 최적화 동안 아무런 제약도 받지 않을 수 있다. 또한, 다각형 에지들에 의해 정의된(또는 레이아웃 이미지의 피처들로부터의) 폭들 및 영역들의 측정 및 차별성은 복잡하고, 에지들에 기초한 페널티 비용 함수는 허용 가능한 런타임(runtime) 또는 수렴(convergence)을 제공하지 않을 수 있다. 본 개시내용은 기존 방법들의 이러한 예시적인 문제들을 극복하기 위해 MRC에 기초하여 마스크 패턴들을 결정하기 위한 예시적인 방법들을 논의한다.
도 3은 마스크 제조 도구 또는 프로세스의 제조 제한과 연관된 (예컨대, 335의) 패널티 값에 기초하여 초기 마스크 패턴(305)(예컨대, OPC를 포함하는 곡선 마스크, CTM 이미지로부터 초기화된 마스크 변수들 등)을 수정함으로써 마스크 패턴(340)을 결정하는 예를 예시한다. 일 실시예에서, MRC 제한은 마스크 상의 인쇄될 피처의 기하학적 구조(예컨대, 크기, 영역 등)의 관점에서 정의될 수 있다.
일 실시예에서, MRC는 제조된 마스크 패턴의 복수의 피처들과 연관된 복수의 규칙 체크들(예컨대, 최소 피처 크기들)을 포함한다. 마스크 제조 프로세스 동안, MRC가 충족되지 않는 경우, 마스크 제조 도구 또는 프로세스를 통해 마스크의 제조를 가능하게 하도록 초기 마스크 패턴이 수정될 수 있다. 일 실시예에서, 그러한 수정은 초기 마스크 패턴의 피처의 크기의 변화, 피처의 곡률 등을 포함할 수 있다. 마스크 패턴에 대한 수정들은 차례로, 마스크 패턴이 리소그래피 패터닝 프로세스를 통해 기판을 이미징하는 데 사용될 때 기판 상의 인쇄된 패턴의 변화를 야기할 것이다.
일 실시예에서, MRC 사양을 충족시키기 위한 마스크 패턴에 대한 수정들은 기판 상의 인쇄된 패턴의 바람직하지 않은 변화를 야기할 수 있다. 따라서, 본 개시내용에서, MRC를 만족시키기 위한 초기 마스크 패턴의 임의의 수정(예컨대, 피처 크기의 증가 또는 감소)은 기판 상의 인쇄된 패턴의 원하지 않는 변화들을 참착하기 위한 패널티 값과 연관된다.
일 실시예에서, 이러한 페널티 값은 마스크 최적화 프로세스 동안 사용되는 비용 함수에 포함될 수 있다. 예컨대, 비용 함수는 (예컨대, 그레디언트 디슨트 방법(gradient decent method)을 사용하여) 최적화 프로세스 동안 감소되거나 최소화될 수 있는 에지 배치 오류일 수 있다. 에지 배치 오류는 차이 값으로서 정의될 수 있으며, 여기서 마스크 패턴(예컨대, 초기 마스크 패턴)을 통해 이미징된 기판 상의 인쇄된 패턴의 윤곽과 기판 상에 인쇄되기를 원하는 타겟 패턴(예컨대, 설계 패턴)의 윤곽 간의 차이가 컴퓨팅된다. 본 개시내용에 따르면, 비용 함수는 차이 값과 함께 페널티 값을 포함할 수 있고, 그리하여 최적화 프로세스 동안, 차이 값과 페널티 값의 조합이 감소된다.
일 실시예에서, 초기 마스크 패턴(305)은 마스크의 제조성과 관련된 페널티 값에 기초한 마스크 최적화 프로세스에 대한 입력 패턴이다. 일 실시예에서, 초기 마스크 패턴(305)은 곡선 마스크 패턴, 맨해튼 패턴 또는 이들의 조합을 포함할 수 있다. 일 실시예에서, 초기 마스크 패턴(305)은 CTM 프로세스, CTM + 초기 CTM-기반 곡선 마스크에 대해 레벨 세트 방법을 사용하는 프로세스, CTM + 초기 CTM-기반 곡선 마스크에 대해 시그모이드 변환을 사용하는 프로세스 등을 통해 획득될 수 있다. 본 방법은 특정 초기 마스크 패턴(305)으로 제한되지 않는다.
일 예에서, CTM 프로세스에 의해 생성된 CTM 이미지는 초기 마스크 패턴(305)(초기 이미지)으로서 사용될 수 있는 마스크 변수들을 초기화하는 데 사용될 수 있으며, 이는 함수(335) 및 이미지(340), 및 도 7a의 방법(700)과 관련하여 아래에서 논의되는 바와 같이 반복적으로 수정된다.
일 실시예에서, 곡선 마스크 패턴은 초기 마스크 패턴의 곡선 형상들을 생성하기 위해 레벨-세트 방법을 사용하는 연속 투과 마스크 (CTM +) 프로세스(CTM 프로세스의 확장)로부터 획득될 수 있다. CTM 프로세스의 예는 앞서 언급된 미국 특허 번호 8,584,056에서 논의된다. 일 실시예에서, CTM + 프로세스는 임의의 적합한 방법을 사용하여 초기 마스크 패턴(또는 일반적으로 마스크 패턴)의 보조 피처들의 하나 이상의 특성들을, 그의 일부 또는 하나 이상의 특성들에 기초하여 결정하기 위한 단계들을 수반한다. 예컨대, 보조 피처들의 하나 이상의 특성들은 미국 특허 번호 9,111,062에서 설명되거나 또는 Y.Shen 등의 Level-Set-Based Inverse Lithography For Photomask Synthesis, Optics Express, Vol. 17, pp. 23690-23701(2009)에서 설명된 방법을 사용하여 결정될 수 있으며, 그 개시내용은 이로써 그 전체가 본원에 인용에 의해 포함된다. 예컨대, 하나 이상의 특성들은 보조 피처들의 하나 이상의 기하학적 특성들(예컨대, 절대 위치, 상대 위치 또는 형상), 보조 피처들의 하나 이상의 통계적 특성들, 또는 보조 피처들의 파라미터화를 포함할 수 있다. 보조 피처들의 통계적 특성의 예들은 보조 피처들의 기하학적 치수의 평균 또는 분산을 포함할 수 있다.
일 실시예에서, 방법(예컨대, 도 7a의 700)은 CTM 이미지를 생성하도록 구성될 수 있다. 예컨대, CTM 생성 기술에서, 역 리소그래피 문제는 최적화 문제로서 공식화된다. 변수들은 마스크 이미지에서 픽셀들의 값들과 관련되며, EPE 또는 사이드로브 인쇄(sidelobe printing)들과 같은 리소그래피 메트릭이 비용 함수로서 사용된다. 최적화의 반복에서, 마스크 이미지는 마스크 변수들의 값들을 수정하는 것에 기초하여 마스크 이미지로부터 구성되고, 그 후 광학 또는 레지스트 이미지들을 획득하기 위해 프로세스 모델(예컨대, 타키온(Tachyon) 모델)이 적용되고 비용 함수들이 컴퓨팅된다. 비용 컴퓨테이션은 그 후 초기 마스크 이미지(305)를 획득하기 위해 변수들(예컨대, 픽셀 강도들)을 업데이트하기 위해 최적화 솔버(optimization solver)에서 사용되는 그라디언트 값들을 제공한다. 최적화 동안 여러 반복들 후에, 곡선 마스크 이미지(305)가 생성되며, 이는 (예컨대, 타키온 SMO 소프트웨어에서 구현되는 바와 같이) 패턴 추출을 위한 안내 맵으로서 추가로 사용된다. 이러한 마스크 이미지(305)(예컨대, CTM 이미지)는 패터닝 프로세스를 통해 기판 상에 인쇄될 타겟 패턴에 대응하는 하나 이상의 피처들(예컨대, 타겟 패턴의 피처, SRAF들, SRIF들 등)을 포함할 수 있다.
일 실시예에서, CTM 생성 기술은 입력으로서 설계 레이아웃에 기초하여 연속 톤 마스크를 생성하도록 트레이닝된 기계 학습 모델을 포함할 수 있다. 이러한 기계 학습 모델은 본 방법에 통합될 수 있고, 위에서 논의된 바와 같이 마스크 이미지의 픽셀들의 값들에 대한 변수들에 기초하여 최적화 문제가 공식화될 수 있다.
또한, 일 실시예에서, MRC 위반들에 민감한 구역들을 식별하기 위해 초기 마스크 패턴(305)에 대해 이미지 프로세싱 동작이 수행될 수 있다. 예컨대, 이러한 구역들은 픽셀 강도가 더 느린 레이트로 높은 값으로부터 낮은 값으로 트랜지션되는, 이미지(305) 내의 구역들을 포함한다. 일 실시예에서, 이미지 프로세싱은 MRC 위반이 발생할 가능성이 가장 높은 구역들을 식별하기 위해 초기 마스크 패턴(305)에 대한 에지 검출 동작을 수행하는 것을 수반한다. 예에서, 에지 검출 동작은 초기 마스크 이미지 내에서 픽셀 강도 값들의 범위를 사용하여 임계치-기반 시그모이드 변환을 수행하는 것을 수반한다. 이미지 프로세싱은 초기 마스크 이미지(305)의 패턴들의 윤곽들을 포함하는 다른 변환된 이미지(310)를 생성하며, 여기서 윤곽들의 특정 부분들은 MRC 위반들에 더 민감하다. 이러한 이미지는 초기 마스크 이미지(305)의 추가 최적화를 위한 시작 이미지를 제공할 수 있다. 일 실시예에서, 초기 마스크 이미지(305) 및 초기 마스크 이미지(305)로부터의 윤곽들을 갖는 변환된 이미지(310)는 단순함 및 더 양호한 가독성을 위해 초기 마스크 이미지(310)로서 상호 교환 가능하게 지칭될 수 있다.
도 3에서, 초기 마스크 패턴(310)(예컨대, CTM 또는 CTM + 프로세스로부터 획득됨)은 보조 피처들(314)과 같은 OPC에 의해 둘러싸일 수 있는 메인 피처(312)(예컨대, 기판 상에 인쇄될 원하는 피처)를 포함한다. 일 실시예에서, 메인 피처 및 보조 피처들(314)은 곡선 윤곽들(예컨대, CTM 이미지)을 가질 수 있다. 일 실시예에서, 초기 마스크 패턴(310)은 픽셀화된 이미지의 형태일 수 있으며, 여기서 피처들(312 및 314)은 이미지 내의 신호들로서 간주된다. 일 실시예에서, 초기 마스크 패턴(310)이 픽셀화된 이미지로서 표현될 때, 초기 마스크 패턴(310)은 초기 마스크 이미지(310)로서 상호 교환 가능하게 지칭될 수 있다. 초기 마스크 이미지(310)에서, 피처들(312 및 314)의 각각의 픽셀은 강도 값을 가질 것이다. 따라서, 일 실시예에서, 강한 신호는 피처의 비교적 더 높은 존재 가능성을 표시할 수 있고 약한 신호는 피처의 비교적 더 낮은 존재 가능성을 표시할 수 있다.
본 실시예에 따르면, 하나 이상의 피처들 또는 하나 이상의 피처들 중의 피처의 일부를 식별하기 위해 초기 마스크 이미지(310)에 대해 이미지 프로세싱이 수행될 수 있다. 이미지 프로세싱 동작은 식별된 피처가 원하는 크기(예컨대, 5nm, 5nm, 10nm 등)와 관련되도록 이루어진다. 일 실시예에서, 식별된 피처들은 강한 신호로서 간주될 수 있는데, 예컨대, 특정 크기를 갖는 피처들은 강한 신호로 간주될 수 있고, 원하는 크기에 비교하여 비교적 더 크거나 더 작은 크기들을 갖는 피처들은 약한 신호들로서 간주될 수 있다. 예컨대, 이미지 프로세싱은 검출된 패턴(320)을 갖는 이미지를 초래하며, 이러한 이미지는 대안적으로 검출된 패턴 이미지(320)로서 지칭될 수 있다.
일 실시예에서, 원하는 크기의 피처들은 초기 마스크 이미지(310)가 특성 변조 거리(σ)를 갖는 커널과 컨볼빙되는, 블롭-검출 동작과 같은 이미지 프로세싱 동작에 의해 결정될 수 있다. 컨볼루션의 결과는 "블롭"-이미지(320) 또는 검출된 패턴 이미지(320)란 용어로서 지칭된다. 블롭-검출 동작의 예가 도 4에서 예시된다.
도 4a를 참조하면, 초기 마스크 이미지(예컨대, 도 3의 310) 내에서, 대략 0 값들(예컨대, 401, 402, 403 및 404)을 갖는 픽셀들은 이미지 피처로부터 비교적 멀리 떨어진(예컨대, 피처들의 중심(312 및 314)으로부터 멀리 떨어진) 구역들이고, 비교적 높은 비-제로 값들(예컨대, 410)을 갖는 픽셀들은 변조 거리(σ) 근처의 길이-스케일을 갖는, 피처에 있는 또는 그 주위의 구역 내에 있다. 예컨대, 약한 신호들(401, 402, 403 및 404)(비교적 낮은 진폭 또는 강도 값들을 가짐)에 대응하는 구역들은 원하는 크기의 피처가 부재를 표시하는 멀리 떨어진 구역일 수 있는 반면, 강한 신호(410)는 변조 거리(σ)를 특징으로 하는 원하는 크기의 피처의 존재를 표시할 수 있다.
예컨대, 커널은 구 대칭적(spherically-symmetric) 가우시안의 라플라시안(LoG) 또는 가우시안의 차이(Difference-of-Gaussians; DoG)일 수 있다. 일 실시예에서, DoG 커널을 이용한 동작은 빠르고, 결과들은 반경(r)의 함수로서 플로팅될 수 있다. 일 실시예에서, 블롭-검출 동작은 원하는 크기의 피처들을 검출하는 개념을 설명하기 위한 예로서 제시되지만; 본 개시내용은 위에서 언급된 커널들로 제한되지 않는다. 예컨대, 입력 이미지와의 컨볼루션을 통해 원하는 크기의 피처를 검출하도록 구성된 임의의 커널이 본 개시내용의 범위 내로 간주될 수 있다.
또 다른 예에서, LoG 커널을 사용하는 블롭-동작은 도 4b에서 예시된다. 일 실시예에서, (예컨대, 검출될 피처의 원하는 크기에 대한) 오리지널 신호(420)가 획득된다. 예컨대, 오리지널 신호(420)는 8 nm의 피처 크기에 대응하는 폭을 갖는다. 그 후, 신호(420)는 LoG 커널을 통해 입력 이미지에 인가되어 422, 424, 426, 428 및 430과 같은 응답 신호들을 생성한다. 이들 응답들 중에서, 응답(430)의 신호는 더 작은 진폭들을 갖는 응답들(422, 424, 426, 428)의 다른 신호에 비해 최대 진폭을 갖는 가장 강한 신호이다. 일 실시예에서, 가장 강한 신호는 원하는 피처 크기의 오리지널 신호(예컨대, 8 nm)에서 획득된다.
다시 도 3을 참조하면, 초기 마스크 이미지(310)에 대해 피처 검출 동작이 수행될 때, 결과적인 이미지(320)는 원하는 피처 크기 주변의 크기를 갖는 피처들을 식별한다. 검출된 패턴 이미지(320)에서, 강한 신호들을 갖는 피처들(314) 또는 피처들(314)의 일부들이 이미지 프로세싱 동작(예컨대, 블롭-동작)을 사용하여 식별된다. 따라서, 피처들(314) 또는 그 일부들은 원하는 피처 크기(예컨대, MRC 제한)에 비교적 가깝다. 예컨대, 원하는 피처 크기가 5 nm인 경우, 4 nm-6 nm 크기들을 갖는 피처들 또는 그 일부들이 식별될 수 있다. 검출된 패턴 이미지(320)에서, 피처들(314)이 식별되지만, 픽셀 당 그들의 강도 값은 변동될 수 있다. 예컨대, 특징적인 변조 거리 또는 원하는 피처 크기에 대응하는 픽셀들은 검출된 패턴 이미지(320) 내의 다른 픽셀들에 비해 더 강한 신호 또는 비교적 더 높은 강도 값들을 가질 수 있다. 따라서, 일 실시예에서, 피처들 또는 그의 부분들을 추가로 격리하기 위해 트래쉬홀딩 기반 동작(thresholding based operation)이 수행될 수 있다. 예컨대, 일 실시예에서, 강한 신호를 갖는 피처들 또는 그의 부분들을 추가로 격리하기 위해 검출된 패턴 이미지(320)에 대해 임계 값들을 이용한 이진화 함수가 수행될 수 있다.
일 실시예에서, 검출된 패턴 이미지(320)의 이진화는 이진 이미지(330)로서 또한 지칭되는 이진화된 패턴 이미지(330)를 초래한다. 일 실시예에서, 이진화 함수가 크기가, 원하는 피처 크기의 주어진 간격에 속하는 피처들을 1로서 분류하고 크기가 주어진 간격을 벗어나는 피처들을 0으로서 분류하도록 이진화에 대한 임계 값들이 세팅된다. 일 실시예에서, 이진화 함수는 시그모이드 함수, 아크탄젠트 함수 및 단계 함수 중 적어도 하나일 수 있다. 일 실시예에 따르면, 이진화 함수의 파라미터들은 경사도(steepness) 및 임계 값들을 포함한다.
일 실시예에서, 이진화 함수는 검출된 이미지(320)를 이진 이미지로 점진적으로 변환하도록 구성될 수 있다. 일 실시예에서, 이진화 함수는 다음과 같은 형태의 로지스틱 함수(logistic function)일 수 있다:
Figure pct00002
(1)
위의 방정식에서, L은 곡선의 최대 값이고, k는 곡선의 경사도이며,
Figure pct00003
는 곡선의 중간점에서 변수(
Figure pct00004
)의 값이다. 일 실시예에서, 변수(
Figure pct00005
)는 검출된 패턴(320), 즉 이미지에서 픽셀 위치의 표현일 수 있다. 앞서 언급된 바와 같이, 로지스틱 함수는 다음과 같이(방정식 2) 시그모이드 함수(즉, k = 1,
Figure pct00006
= 0 및 L = 1임), 아크탄젠트(즉, 역 탄젠트) 함수 및/또는 일 형태의 단계 함수일 수 있다:
Figure pct00007
(2)
일 실시예에서, 이진화를 가능하게 하기 위해, 임계 값이 로지스틱 함수(예컨대, 시그모이드) 기반 변환의 결과에 할당될 수 있다. 예컨대, 각각 "1" 및 "0"인 최대 값 및 최소 값을 갖는 시그모이드 함수의 경우에, 임계치는 약 0.5(또는 0.5 미만)일 수 있으며, 이는 시그모이드 변환 후, 대략 0.5보다 큰 값을 갖는 결과적인 이미지의 픽셀에는 값 1이 할당될 수 있고, 0.5 미만인 경우에는 값 0이 할당될 수 있는 것을 표시한다. 단계 함수가 사용되는 실시예에서, 이진수 "1"은 임계치보다 큰 값들을 갖는 픽셀들에 할당될 수 있고 이진수 "0"은 임계치보다 작은 값들을 갖는 픽셀들에 할당될 수 있다.
일 실시예에서, 블롭-이미지(예컨대, 320)는 임계치 미만의 픽셀 값들을 0으로, 임계치 초과의 픽셀 값들을 1로 매핑하기 위해 시그모이드 변환을 겪는다. 비선형 매핑은 시그모이드 함수로 제한되지 않고 이미지 픽셀들에 대해 구별 가능한 임의의 함수가 적용될 수 있다. 이 이진화된 이미지에서, 1에 가까운 픽셀들은 특정 피처 크기들의 간격 내에서 피처에 속하는 것으로서 픽셀 위치를 분류한다. 예컨대, 픽셀 위치는 원하는 피처 크기의 간격 내에서 피처에 속한다.
또한, 검출된 패턴(320) 및 이진화된 패턴(330)이 결합되어 결합된 이미지(340)를 형성하며, 이는 피처 크기들이 어떻게 수정되어야 하는지를 결정한다. 일 실시예에서, 결함 패턴(320) 및 이진화된 패턴(330)은 이미지들(320, 330)을 곱함으로써 결합될 수 있다. 일 실시예에서, 이미지들은 벡터 형태(또는 다른 이미지 표현 형태들)로 표현될 수 있으며, 이 경우에, 320 및 330에 대응하는 벡터들이 곱해질 수 있다. 결과적인 결합된 이미지는 원하는 피처 크기의 간격 내의 크기들을 갖는 피처들을 식별한다.
일 실시예에서, 결합된 이미지는 앞서 논의된 바와 같이 원하는 피처 크기(예컨대, σ)에 대응하는 강한 신호들을 포함한다. 예컨대, 이진화된 이미지(예컨대, 330)로 곱해질 때 블롭-이미지(예컨대, 도 3의 320)의 강한 신호(예컨대, 도 4의 410)는 값 1로 변환될 수 있는 반면, 약한 신호들은 0을 변환될 수 있고, 이에 따라 원하는 피처 크기 주변 크기들의 피처들만이 획득된다. 또한, 결합된 이미지는 패널티 값을 포함하는 비용 함수와 관련된다.
일 실시예에서, 블롭-이미지(예컨대, 320)는 피처의 크기의 함수로서 비용 함수(335)를 결정하기 위해 이진화된 이미지(예컨대, 330)로 곱해진다. 함수(335)는 함수를 감소시키기 위해 초기 마스크 패턴(310)의 크기들을 어떻게 수정할지를 안내한다. 일 실시예에서, 비용 함수를 최소화하기 위해 피처 크기들이 수정된다.
일 실시예에서, 비용 함수는 기판의 인쇄된 피처(예컨대, EPE)와 연관된 측정치(measure) 및 마스크의 피처 크기와 연관된 패널티 값의 조합일 수 있다. 패널티 값은 또한 MRC 정규화 비용으로서 지칭된다. 일 실시예에서, 페널티 값은 각각의 픽셀과 연관된 스칼라 값일 수 있다. 예컨대, 원하는 피처 크기의 피처에 대응하는 픽셀은 원하는 피처 크기보다 크거나 작은 피처 크기에 대응하는 픽셀에 비교하면, 그것과 연관된 비교적 더 높은 페널티 값을 가질 수 있다. 따라서, 일 실시예에서, 피처 크기가 수정(예컨대, 증가 또는 감소)될 때 패널티 값은 증가 또는 감소할 수 있다. 일 실시예에서, 피처 크기는 초기 마스크 이미지(310)의 픽셀들의 강도 값들을 증가 또는 감소시킴으로써 수정될 수 있다.
일 실시예에서, 비용의 적용은 피처 크기들의 일부 간격 내의 피처에 속하는 픽셀에 대해 비-제로이다. 원하는 크기(Sth)를 가진 패턴들에 대해 가장 큰 픽셀 값들이 발생한다. 원하는 크기(Sth)보다 작거나 큰 피처들은 더 낮은 픽셀 값들을 가져서, 원하는 피처 크기는, 비용 함수가 감소되도록 초기 마스크 패턴의 적어도 하나의 피처의 크기가 수정되는 전환점(turning point)으로서 역할을 한다. 일 실시예에서, 원하는 크기(Sth) 미만의 피처들이 축소되거나 원하는 크기(Sth) 초과의 피처들이 성장하는 경우, 비용 함수가 감소될 것이다. 마스크 상의 패턴의 크기 측정치로서 임계 치수(CD)가 정의되는 경우, 원하는 피처 크기(CDth)가 전환점으로서 역할을 한다.
도 3에서, 예시적인 페널티-기반 비용 함수(335)는 결합된 이미지에 기초하여 결정되며, 여기서 함수(335)는 피처 크기의 함수로서 비용 값(예컨대, EPE 및 페널티 값의 합)을 제공한다. 일 실시예에서, 함수(335)는 원하는 피처 크기와 연관된 페널티 값이 최대임을 표시하는 반면, 페널티 값들은 피처 크기가 증가하거나 감소할 때 감소할 수 있다. 예로서, 원하는 피처 크기가 8nm이고 결합된 이미지(예컨대, 320과 330의 곱셈)가 4nm 및 10nm 크기의 피처들을 포함한다고 가정한다. 그 후, 함수(335)에 따라, 4nm 크기의 피처들은 예컨대, 패널티 값을 감소시키도록 2nm, 1nm 또는 0nm 크기로 축소되어야 한다. 다른 한편으로, 10nm 크기의 피처들은 예컨대, 페널티 값을 감소시키기 위해 11nm, 12nm 등으로 성장되어야 한다. 일 실시예에서, 함수(335)는 전체 비용, 예컨대, EPE 값 및 페널티 값의 합을 표현하며, 이에 따라 피처 크기들의 수정은 이를테면, 전체 비용을 감소시킨다.
일 실시예에서, 피처 크기들(예컨대, 최적화된 OPC의 SRAF/SERIF 피처들)을 증가시키거나 감소시키는 것은 웨이퍼 상에 인쇄된 패턴들에 대해 바람직하지 않은 영향(예컨대, 결함들, 설계 패턴으로부터의 큰 편차들)을 미칠 수 있기 때문에, 전체 비용이 고려될 수 있다. 따라서, 일부 실시예들에서, 피처 크기들을 변경하는 것은 전체 비용이 실질적으로 증가되지 않도록 이루어져야 하고, 바람직하게는 이미 최적화된 초기 마스크 패턴(310)과 연관된 비용과 유사하게 유지되도록 감소되어야 한다.
일 실시예에서, 비용 함수(335)는 원하는 피처 크기 주변의 피처 크기들을 갖는 수정된 마스크 패턴의 리소그래피 시뮬레이션을 통해 획득될 수 있다. 예컨대, 반복에서, 수정된 마스크 패턴은 기판 상에 인쇄될 수 있는 패턴을 예측하기 위해 패터닝 프로세스 모델에 대한 입력으로서 제공될 수 있다. 그 후, 예컨대, EPE 값을 결정하기 위해 예측된 패턴과 원하는 패턴 사이의 차이가 컴퓨팅될 수 있다. 마스크 패턴의 피처의 수정된 크기들에 기초하여 여러 번 반복하면, 함수(335)가 발전될 수 있다.
일 실시예에서, 최종 마스크 패턴(예컨대, 340)의 결정은 페널티 값을 포함하는 비용 함수가 반복적으로 감소되거나 최소화되는 최적화 프로세스이다. 예컨대, 그라디언트 맵을 생성하기 위해 비용 함수가 마스크 변수(예컨대, 픽셀 강도)에 대해 차별화되는 그라디언트-기반 접근법이 사용될 수 있다. 그래디언트 맵은 예컨대, 비용 함수를 감소시키기 위해 픽셀 강도들 및 결과적으로 피처 크기들이 어떻게 수정되어야 하는지를 안내한다. 예컨대, 그라디언트 맵은 컴퓨팅 프로세스를 최소 비용 값으로 안내할 수 있다.
위에서 논의한 바와 같이, 이 프로세스는 곡선 피처의 크기들을 실제로 측정하는 것(이는 난제임)을 수반하지 않는다. 따라서, 일 실시예에서, 통상적인 계측 도구를 사용한 피처의 측정들은 MRC 제약들이 만족되도록 피처들에 대한 수정들을 결정하는 데 필요하지 않을 수 있다. 이는 곡선 패턴들의 크기들의 측정이 복잡하고 부정확하기 때문에 특히 유리하다.
페널티 값 또는 함수(335)에 따라 피처 크기들을 수정하는 하나 이상의 반복들 후에, 최종 마스크 패턴(350)이 획득될 수 있다. 예컨대, 결합된 이미지(340)에서, 비용 이미지는 MRC 정규화로 인해 페널티를 받은 초기 마스크 패턴의 구역 또는 픽셀들을 강조하도록 초기 마스크 패턴(310) 상에 오버레이된다. 따라서, 최종 마스크 패턴(350)을 획득하기 위해, 예컨대, 피처들(344)은 추출된 피처들(334)의 위치에 대응하는 특정 위치들(예컨대, 강조된 구역)에서 수정될 수 있다. 예컨대, 피처들(344)의 피처 크기들 중 일부는 임계치를 넘어 증가될 수 있는 반면, 일부 피처 크기들은 감소될 수 있다.
도 5a 내지 도 5c는 MRC 제약과 관련된 페널티 값들에 기초하여 마스크 패턴에 수정을 적용하는 것과 MRC 관련 제약 없이 결정된 초기 패턴 수정을 적용하는 것 간의 차이를 예시하는 예이다. 도 5a는 메인 피처(515)(예컨대, 접촉 구멍(515)) 주위에서 (예컨대, CTM 최적화 프로세스를 통해) 결정된 SRAF들(516)과 같은 OPC를 갖는 초기 마스크 패턴(510)을 예시한다. SRAF들(516)은, 피처 크기가 4-8nm 범위에 있는(이는 마스크 제조 제약으로 인해 바람직하지 않을 수 있음) 여러 부분들(517)(다른 위치의 유사한 마킹들을 포함함)을 포함한다. 마스크 제조 동안, 초기 마스크 패턴(510) 데이터는 일부 피처 크기들을 인쇄하지 않는 마스크 라이터에 제공될 수 있거나, 또는 초기 마스크 피처는 마스크 제조를 가능하게 하기 위해 랜덤으로 수정될 수 있을 것인데, 그 이유는 그것이 리소그래피 장치의 인쇄 성능에 영향을 미칠 것이기 때문이다.
도 3에 예시된 바와 같은 MRC 기반 접근법이 적용될 때, 도 5b에 도시된 바와 같이 최종 마스크 패턴(520)이 획득된다. 마스크 패턴(520)은 초기 마스크 패턴(510)과 유사하다. 예컨대, 마스크 패턴(520)은 또한 메인 피처(525)(515와 유사) 및 메인 피처(525)를 둘러싸는 SRAF들(526)을 포함한다. 그러나, SRAF들(525)은 초기 마스크(510)의 SRAF들(517)과 비교하면 상이한 피처 크기들을 갖는다. 일 실시예에 따르면, 초기 마스크(517)의 피처 크기들은 (예컨대, 510으로 마킹된 위치들의) 여러 부분들에서 수정된다. 일 실시예에서, 피처 크기들은 증가될 수 있는 반면, 일부 경우들에서, 피처 크기들은 감소될 수 있고, 일부 경우들에서, 피처 크기가 0일 수 있다.
도 5c는 MRC 없는 초기 마스크 패턴(510)과 MRC에 기초한 수정된 마스크 패턴(520) 사이의 비교(530)를 예시한다. 도 5c에서, 마스크 패턴들(510 및 520) 상의 동일한 위치에의 부분이 확대된다. 마스크 패턴들(510 및 520) 부분의 확대된 버전은 비교 목적으로 중첩된다. 이미지(530)에서, 일부(511)(예컨대, SRAF의 일부)는 초기 패턴(510)의 부분이고, 일부들(521 및 522)은 마스크 패턴(520)의 부분이다. 일부(511)가 비교적 작은 치수(예컨대, 1nm 미만)를 갖는 목 구역(513)을 갖는 것을 알 수 있다. 마스크 패턴(520)을 결정하는 프로세스 동안, 크기를 0으로 감소시키기 위해 목 구역(513)이 수정된다. 결과적으로, 일부(511)는 그 사이에 간격(523)(예컨대, 10nm 초과)을 갖는 2개의 별개의 피처들(521 및 522)로 분할된다. 일 실시예에서, 그러한 간격은 또한 MRC 제약의 부분일 수 있다. 2개의 피처들 사이의 공간이 너무 작은 경우, 공간은 또한 제조되지 않을 수 있다. 예컨대, 페널티 값은 간격(523)과 연관될 수 있고 페널티 값에 기초하여, 전체 비용 또는 페널티 값만을 낮추기 위해 간격이 증가될 수 있다. 따라서, 피처들(521 및 522)을 포함하는 수정된 부분은 도 3과 관련하여 논의된 바와 같이 페널티 값들에 기초하여 생성된다.
도 6a는 초기 마스크 패턴(예컨대, 어떠한 MRC 관련 수정도 없음) 및 본 개시내용의 MRC 기반 수정을 통해 획득된 마스크 패턴의 결과들을 비교하는 또 다른 예를 도시한다. 일 실시예에서, 초기 마스크 패턴의 하나 이상의 피처들은 MRC 제약들을 만족하도록 수정될 수 있다. 일 실시예에서, 피처의 크기 또는 패턴의 피처들 사이의 간격이 수정될 수 있다. 예컨대, 초기 마스크 패턴의 피처들(610 및 615)이 수정된다. 일 실시예에서, 피처(610)는 도시된 바와 같이 목 구역(R1)을 포함한다. 본 개시내용에 따르면, 도 6c의 페널티 함수(630)가 결정되고, 또한 목 구역(R1)의 피처(610)의 크기에 대응하는 페널티 값에 기초하여, 마스크 패턴은 그 사이에 특정 간격을 갖는 피처들(620a 및 620b)로 변환된다. 일 실시예에서, 함수(630)는 목 구역(R1)의 피처(610)의 크기가 축소/감소될 때, 패널티 값이 감소할 것임을 표시한다. 따라서, 크기는 0으로 감소되고 피처(610)는 2개의 피처들(620a 및 620b)로 분할된다. 다른 예에서, 피처(615)는 또한 원하는 피처 크기보다 작은 피처 크기들을 갖는 2개의 목 구역들(R2 및 R3)을 포함한다. 함수(630)(도 6b)에 따르면, 목 구역들(R2 및 R3) 내의 피처들의 크기는 페널티 값이 감소됨에 따라 피처의 원하는 크기를 넘어 증가되어야 한다고 결정된다. 따라서, 수정된 마스크 패턴은 목 구역에서 증가된 피처 크기를 갖는 피처(625)를 포함한다. 도시된 바와 같이, 상이한 형상들을 갖지만 유사한 크기들을 갖는 피처는 상이하게 수정될 수 있다. 예컨대, 목 구역들(R1, R2, R3)은 유사한 낮은 값들을 갖는다. 그러나, 각각의 피처들(610, 615)에 적용된 페널티 값(또는 전체 비용 값)에 기초하여, 2개의 상이한 결과들(620a 및 620b 및 625)이 각각 획득된다. 따라서, 일 실시예에 따르면, 본 개시내용에 따라 마스크 패턴을 결정하는 방법들이 CTM 프로세스에 통합될 때, 획득된 결과들은 패널티 값들 또는 전체 비용 함수에 기초하여 변동될 수 있다. 일 실시예에서, 이러한 결과들은 비직관적일 수 있는데, 예컨대, 논의된 유사한 크기의 피처들(예컨대, R1, R2, R3에서)은 상이하게 수정되었다.
도 7a는 마스크 패턴을 결정하기 위한 방법(700)의 흐름도이다. 방법(700)에서, 패터닝 디바이스 패턴은 마스크 제조 도구(예컨대, e-빔 도구)의 제조 제한들에 기초하여 결정된다. 일 실시예에서, 제조 제한은 마스크 제조 도구를 통해 제조될 수 있는 마스크 패턴의 피처의 원하는 크기 또는 최소 크기의 관점에서 정의된다. 따라서, 제조 제한들(예컨대, 최소 피처 크기)에 기초하여, 초기 마스크 패턴(예컨대, CTM 기반 곡선 마스크 패턴)이 제조 제한을 수용하도록 수정된다. 초기 마스크 패턴(예컨대, 310) 및 최종 마스크 패턴(예컨대, 340)을 획득하기 위한 초기 마스크 패턴의 수정의 예가 도 3과 관련하여 논의된다. 예는 본원에서 방법(700)을 설명하기 위해 사용된다. 앞서 언급된 바와 같이, 초기 마스크 패턴의 이러한 수정은 기판 상의 인쇄된 패턴이 타겟 패턴(예컨대, 원하는 패턴)으로부터 벗어나게 할 수 있다. 타겟 패턴으로부터의 이러한 편차는 에지 배치 오류, CD, 피처의 영역 또는 기판 상의 인쇄된 피처와 관련된 다른 관련 메트릭과 같은 비용 함수의 관점에서 측정될 수 있다. 일 실시예에서, 본 개시내용의 페널티 값(예컨대, 도 3의 335)과 함께 비용 함수는 수정된 마스크 패턴을 결정하기 위해 사용된다. 방법(700)에 수반된 단계들 또는 프로세스는 아래에서 추가로 상세히 논의된다.
방법은 프로세스(P72)에서, (i) 적어도 하나의 피처를 갖는 초기 마스크 패턴(701) 및 (ii) 적어도 하나의 피처의 원하는 피처 크기(703)를 획득하는 것을 수반한다. 일 실시예에서, 초기 마스크 패턴(701)(예컨대, 도 3의 310)은 곡선 마스크 패턴 또는 맨해튼 패턴일 수 있다. 예컨대, 곡선 마스크 패턴(310)은 앞서 논의된 바와 같이 CTM/CTM + 프로세스를 사용하여 획득될 수 있다. 일 실시예에서, 310에서의 곡선 패턴들 중 적어도 하나의 피처는 SRAF와 같은 하나 이상의 OPC 관련 패턴들을 포함한다. 일 실시예에서, 초기 마스크 패턴은 픽셀화된 이미지이고, 각각의 픽셀은 강도 값을 갖는다. 강도 값은 이미지의 신호에 대응한다. 예컨대, 신호는 패턴의 하나 이상의 피처의 존재를 표시한다. 따라서, 다른 픽셀들보다 비교적 더 높은 강도 값들을 갖는 픽셀들은 강한 신호 또는 피처 이를테면, 메인 피처 또는 OPC 관련 피처 예컨대, SRAF/SERIF의 존재를 표시한다.
방법은 프로세스(P74)에서, 초기 마스크 패턴 및 기판에 대한 타겟 패턴에 기초한 패터닝 프로세스 모델을 통해, 초기 마스크에 의해 이미징된 기판의 예측된 패턴과 기판에 대한 타겟 패턴 간의 차이 값을 획득하는 것을 수반한다. 일 실시예에서, 예측된 패턴은 예측된 패턴을 생성하기 위한 입력으로서 초기 마스크 패턴으로 패터닝 프로세스 모델(예컨대, 광학기 모델, 항공 이미지 모델, 레지스트 모델 등)을 시뮬레이팅하고, 차이 값(예컨대, EPE)을 결정하기 위해 타겟 패턴과 예측된 패턴을 비교함으로써 획득될 수 있다.
예컨대, 도 7b는 초기 마스크 패턴(751)(701의 예) 및 타겟 패턴(TP1)으로부터 예측된 패턴(PP1)(점선)을 결정하는 예를 예시한다. 시뮬레이션 프로세스(SP1)는 예컨대, 도 2에서 논의된 바와 같이 패터닝 프로세스 모델들의 시뮬레이션을 수반한다. 또한, 예측된 패턴(PP1)과 타겟 패턴(TP1) 간의 차이가 결정된다. 일 실시예에서, 차이는 예측된 패턴(PP1)의 피처의 윤곽과 예측된 패턴의 윤곽에 대응하는 타겟 패턴(TP1)의 윤곽 사이의 에지 배치 오류인 차이 값이다. 일 실시예에서, 에지 배치 오류는 PP1 및 TP1의 윤곽을 따른 상이한 위치들에서 PP1 및 TP1의 윤곽들 사이의 차이 값을 컴퓨팅함으로써 결정되고, 그 후 차이 값들의 합이 EPE를 결정하기 위해 결정될 수 있다. 일 실시예에서, 차이 값은 PP1의 다각형과 TP1의 다각형의 영역의 차이일 수 있다. 또 다른 예에서, 차이 값은 CD의 차이(예컨대, 폭 또는 높이)일 수 있다. 차이는 위의 예들로 제한되지 않고 (예컨대, 기하학적 구조에 기반한) 다른 적절한 메트릭이 차이 값을 결정하는 데 사용될 수 있다.
도 7a를 다시 참조하면, 방법은 프로세스(P76)에서, 프로세서(예컨대, 프로세서(104))를 통해, 적어도 하나의 피처의 제조성과 관련된 패널티 값을 결정하는 것을 수반하며, 여기서 패널티 값은 적어도 하나의 피처의 크기의 함수로서 변동된다. 일 실시예에서, 페널티 밸브는 도 3과 관련하여 논의된 바와 같이 결정될 수 있다.
일 실시예에서, 페널티 값을 결정하는 것은 원하는 피처 크기 주변의 크기들을 갖는 피처들을 갖는 초기 마스크 패턴의 패턴을 검출하는 것을 수반한다. 일 실시예에서, 검출된 패턴은 원하는 피처 크기의 원하는 범위(예컨대, ±5 %, ±10 %, ±20 %, ±0.1nm, ±0.3nm, ±0.5nm, 1nm 등) 내의 크기들을 갖는 피처들을 포함한다. 일 실시예에서, 사용된 커널 및 피처의 원하는 크기에 기초하여 다른 적절한 것이 선택될 수 있다. 일 실시예에서, 원하는 크기의 패턴은 대략적으로 원하는 피처 크기의 특성 변조 거리를 갖는 커널을 컨볼빙함으로써 결정될 수 있다. 일 실시예에서, 특성 변조 거리를 갖는 커널(예컨대, LoG 또는 DoG)은 초기 마스크 패턴의 픽셀화된 이미지와 컨볼빙되고, 여기서 특성 변조 거리는 이를테면 도 4에 도시된 픽셀화된 이미지의 신호 주변의 값들의 범위에 대응한다. 일 실시예에서, 신호는 픽셀화된 이미지의 픽셀의 강도와 관련된다. 패턴을 검출하는 예는 검출된 패턴 이미지(320)와 관련하여 도 3에서 예시된다.
또한, 검출된 패턴은 이진화 함수를 사용하여 검출된 패턴의 이진화된 패턴을 컴퓨팅하는 데 사용된다. 일 실시예에서, 이진화 함수(예컨대, 시그모이드)는 크기가 원하는 피처 크기의 주어진 간격에 속하는 피처들을 분류한다. 이진화된 패턴을 생성하는 예는 이진화된 이미지(330)와 관련하여 논의된다.
일 실시예에서, 이진화된 패턴의 컴퓨팅은 검출된 패턴의 이미지의 이미지 프로세싱에 기초한다. 이러한 컴퓨팅은 이진화 임계 값(예컨대, 0.5 초과)에 기초하여 검출된 패턴들의 이미지 내의 픽셀들을 식별하는 것을 수반하며, 여기서 이진화 임계 값은 적어도 하나의 피처의 원하는 크기의 주어진 간격 내에서 피처에 속하는 픽셀 위치를 분류한다. 예컨대, 픽셀의 강도가 0.8인 경우, 분류된 위치에는 "1"이 할당될 수 있으며, 이는 크기가 원하는 피처 크기에 비교적 가까운 피처에 픽셀이 대응함을 표시한다.
또한, 검출된 패턴과 이진화된 패턴의 조합에 기초하여, 패널티 값이 결정된다. 검출된 패턴과 이진화된 패턴의 조합은 다양한 크기들의 피처들을 포함하며, 이는 페널티 값과 연관되고 그리하여 피처의 크기의 변경과 연관된 페널티를 결정하기 위한 토대를 제공한다.
일 실시예에서, 페널티 값의 결정은 도 8a 내지 도 8e와 관련하여 추가로 논의된다. 도 8a는 초기 마스크 패턴에 대한 수정들(예컨대, 피처의 크기의 증가 또는 감소)을 결정하는 데 사용되는 페널티 함수(또는 그로부터의 비용 함수)를 생성하는 예시적인 프로세스이다. 일 실시예에서, 초기 마스크 이미지(801)(예컨대, 곡선 마스크 이미지)가 (예컨대, CTM 프로세스를 사용하여) 획득된다. 일 실시예에서, 초기 마스크 이미지는 벡터 형태(803)로 변환될 수 있다. 일 실시예에서, 벡터 형태(803)로의 변환은 시그모이드 변환을 적용하는 것을 수반한다(예컨대, 임계치는 0.6보다 크며, 여기서 임계 값은 0과 1 사이에서 변동됨).
또한, 초기 마스크 이미지(801)(또는 803)는 앞서 논의된 바와 같이 커널(805)(예컨대, LoG 또는 DoG)과 컨볼루팅되어(convoluted) 블롭 이미지(810)를 생성한다. 앞서 언급된 바와 같이, 커널(805)은 MRC와 관련된 CD 임계 값(CDth)으로서 세팅될 수 있는 파라미터 이를테면, 특성 변조 거리를 포함한다.
컨볼루션 시에, 결과적인 블로그 이미지(810)는 도 8b에 도시된 바와 같이 CDth를 중심으로 하는 강도 프로파일(830)을 갖는다. 강도 프로파일(830)은 CDth에 가까운 CD 값들을 갖는 피처들이 비교적 높은 강도를 갖고, CDth에 대해 CD 값들이 감소하거나 증가함에 따라 강도 값들은 도시된 바와 같이 감소한다는 것을 표시한다. 일 실시예에서, 강도 프로파일(830)은 종 형상 곡선이며, 여기서 강도 값들은 CDth에 대해 CD 값들이 증가하거나 감소함에 따라 지수적으로 감소한다.
또한, 블롭 이미지(810)는 강도 프로파일(830)을 이진 강도 프로파일(816)로 변환하기 위해 앞서 논의된 바와 같이 단계 또는 시그모이드 함수와 같은 이진화 함수를 적용함으로써 이진 이미지(815)로 변환된다. 예컨대, 도 8c의 단계 함수(812)는 블롭 이미지(810)의 강도 프로파일(830)에 적용될 수 있다. 일 실시예에서, 단계 함수(812)는 이진화 임계 값(Bth)을 포함한다. 일 실시예에서, 이진화 임계 값인 Bth 값은 강도 프로파일(830)의 특정 (예컨대, CDth 주위의 간격 범위 내에 있는) 강도 값들만이 1로 변환될 것이고 강도 프로파일(830)의 나머지 (예컨대, CDth로부터 더 멀리 있는) 강도 값들은 0이 되도록 선택된다. 결과적인 이진화된 이미지 강도 프로파일, 즉 이진화된 강도 프로파일(816)이 도 8d에 도시된다. 즉, 이진화 임계치 이상인 강도 값들을 가진 피처 크기들의 윈도우가 식별된다. 이 이진화된 강도(816)는 패널티 값 함수(822)를 생성하기 위해 블롭 이미지 강도 프로파일(830)과 곱해지며, 여기서 이미지 강도는 이진화된 강도(816)에서 식별된 범위 내에서 CD 값들의 함수로서 변동된다. 함수(822)는 초기 마스크 이미지(801)의 피처 크기들을 수정하기 위해 추가로 사용된다. 예컨대, 페널티 값 함수(822)의 CD 범위 내에 있는 피처 크기들은 페널티 값이 감소되거나 최소화되도록(예컨대, 0) 수정된다.
다시 도 7a를 참조하면, 방법(700)은 프로세스(P78)에서, 차이 값 및 페널티 값의 합이 감소되도록 초기 마스크 패턴 및 원하는 피처 크기에 기초하여 마스크 패턴을 결정한다. 페널티 값(예컨대, 도 3의 함수(335) 및 도 6b 및 6c의 함수(630))에 기초하여 피처 크기를 어떻게 수정하는지를 예시하는 예들이 본 개시내용에서 앞서 논의되었다. 일 실시예에서, 마스크 패턴의 결정은 반복적인 프로세스이다. 반복은 초기 패터닝 디바이스 패턴의 적어도 하나의 피처의 크기를 수정하는 단계; 적어도 하나의 피처의 수정된 크기에 대응하는 패널티 값을 결정하는 것, 그리고 차이 값(예컨대, EPE) 및 페널티 값의 합이 감소되는지를 결정하는 것을 포함한다. 일 실시예에서, 초기 마스크 패턴의 적어도 하나의 피처의 크기를 수정하는 것은 차이 값 및 패널티 값의 합이 감소되도록 적어도 하나의 피처의 크기를 증가 또는 감소시키는 것을 수반한다.
일 실시예에서, 적어도 하나의 피처의 크기를 증가시키는 단계는 차이 값 및 페널티 값의 합이 최소화되게 한다. 예컨대, 앞서 논의된 바와 같이, 도 6a의 R2 및 R3에서 목들의 크기들은 도 6b의 함수(630)로부터의 페널티 값에 기초하여 증가한다.
일 실시예에서, 적어도 하나의 피처의 크기를 감소시키는 단계는 차이 값 및 페널티 값의 합이 최소화되게 한다. 일 실시예에서, 적어도 하나의 피처의 크기를 감소시키는 단계는 마스크 패턴으로부터 적어도 하나의 피처를 제거한다. 예컨대, 앞서 논의된 바와 같이, 도 6a의 도 1의 R1에서 목의 크기는 도 6c의 함수(630)로부터의 페널티 값에 기초하여 증가한다.
앞서 언급된 바와 같이, 페널티 값은 픽셀화된 마스크 패턴의 픽셀과 연관된 스칼라 값이며, 여기서 픽셀은 수정되는 적어도 하나의 피처에 대응한다.
또한, 방법(700)은 마스크 패턴을 수정하는 것이 보조 피처들의 배치 및/또는 윤곽 수정을 포함하는 광학 근접 보정들을 포함하게 하도록 구성될 수 있다. 예컨대, 페널티 값 또는 페널티 함수가 통합되고 마스크 최적화 프로세스 또는 기존 프로세스와 상이한 OPC 패턴을 자동으로 생성할 수 있는 소스 마스크 최적화 프로세스를 통해 마스크가 수정된다. 따라서, 본 방법은 리소그래피 프로세스의 수율뿐만 아니라 마스크 제조 프로세스를 개선한다.
일 실시예에 따르면, 방법(700)은 이미지 기반 마스크 최적화를 사용하며, 여기서 초기 마스크 패턴 및 마스크 패턴은 픽셀화된 이미지이다. 마스크 수정 프로세스 동안, 비용 함수는 앞서 논의된 바와 같이 마스크 패턴 이미지의 픽셀 값들에 기초하여 최적화된다.
도 9a 내지 도 9b는 (예컨대, MRC가 고려되지 않는) 종래의 방법들에 의해 현상된 마스크 패턴에 대해 관찰된 결함들과 본 방법 사이의 차이를 예시하는 결과들이다. 도 9a는 접촉 홀들을 포함하는 예시적인 타겟 패턴(901)을 예시한다. 종래의 방법들에 기초하여 타겟 패턴(901)에 대응하게 현상된 마스크 패턴은 본 개시내용에 따라 현상된 마스크 패턴에 비해 웨이퍼 상에서 더 많은 수의 결함들을 생성한다. 본 예에서, 인쇄된 웨이퍼 패턴(또는 시뮬레이팅된 웨이퍼 패턴)은 4nm 이하의 접촉-홀 간 거리를 포함할 때, 웨이퍼는 결함을 갖는 것으로 여겨진다. 예컨대, 도 9b의 히스토그램을 참조하면, (예컨대, 종래의 방법들에 기초하여 현상된) 제1 마스크 패턴에 기초하여 현상된 웨이퍼 상에서 여러 결함들이 관찰된다. 예컨대, c2c 폭들 예컨대, 4nm 미만에 대해 결함들이 관찰된다. 다른 한편으로, 도 9c를 참조하면, 본 개시내용의 방법들에 기초하여 현상된 마스크 패턴이 사용될 때 (도 9b에 비해) 실질적으로 더 적은 수의 결함들이 관찰된다.
도 10은 본원에서 개시된 방법들, 흐름들 또는 장치의 구현을 보조할 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 메커니즘, 및 정보를 프로세싱하기 위해 버스(102)와 커플링된 프로세서(104)(또는 다수의 프로세서들(104 및 105))을 포함한다. 컴퓨터 시스템(100)은 또한 프로세서(104)에 의해 실행될 명령들 및 정보를 저장하기 위해 버스(102)에 커플링된 랜덤 액세스 메모리(RAM) 또는 다른 동적 저장 디바이스와 같은 메인 메모리(106)를 포함한다. 메인 메모리(106)는 또한 프로세서(104)에 의해 실행될 명령들의 실행 동안 임시 변수들 또는 다른 중간 정보를 저장하기 위해 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령들을 저장하기 위해 버스(102)에 커플링된 판독 전용 메모리(ROM)(108) 또는 다른 정적 저장 디바이스를 더 포함한다. 정보 및 명령들을 저장하기 위해 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되고 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 컴퓨터 사용자에게 정보를 디스플레이하기 위해 음극선관(CRT) 또는 평면 패널 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 버스(102)를 통해 커플링될 수 있다. 영숫자 및 다른 키들을 포함하는 입력 디바이스(114)는 정보 및 커맨드 선택들을 프로세서(104)에 통신하기 위해 버스(102)에 커플링된다. 다른 유형의 사용자 입력 디바이스는 프로세서(104)에 방향 정보 및 커맨드 선택들을 통신하고 디스플레이(112) 상의 커서 움직임 제어하기 위한 커서 제어(116) 이를테면, 마우스, 트랙볼, 또는 커서 방향 키들이다. 이 입력 디바이스는 통상적으로 디바이스가 평면에서 포지션들을 지정할 수 있게 하는 2개의 축들, 즉 제1 축(예컨대, x) 및 제2 축(예컨대, y)에서 2 자유도를 갖는다. 터치 패널(스크린) 디스플레이는 또한 입력 디스플레이로서 사용될 수 있다.
일 실시예에 따르면, 본원에서 설명된 하나 이상의 방법들의 일부들은 프로세서(104)가 메인 메모리(106)에 포함된 하나 이상의 명령들의 하나 이상의 시퀀스를 실행하는 것에 대한 응답으로 컴퓨터 시스템(100)에 의해 수행될 수 있다. 이러한 명령들은, 저장 디바이스(110)와 같은 다른 컴퓨터-판독 가능 매체로부터 메인 메모리(106) 내로 판독될 수 있다. 메인 메모리(106)에 포함된 명령들의 시퀀스들의 실행은 프로세서(104)가 본원에서 설명된 프로세스 단계들을 수행하게 한다. 다중-프로세싱 어레인지먼트의 하나 이상의 프로세서들은 또한 메인 메모리(106)에 포함된 명령들의 시퀀스들을 실행하기 위해 사용될 수 있다. 대안적인 실시예에서, 소프트웨어 명령들을 대신하여 또는 그와 조합하여, 하드-와이어드(hard-wired) 회로가 사용될 수 있다. 따라서, 본원에서의 설명은 하드웨어 회로 및 소프트웨어의 임의의 특정 결합으로 제한되지 않는다.
본원에서 사용되는 바와 같은 "컴퓨터-판독 가능 매체"라는 용어는 실행을 위해 프로세서(104)에 명령들을 제공하는 데 관여하는 임의의 매체를 지칭한다. 그러한 매체는, 비-휘발성 매체들, 휘발성 매체들, 및 송신 매체들을 포함하는 많은 형태들을 취할 수 있다(그러나 이에 제한되지 않음). 비-휘발성 매체들은, 예컨대, 광학 또는 자기 디스크들, 이를테면, 저장 디바이스(110)를 포함한다. 휘발성 매체들은 동적 메모리, 이를테면, 메인 메모리(106)를 포함한다. 송신 매체들은 버스(102)를 포함하는 와이어들을 포함해서, 동축 케이블들, 구리 와이어 및 섬유 광학기를 포함한다. 송신 매체들은 또한 라디오 주파수(RF) 및 적외선(IR) 데이터 통신들 동안 생성되는 것들과 같은 음향 또는 광파들의 형태를 취할 수 있다. 일반적인 형태들의 컴퓨터 판독 가능 매체들은, 예컨대, 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드들, 페이퍼 테이프, 홀(hole)들의 패턴들을 갖는 임의의 다른 물리적인 매체, RAM, PROM, EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지, 아래에서 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태들의 컴퓨터-판독 가능 매체들은, 실행을 위한 하나 이상의 명령들의 하나 이상의 시퀀스들을 프로세서(104)에 전달하는 데 수반될 수 있다. 예컨대, 명령들은 초기에 원격 컴퓨터의 자기 디스크 상에 있을 수 있다. 원격 컴퓨터는 명령들을 그의 동적 메모리에 로딩하고 모뎀을 사용하여 전화선을 통해 명령들을 전송할 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀은 전화선 상에서 데이터를 수신하고 적외선 송신기를 사용하여 데이터를 적외선 신호로 변환할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호에서 전달되는 데이터를 수신하고 데이터를 버스(102)에 배치할 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 전달하고, 그로부터 프로세서(104)는 명령들을 리트리브 및 실행한다. 메인 메모리(106)에 의해 수신된 명령들은 선택적으로, 프로세서(104)에 의한 실행 이전 또는 이후에 저장 디바이스(110) 상에 저장될 수 있다.
컴퓨터 시스템(100)은 또한 버스(102)에 커플링된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결된 네트워크 링크(120)에 대한 양방향 데이터 통신 커플링을 제공한다. 예컨대, 통신 인터페이스(118)는 대응하는 유형의 전화선에 대한 데이터 통신 연결을 제공하기 위한 통합 서비스 디지털 네트워크(ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(118)는 호환 가능한 로컬 영역 네트워크(LAN)에 대한 데이터 통신 연결을 제공하기 위한 LAN 카드일 수 있다. 무선 링크들이 또한 구현될 수 있다. 임의의 그러한 구현에서, 통신 인터페이스(118)는 다양한 유형들의 정보를 표현하는 디지털 데이터 스트림들을 전달하는 전기, 전자기 또는 광학 신호들을 전송 및 수신한다.
네트워크 링크(120)는 통상적으로 하나 이상의 네트워크들을 통해 다른 데이터 디바이스들에 데이터 통신을 제공한다. 예컨대, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124) 또는 인터넷 서비스 제공자(ISP)(126)에 의해 운영되는 데이터 장비에 대한 연결을 제공할 수 있다. ISP(126)는 차례로, 일반적으로 현재 "인터넷"(128)으로 지칭되는 전세계 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스들을 제공한다. 로컬 네트워크(122) 및 인터넷(128) 둘 모두는 디지털 데이터 스트림들을 전달하는 전기, 전자기 또는 광학 신호들을 사용한다. 다양한 네트워크들을 통한 신호들 및 네트워크 링크(120) 상의 신호들 및 컴퓨터 시스템(100)으로의 및 컴퓨터 시스템(100)으로부터의 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 신호들은 정보를 전송하는 반송파들의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함한, 메시지들을 전송하고 데이터를 수신할 수 있다. 인터넷 예에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 애플리케이션 프로그램에 대한 요청된 코드를 송신할 수 있다. 하나의 그러한 다운로드된 애플리케이션은 예컨대, 본원에서 설명된 방법의 전부 또는 일부를 제공할 수 있다. 수신된 코드는, 그것이 수신될 때 프로세서(104)에 의해 실행될 수 있고 그리고/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비-휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파 형태의 애플리케이션 코드를 획득할 수 있다.
도 11은 본원에서 설명된 기술들이 활용될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 장치는:
- 방사선 빔(B)을 컨디셔닝하기 위한 조명 시스템(IL) ― 이 특정 경우에, 조명 시스템은 또한 방사선 소스(SO)를 포함함 ― ;
- 패터닝 디바이스(MA)(예컨대, 레티클)를 홀딩하기 위한 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대해 패터닝 디바이스를 정확하게 포지셔닝하기 위한 제1 포지셔너에 연결된 제1 오브젝트 테이블(예컨대, 패터닝 디바이스 테이블)(MT);
- 기판(W)(예컨대, 레지스트 코팅된 실리콘 웨이퍼)을 홀딩하기 위한 기판 홀더가 제공되고, 아이템(PS)에 대해 기판을 정확하게 포지셔닝하기 위한 제2 포지셔너에 연결된 제2 오브젝트 테이블(기판 테이블)(WT);
- 기판(W)의 타겟 부분(C)(예컨대, 하나 이상의 다이들을 포함함) 상에 패터닝 디바이스(MA)의 조사된 부분을 이미징하기 위한 투영 시스템("렌즈")(PS)(예컨대, 굴절, 반사 또는 반사 굴절 광학 시스템)
을 포함한다.
본원에서 도시된 바와 같이, 장치는 투과 유형이다(즉, 투과 패터닝 디바이스를 가짐). 그러나, 이는 일반적으로 또한, 예컨대, 반사 유형(반사 패터닝 디바이스를 가짐)으로 이루어질 수 있다. 장치는 전통적인 마스크에 대해 상이한 종류의 패터닝 디바이스를 사용할 수 있는데; 예들은 프로그래밍 가능 미러 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)(예컨대, 수은 램프 또는 엑시머 레이저, 레이저 생성 플라스마(LPP) EUV 소스)는 방사선 빔을 생성한다. 이 빔은 조명 시스템(조명기)(IL)에 직접 또는 예컨대, 빔 확장기(Ex)와 같은 컨디셔닝 수단을 횡단한 후에 공급된다. 조명기(IL)는 빔의 강도 분포의 외부 및/또는 내부 반경 범위(일반적으로 각각 σ-외부 및 σ-내부로서 지칭됨)를 세팅하기 위한 조정 수단(AD)을 포함할 수 있다. 또한, 그것은 일반적으로 통합기(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성 요소들을 포함한다. 이러한 방식으로, 패터닝 디바이스(MA) 상에 충돌하는 빔(B)은 그의 단면에서 원하는 균일성 및 강도 분포를 갖는다.
도 11과 관련하여, (예컨대, 소스(SO)가 수은 램프일 때 종종 해당하는 바와 같이) 소스(SO)가 리소그래피 투영 장치의 하우징 내에 있을 수 있지만 소스(SO)는 또한 리소그래피 투영 장치로부터 원격일 수 있고, 그것이 생성하는 방사선 빔은 (예컨대, 적합한 지향 미러들의 도움으로) 장치로 인도되며, 이러한 후자의 시나리오는 소스(SO)가 엑시머 레이저(예컨대, KrF, ArF 또는 F2 레이저에 기초함)일 때 종종 해당한다.
빔(PB)은 후속적으로 패터닝 디바이스 테이블(MT) 상에 홀딩되는 패터닝 디바이스(MA)를 가로막는다. 패터닝 디바이스(MA)를 횡단하면, 빔(B)은, 빔(B)을 기판(W)의 타겟 부분(C) 상에 포커싱하는 렌즈(PL)를 통과한다. 제2 포지셔닝 수단(및 간섭 측정 수단(IF))의 도움으로, 기판 테이블(WT)은 예컨대, 빔(PB)의 경로에 상이한 타겟 부분들(C)을 포지셔닝하도록 정확하게 이동될 수 있다. 유사하게, 제1 포지셔닝 수단은, 예컨대, 패터닝 디바이스 라이브러리로부터 패터닝 디바이스(MA)의 기계적 리트리브 후 또는 스캔 동안 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확하게 포지셔닝하는 데 사용될 수 있다. 일반적으로, 오브젝트 테이블들(MT, WT)의 움직임은 도 11에 명시적으로 도시되지 않은 롱-스트로크(long-stroke) 모듈(대략적 포지셔닝) 및 숏-스트로크 모듈(미세 포지셔닝)의 도움으로 실현될 것이다. 그러나 (스텝-앤-스캔 도구와 대조적으로) 스테퍼의 경우에, 패터닝 디바이스 테이블(MT)은 숏 스트로크 액추에이터에만 연결될 수 있거나, 고정될 수 있다.
표시된 도구는 2개의 상이한 모드들에서 사용할 수 있다:
- 단계 모드에서, 패터닝 디바이스 테이블(MT)은 본질적으로 고정된 채로 유지되고 전체 패터닝 디바이스 이미지가 타겟 부분(C)에 한꺼번에(즉, 단일 "플래시") 투영된다. 기판 테이블(WT)은 그 후 빔(PB)에 의해 상이한 타겟 부분(C)이 조사될 수 있도록 x 및/또는 y 방향으로 시프트되고;
- 스캔 모드에서, 주어진 타겟 부분(C)이 단일 "플래시"에 노출되지 않는다는 점을 제외하면 본질적으로 동일한 시나리오가 적용된다. 대신에, 패터닝 디바이스 테이블(MT)은 속도(v)로 주어진 방향(소위 "스캔 방향", 예컨대, y 방향)으로 이동 가능하여서, 투영 빔(B)이 패터닝 디바이스 이미지를 스캔하게 되며; 동시에, 기판 테이블(WT)은 속도 V = Mv로 동일 또는 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PL)의 배율(통상적으로 M = 1/4 또는 1/5)이다. 이러한 방식으로, 해상도를 손상시켜야 할 필요 없이 비교적 큰 타겟 부분(C)이 노출될 수 있다.
도 12는 본원에서 설명된 기술들과 함께 활용될 수 있는 다른 예시적인 리소그래피 투영 장치(1000)를 개략적으로 도시한다.
리소그래피 투영 장치(1000)는 다음을 포함한다:
- 소스 컬렉터 모듈(SO)
- 방사선 빔(B)(예컨대, EUV 방사선)을 컨디셔닝하도록 구성된 조명 시스템(조명기)(IL).
- 패터닝 디바이스(예컨대, 마스크 또는 레티클)(MA)를 지지하도록 구성되고 패터닝 디바이스를 정확하게 포지셔닝하도록 구성된 제1 포지셔너(PM)에 연결된 지지 구조(예컨대, 패터닝 디바이스 테이블)(MT);
- 기판(예컨대, 레지스트 코팅된 웨이퍼)(W)을 홀딩하도록 구성되고 기판을 정확하게 포지셔닝하도록 구성된 제2 포지셔너(PW)에 연결된 기판 테이블(예컨대, 웨이퍼 테이블)(WT); 및
- 패터닝 디바이스(MA)에 의해, 기판(W)의 타겟 부분(C)(예컨대, 하나 이상의 다이들을 포함함) 상에 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예컨대, 반사 투영 시스템)(PS).
여기에 도시된 바와 같이, 장치(1000)는 반사 유형(예컨대, 반사 패터닝 디바이스를 사용함)이다. 대부분의 재료들은 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예컨대, 몰리브덴 및 실리콘의 다중-스택을 포함하는 다층 반사기들을 가질 수 있다는 것이 주의될 것이다. 일 예에서, 다중-스택 반사기는 몰리브덴 및 실리콘의 40개의 층 쌍들을 가지며, 여기서 각각의 층의 두께는 1/4 파장이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료는 EUV 및 X-선 파장들에서 흡수성이기 때문에, 패터닝 디바이스 토폴로지 상의 패터닝된 흡수 재료의 얇은 단편(예컨대, 다층 반사기 상부의 TaN 흡수기)은 어디에 피처들이 인쇄될지(양의 레지스트) 또는 인쇄되지 않을지(음의 레지스트)를 정의한다.
도 12를 참조하면, 조명기(IL)는 소스 컬렉터 모듈(SO)로부터 극자외선 방사선 빔을 수신한다. EUV 방사선을 생성하기 위한 방법들은, EUV 범위의 하나 이상의 방출 라인들과 더불어 적어도 하나의 원소, 예컨대, 제논, 리튬 또는 주석을 갖는 플라즈마 상태로 재료를 변환하는 것을 포함한다(그러나 이에 제한되지 않음). 하나의 이러한 방법에서, 종종 레이저 생성 플라즈마("LPP")라고 불리는 플라즈마는 연료 이를테면, 라인 방출 원소를 갖는 재료의 액적, 스트림 또는 클러스터를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하기 위해 도 12에 도시되지 않은 레이저를 포함하는 EUV 방사선 시스템의 일부일 수 있다. 결과적인 플라즈마는 출력 방사선 예컨대, EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 사용하여 수집된다. 레이저 및 소스 컬렉터 모듈은 예컨대, CO2 레이저가 연료 여기를 위해 레이저 빔을 제공하는 데 사용될 때 별개의 엔티티들일 수 있다.
그러한 경우들에, 레이저는 리소그래피 장치의 부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예컨대, 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템의 도움으로 레이저로부터 소스 컬렉터 모듈로 전달된다. 다른 경우들에서, 소스는 예컨대, 소스가 종종 DPP 소스라고도 불리는 방전 생성 플라즈마 EUV 생성기일 때, 소스 컬렉터 모듈의 통합 부분일 수 있다.
조명기(IL)는 방사선 빔의 각도 강도 분포를 조정하기 위한 조정기를 포함할 수 있다. 일반적으로, 조명기의 동공 평면에서 강도 분포의 적어도 외부 및/또는 내부 반경 범위(일반적으로, 각각 σ-외부 및 σ-내부로서 지칭됨)가 조정될 수 있다. 또한, 조명기(IL)는 패싯 필드(facetted field) 및 동공 미러 디바이스들과 같은 다양한 다른 구성 요소들을 포함할 수 있다. 조명기는 그의 단면에서 원하는 균일성 및 강도 분포를 갖도록 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조(예컨대, 패터닝 디바이스 테이블)(MT) 상에 홀딩되는 패터닝 디바이스(예컨대, 마스크)(MA) 상에 입사되고 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예컨대, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 빔을 기판(W)의 타겟 부분(C) 상에 포커싱하는 투영 시스템(PS)을 통과한다. 제2 포지셔너(PW) 및 포지션 센서(PS2)(예컨대, 간섭계 디바이스, 선형 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예컨대, 방사선 빔(B)의 경로에 상이한 타겟 부분들(C)을 포지셔닝하도록 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 다른 포지션 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예컨대, 마스크)(MA)를 정확하게 포지셔닝하는 데 사용될 수 있다. 패터닝 디바이스(예컨대, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 사용하여 정렬될 수 있다.
도시된 장치(1000)는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 단계 모드에서, 지지 구조(예컨대, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 본질적으로 고정된 채로 유지되는 반면, 방사선 빔에 부여된 전체 패턴은 한 번에 타겟 부분(C) 상에 투영된다(즉, 단일 정적 노출). 그 후, 기판 테이블(WT)은 상이한 타겟 부분(C)이 노출될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조(예컨대, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 동시에 스캔되는 반면, 방사선 빔에 부여된 패턴은 타겟 부분(C)(즉, 단일 동적 노출) 상에 투영된다. 지지 구조(예컨대, 패터닝 디바이스 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성들에 의해 결정될 수 있다.
3. 다른 모드에서, 프로그래밍 가능 패터닝 디바이스를 홀딩하는 지지 구조(예컨대, 패터닝 디바이스 테이블)(MT)는 본질적으로 고정된 채로 유지되고, 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟 부분(C)으로 투영되는 동안 이동되거나 스캔된다. 이 모드에서, 일반적으로 펄스식 방사선 소스가 사용되고, 프로그래밍 가능 패터닝 디바이스는 기판 테이블(WT)의 각각의 움직임 후 또는 스캔 동안 연속 방사선 펄스들 사이에서 요구에 따라 업데이트된다. 이 동작 모드는 위에서 참조된 바와 같은 유형의 프로그래밍 가능 미러 어레이와 같은 프로그래밍 가능 패터닝 디바이스를 활용하는 마스크없는(maskless) 리소그래피에 쉽게 적용될 수 있다.
도 13은 소스 컬렉터 모듈(SO), 조명 시스템(IL) 및 투영 시스템(PS)을 포함하는 장치(1000)를 보다 상세히 도시한다. 소스 컬렉터 모듈(SO)은 진공 환경이 소스 컬렉터 모듈(SO)의 인클로징 구조(220)에서 유지될 수 있도록 구성 및 배열된다. EUV 방사선 방출 플라즈마(210)는 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 초고온 플라즈마(210)가 생성되어 전자기 스펙트럼의 EUV 범위의 방사선을 방출하는 가스 또는 증기, 예컨대, Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는 예컨대, 적어도 부분적으로 이온화된 플라즈마를 야기하는 방전에 의해 생성된다. 예컨대, 10 Pa의 Xe, Li, Sn 증기 또는 임의의 다른 적합한 가스 또는 증기의 부분 압력들은 방사선의 효율적인 생성을 위해 요구될 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
고온 플라즈마(210)에 의해 방출된 방사선은 소스 챔버(211)의 개구에 또는 그 뒤에 포지셔닝되는 선택적 가스 배리어 또는 오염물 트랩(230)(일부 경우들에서, 오염물 배리어 또는 포일 트랩으로서 또한 지칭됨)을 통해 소스 챔버(211)로부터 컬렉터 챔버(212)로 전달된다. 오염물 트랩(230)은 채널 구조를 포함할 수 있다. 오염 트랩(230)은 또한 가스 배리어 또는 가스 배리어 및 채널 구조의 조합을 포함할 수 있다. 본원에서 추가로 표시된 오염물 트랩 또는 오염물 배리어(230)는 적어도 당 업계에 알려진 바와 같은 채널 구조를 포함한다.
컬렉터 챔버(211)는 소위 그레이징 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 상류 방사선 컬렉터 사이드(251) 및 하류 방사선 컬렉터 사이드(252)를 갖는다. 컬렉터(CO)를 횡단하는 방사선은 격자 스펙트럼 필터(240)로부터 반사되어 점-점선 'O'에 의해 표시된 광학 축을 따라 가상 소스 포인트(IF)에서 포커싱될 수 있다. 가상 소스 포인트(IF)는 일반적으로 중간 포커스로서 지칭되며, 소스 컬렉터 모듈은 중간 포커스(IF)가 인클로징 구조(220)의 개구(221)에 또는 그 근처에 로케이팅되도록 배열된다. 가상 소스 포인트(IF)는 방사선 방출 플라즈마(210)의 이미지이다.
후속적으로, 방사선은 패터닝 디바이스(MA)에서 방사선 빔(21)의 원하는 각도 분포뿐만 아니라 패터닝 디바이스(MA)에서 원하는 균일성의 방사선 강도를 제공하도록 배열된 패싯 동공 미러 디바이스(24) 및 패싯 필드 미러 디바이스(22)를 포함할 수 있는 조명 시스템(IL)을 횡단한다. 지지 구조(MT)에 의해 홀딩되는 패터닝 디바이스(MA)에서 방사선 빔(21)의 반사 시에, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 기판 테이블(WT)에 의해 홀딩되는 기판(W) 상에 반사 요소들(28, 30)을 통해 투영 시스템(PS)에 의해 이미징된다.
일반적으로 도시된 것보다 더 많은 요소들이 조명 광학 장치(IL) 및 투영 시스템(PS)에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 유형에 의존하여 선택적으로 존재할 수 있다. 또한, 도면들에 도시된 것들보다 더 많은 미러들이 존재할 수 있으며, 예컨대, 도 13에 도시된 것보다 프로젝션 시스템(PS)에 1-6개의 부가적인 반사 요소들이 존재할 수 있다.
컬렉터 광학기(CO)는 도 13에 예시된 바와 같이, 단지 컬렉터(또는 컬렉터 미러)의 예로서, 그레이징 입사 반사기들(253, 254 및 255)을 갖는 중첩된 컬렉터로서 도시된다. 광학 축(O)을 중심으로 축 대칭적인 그레이징 입사 반사기들(253, 254 및 255)이 배치되고 이러한 유형의 컬렉터 광학기(CO)는 종종 DPP 소스라고 불리는 방전 생성 플라즈마 소스와 결합하여 사용될 수 있다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 14에 도시된 바와 같이 LPP 방사선 시스템의 일부일 수 있다. 레이저(LA)는 수십 eV의 전자 온도들을 갖는 고도로 이온화된 플라즈마(210)를 생성하도록, 제논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 퇴적시키도록 배열된다. 이들 이온들의 탈여기 및 재결합 동안 생성된 에너지 방사선은 플라즈마로부터 방출되고, 거의 수직 입사 컬렉터 광학기(CO)에 의해 수집되고 인클로징 구조(220)의 개구(221) 상에 포커싱된다.
실시예들은 다음 조항(clauses)을 사용하여 추가로 설명될 수 있다:
1. 패터닝 디바이스 패턴을 결정하기 위한 방법으로서, 이 방법은,
(i) 적어도 하나의 피처를 갖는 초기 패터닝 디바이스 패턴, 및 (ii) 적어도 하나의 피처의 원하는 피처 크기를 획득하는 단계;
패터닝 프로세스 모델, 초기 패터닝 디바이스 패턴 및 기판에 대한 타겟 패턴에 기초하여, 초기 패터닝 디바이스 패턴을 사용한 기판 이미지의 예측된 패턴과 기판에 대한 타겟 패턴 간의 차이 값을 획득하는 단계;
적어도 하나의 피처의 제조성(manufacturability)과 관련된 패널티 값을 결정하는 단계 ― 패널티 값은 적어도 하나의 피처의 크기의 함수로서 변동됨 ― ; 및
차이 값 및 페널티 값의 합이 감소되도록 초기 패터닝 디바이스 패턴 및 원하는 피처 크기에 기초하여 패터닝 디바이스 패턴을 결정하는 단계를 포함한다.
2. 조항 1의 방법에 있어서, 패터닝 디바이스 패턴을 결정하는 단계는 반복적인 프로세스이고, 반복은
초기 패터닝 디바이스 패턴의 적어도 하나의 피처의 크기를 수정하는 단계;
적어도 하나의 피처의 수정된 크기에 대응하는 패널티 값을 결정하는 단계; 및
차이 값 및 페널티 값의 합이 감소되는지를 결정하는 단계를 포함한다.
3. 조항 1 또는 조항 2 중 어느 한 조항의 방법에 있어서, 페널티 값을 결정하는 단계는,
원하는 피처 크기 주변의 크기들을 갖는 피처들을 갖는 초기 패터닝 디바이스 패턴의 패턴을 검출하는 단계;
이진화 함수를 사용하여 검출된 패턴의 이진화된 패턴을 컴퓨팅하는 단계 ― 이진화 함수는 크기가 원하는 피처 크기의 주어진 간격에 속하는 피처들을 분류함 ― ;
검출된 패턴 및 이진화된 패턴의 조합에 기초하여 페널티 값을 결정하는 단계를 포함하고, 조합은 다양한 크기들의 피처들을 포함한다.
4. 조항 3의 방법에 있어서, 검출된 패턴은 원하는 피처 크기의 ±20 % 범위 내의 크기들을 갖는 피처들을 포함한다.
5. 조항 3의 방법에 있어서, 초기 패터닝 디바이스 패턴의 적어도 하나의 피처의 크기를 수정하는 단계는,
차이 값 및 패널티 값의 합이 감소되도록 적어도 하나의 피처의 크기를 증가 또는 감소시키는 단계를 포함한다.
6. 조항 5의 방법에 있어서, 적어도 하나의 피처의 크기를 증가시키는 단계는 차이 값 및 페널티 값의 합이 최소화되게 한다.
7. 조항 5의 방법에 있어서, 적어도 하나의 피처의 크기를 감소시키는 단계는 차이 값 및 페널티 값의 합이 최소화되게 한다.
8. 조항 7의 방법에 있어서, 적어도 하나의 피처의 크기를 감소시키는 단계는 패터닝 디바이스 패턴으로부터 적어도 하나의 피처를 제거한다.
9. 조항 1 내지 조항 8 중 어느 한 조항의 방법에 있어서, 예측된 패턴과 타겟 패턴 사이의 차이 값은 예측된 패턴의 피처의 윤곽과 예측된 패턴의 윤곽에 대응하는 타겟 패턴의 다른 윤곽 사이의 에지 배치 오류(edge placement error)이다.
10. 조항 1 내지 조항 9 중 어느 한 조항의 방법에 있어서, 초기 패터닝 디바이스 패턴 또는 패터닝 디바이스 패턴은 곡선 패턴이다.
11. 조항 1 내지 조항 19 중 어느 한 조항의 방법에 있어서, 패터닝 디바이스 패턴을 수정하는 단계는 보조 피처들의 배치 및/또는 윤곽 수정을 포함하는 광학 근접 보정들을 포함한다.
12. 조항 1 내지 조항 11 중 어느 한 조항의 방법에 있어서, 초기 패터닝 디바이스 패턴 또는 패터닝 디바이스 패턴은 픽셀화된 이미지이다.
13. 조항 12의 방법에 있어서, 패턴을 검출하는 단계는 패터닝 디바이스 패턴 또는 초기 패터닝 디바이스 패턴의 픽셀화된 이미지의 이미지 프로세싱에 기초한다.
14. 조항 13의 방법에 있어서, 패턴을 검출하는 단계는,
특성 변조 거리를 갖는 커널을 초기 패터닝 디바이스 패턴의 픽셀화된 이미지와 컨볼빙(convolving)하는 단계를 포함하고, 특성 변조 거리는 픽셀화된 이미지의 신호 주변의 값의 범위에 대응한다.
15. 조항 14의 방법에 있어서, 신호는 픽셀화된 이미지의 픽셀의 강도와 관련된다.
16. 조항 14의 방법에 있어서, 특성 변조 거리는 원하는 피처 크기로 세팅된다.
17. 조항 14의 방법에 있어서, 커널은 가우시안의 라플라시안 또는 가우시안의 차이 함수이다.
18. 조항 12 내지 조항 17 중 어느 한 조항의 방법에 있어서, 이진화된 패턴을 컴퓨팅하는 단계는 검출된 패턴의 이미지의 이미지 프로세싱에 기초한다.
19. 조항 18의 방법에 있어서, 이진화된 패턴을 컴퓨팅하는 단계는,
이진화 임계 값에 기초하여 검출된 패턴들의 이미지 내의 픽셀들을 식별하는 단계를 포함하고, 여기서 이진화 임계 값은 적어도 하나의 피처의 원하는 크기의 주어진 간격 내에서 피처에 속하는 픽셀 위치를 분류한다.
20. 조항 19의 방법에 있어서, 이진화된 함수는 시그모이드이다.
21. 조항 20의 방법에 있어서, 이진화 임계 값은 0.5보다 크다.
22. 조항 11 내지 조항 21 중 어느 한 조항의 방법에 있어서, 페널티 값은 픽셀화된 패터닝 디바이스 패턴의 픽셀과 연관된 스칼라 값이며, 여기서 픽셀은 수정되는 적어도 하나의 피처에 대응한다.
23. 프로세서로 하여금 조항 1 내지 조항 22 중 임의의 하나의 방법의 수행을 야기하게 하기 위한 기계-판독 가능 명령들을 포함하는 비-일시적 컴퓨터 프로그램 제품.
본원에서 개시된 개념들은 서브 파장 피처들을 이미징하기 위한 임의의 일반적인 이미징 시스템을 시뮬레이팅하거나 수학적으로 모델링할 수 있고, 점점 더 짧은 파장들을 생성할 수 있는 새로운 이미징 기술들에 특히 유용할 수 있다. 이미 사용중인 새로운 기술들은 ArF 레이저를 사용하는 경우 193nm 파장을 그리고 불소 레이저를 사용하는 경우 심지어 157nm 파장을 생성할 수 있는 EUV(extreme ultra violet), DUV 리소그래피를 포함한다. 또한, EUV 리소그래피는 싱크로트론(synchrotron)을 사용하거나 이 범위 내에서 광자들을 생성하기 위해 고에너지 전자들과 재료(고체 또는 플라즈마)를 부딪침으로써 20-5nm 범위의 파장들을 생성할 수 있다.
본원에서 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상의 이미징을 위해 사용될 수 있지만, 개시된 개념들은 임의의 유형의 리소그래피 이미징 시스템들 예컨대, 실리콘 웨이퍼들 이외의 기판들 상의 이미징을 위해 사용되는 시스템들과 함께 사용될 수 있다는 것이 이해되어야 한다.
위의 설명은 제한이 아니라 예시적인 것으로 의도된다. 따라서, 아래에 기술된 청구항들의 범위를 벗어나지 않고 설명된 바와 같이 수정들이 이루어질 수 있다는 것이 당업자에게 명백할 것이다.

Claims (15)

  1. 패터닝 디바이스 패턴을 결정하기 위한 방법으로서,
    (i) 적어도 하나의 피처(feature)를 갖는 초기 패터닝 디바이스 패턴, 및 (ii) 상기 적어도 하나의 피처의 원하는 피처 크기를 획득하는 단계;
    패터닝 프로세스 모델, 상기 초기 패터닝 디바이스 패턴 및 기판에 대한 타겟 패턴에 기초하여, 상기 초기 패터닝 디바이스 패턴을 사용한 상기 기판 이미지의 예측된 패턴과 상기 기판에 대한 타겟 패턴 간의 차이 값을 획득하는 단계;
    상기 적어도 하나의 피처의 제조성(manufacturability)과 관련된 패널티 값(penalty value)을 결정하는 단계 ― 상기 패널티 값은 상기 적어도 하나의 피처의 크기의 함수로서 변동됨 ― ; 및
    상기 차이 값 및 상기 페널티 값의 합이 감소되도록 상기 초기 패터닝 디바이스 패턴 및 상기 원하는 피처 크기에 기초하여 상기 패터닝 디바이스 패턴을 결정하는 단계를 포함하는,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  2. 제1 항에 있어서,
    상기 패터닝 디바이스 패턴을 결정하는 단계는 반복적인 프로세스이고, 반복은,
    상기 초기 패터닝 디바이스 패턴의 적어도 하나의 피처의 크기를 수정하는 단계;
    상기 적어도 하나의 피처의 수정된 크기에 대응하는 패널티 값을 결정하는 단계; 및
    상기 차이 값 및 상기 페널티 값의 합이 감소되는지를 결정하는 단계를 포함하는,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  3. 제1 항에 있어서,
    상기 페널티 값을 결정하는 단계는,
    상기 원하는 피처 크기 주변의 크기들을 갖는 피처들을 갖는 초기 패터닝 디바이스 패턴의 패턴을 검출하는 단계;
    이진화 함수를 사용하여 상기 검출된 패턴의 이진화된 패턴을 컴퓨팅하는 단계 ― 상기 이진화 함수는 크기가 상기 원하는 피처 크기의 주어진 간격에 속하는 피처들을 분류함 ― ;
    상기 검출된 패턴 및 상기 이진화된 패턴의 조합에 기초하여 상기 페널티 값을 결정하는 단계를 포함하고,
    상기 조합은 다양한 크기들의 피처들을 포함하는,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  4. 제3 항에 있어서,
    상기 검출된 패턴은 상기 원하는 피처 크기의 ±20 % 범위 내의 크기들을 갖는 피처들을 포함하는,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  5. 제3 항에 있어서,
    상기 초기 패터닝 디바이스 패턴의 적어도 하나의 피처의 크기를 수정하는 단계는,
    상기 차이 값 및 상기 패널티 값의 합이 감소되도록 상기 적어도 하나의 피처의 크기를 증가 또는 감소시키는 단계를 포함하고, 그리고/또는
    상기 적어도 하나의 피처의 크기를 증가시키는 단계는 상기 차이 값 및 상기 페널티 값의 합이 최소화되게 하고, 그리고/또는
    상기 적어도 하나의 피처의 크기를 감소시키는 단계는 상기 차이 값 및 상기 페널티 값의 합이 최소화되게 하고, 그리고/또는
    상기 적어도 하나의 피처의 크기를 감소시키는 단계는 상기 패터닝 디바이스 패턴으로부터 상기 적어도 하나의 피처를 제거하는,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  6. 제1 항에 있어서,
    상기 예측된 패턴과 상기 타겟 패턴 사이의 차이 값은 상기 예측된 패턴의 피처의 윤곽과 상기 예측된 패턴의 윤곽에 대응하는 상기 타겟 패턴의 다른 윤곽 사이의 에지 배치 오류(edge placement error)인,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  7. 제1 항에 있어서,
    상기 초기 패터닝 디바이스 패턴 또는 상기 패터닝 디바이스 패턴은 곡선 패턴인,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  8. 제1 항에 있어서,
    상기 패터닝 디바이스 패턴을 수정하는 단계는 보조 피처들의 배치 및/또는 윤곽 수정을 포함하는 광학 근접 보정(optical proximity correction)들을 포함하는,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  9. 제1 항에 있어서,
    상기 초기 패터닝 디바이스 패턴 또는 상기 패터닝 디바이스 패턴은 픽셀화된 이미지인,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  10. 제9 항에 있어서,
    상기 패턴을 검출하는 단계는 상기 초기 패터닝 디바이스 패턴 또는 상기 패터닝 디바이스 패턴의 픽셀화된 이미지의 이미지 프로세싱에 기초하고, 그리고/또는
    상기 패턴을 검출하는 단계는,
    상기 특성 변조 거리를 갖는 커널을 상기 초기 패터닝 디바이스 패턴의 픽셀화된 이미지와 컨볼빙(convolving)하는 단계를 포함하고, 상기 특성 변조 거리는 상기 픽셀화된 이미지의 신호 주변의 값의 범위에 대응하는,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  11. 제10 항에 있어서,
    상기 신호는 상기 픽셀화된 이미지의 픽셀의 강도와 관련되는,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  12. 제10 항에 있어서,
    상기 특성 변조 거리는 상기 원하는 피처 크기로 세팅되는,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  13. 제10 항에 있어서,
    상기 커널은 가우시안의 라플라시안(Laplacian-of-Gaussian) 또는 가우시안의 차이(difference-of-Gaussian) 함수인,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  14. 제9 항에 있어서,
    상기 이진화된 패턴을 컴퓨팅하는 단계는 상기 검출된 패턴의 이미지의 이미지 프로세싱에 기초하는,
    패터닝 디바이스 패턴을 결정하기 위한 방법.
  15. 프로세서로 하여금 제1 항의 방법의 수행을 야기하게 하기 위한 기계-판독 가능 명령들을 포함하는 비-일시적 컴퓨터 프로그램 제품.
KR1020217016523A 2018-11-30 2019-10-29 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법 KR102610060B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237041449A KR20230167453A (ko) 2018-11-30 2019-10-29 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862773475P 2018-11-30 2018-11-30
US62/773,475 2018-11-30
PCT/EP2019/079562 WO2020108902A1 (en) 2018-11-30 2019-10-29 Method for determining patterning device pattern based on manufacturability

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237041449A Division KR20230167453A (ko) 2018-11-30 2019-10-29 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법

Publications (2)

Publication Number Publication Date
KR20210084599A true KR20210084599A (ko) 2021-07-07
KR102610060B1 KR102610060B1 (ko) 2023-12-06

Family

ID=68461767

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237041449A KR20230167453A (ko) 2018-11-30 2019-10-29 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
KR1020217016523A KR102610060B1 (ko) 2018-11-30 2019-10-29 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237041449A KR20230167453A (ko) 2018-11-30 2019-10-29 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법

Country Status (5)

Country Link
US (2) US11580289B2 (ko)
KR (2) KR20230167453A (ko)
CN (1) CN113168085A (ko)
TW (1) TWI778305B (ko)
WO (1) WO2020108902A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113168085A (zh) * 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
KR20210129371A (ko) * 2020-04-20 2021-10-28 삼성전자주식회사 광 근접 효과 보정 방법 및 이를 포함하는 마스크 제작 방법
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
US20240004305A1 (en) * 2020-12-18 2024-01-04 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
TWI762216B (zh) * 2021-02-25 2022-04-21 力晶積成電子製造股份有限公司 測試半導體圖案的方法
US11461529B1 (en) * 2021-04-20 2022-10-04 Microsoft Technology Licensing, Llc Routing with soft-penalizing pixels on a found path

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100027129A (ko) * 2007-06-04 2010-03-10 브라이언 테크놀로지스, 인코포레이티드 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
KR20110097800A (ko) * 2008-11-21 2011-08-31 에이에스엠엘 네델란즈 비.브이. 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화
JP2012150214A (ja) * 2011-01-18 2012-08-09 Renesas Electronics Corp マスクの製造方法ならびに光近接効果補正の補正方法および半導体装置の製造方法
JP2013003162A (ja) * 2011-06-10 2013-01-07 Renesas Electronics Corp マスクデータ検証装置、設計レイアウト検証装置、それらの方法およびそれらのコンピュータ・プログラム
KR20150095079A (ko) * 2014-02-12 2015-08-20 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
KR20180072760A (ko) * 2015-10-19 2018-06-29 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US7001693B2 (en) * 2003-02-28 2006-02-21 International Business Machines Corporation Binary OPC for assist feature layout optimization
US7480889B2 (en) 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
WO2005111874A2 (en) * 2004-05-07 2005-11-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
WO2007019269A2 (en) 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
TWI317848B (en) * 2006-02-10 2009-12-01 Asia Optical Co Inc Image detecting module
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US8191018B1 (en) * 2007-07-17 2012-05-29 Kovio, Inc. Methods and software for printing materials onto a substrate
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US8069423B2 (en) * 2008-08-11 2011-11-29 Cadence Design Systems, Inc. System and method for model based multi-patterning optimization
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
JP5677076B2 (ja) * 2010-01-07 2015-02-25 キヤノン株式会社 フォトマスクデータの生成方法、その作製方法、そのためのプログラム、固体撮像装置の製造方法、および、マイクロレンズアレイの製造方法
NL2007642A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
US8539390B2 (en) * 2011-01-31 2013-09-17 International Business Machines Corporation Determining manufacturability of lithographic mask based on manufacturing shape penalty of aspect ratio of edge that takes into account pair of connected edges of the edge
US9256709B2 (en) * 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
KR102048918B1 (ko) 2014-12-18 2020-01-08 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의한 피처 검색
US10394984B2 (en) * 2015-11-25 2019-08-27 International Business Machines Corporation Tool to provide integrated circuit masks with accurate dimensional compensation of patterns
KR102570888B1 (ko) * 2017-11-23 2023-08-28 삼성전자주식회사 마스크 레이아웃의 보정 방법 및 이를 이용한 반도체 소자의 제조방법
US10657213B2 (en) * 2017-12-22 2020-05-19 D2S, Inc. Modeling of a design in reticle enhancement technology
US10990003B2 (en) * 2018-02-18 2021-04-27 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
KR102644214B1 (ko) * 2018-02-23 2024-03-07 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
US11232249B2 (en) * 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
US11544440B2 (en) * 2018-06-15 2023-01-03 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration
US11468553B2 (en) * 2018-11-02 2022-10-11 Kla Corporation System and method for determining type and size of defects on blank reticles
KR102502431B1 (ko) * 2018-11-02 2023-02-23 어플라이드 머티리얼즈 이스라엘 리미티드 3d-nand cdsem 계측을 위한 방법, 시스템, 및 컴퓨터 프로그램 제품
CN113168085A (zh) * 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100027129A (ko) * 2007-06-04 2010-03-10 브라이언 테크놀로지스, 인코포레이티드 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
KR20110097800A (ko) * 2008-11-21 2011-08-31 에이에스엠엘 네델란즈 비.브이. 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화
JP2012150214A (ja) * 2011-01-18 2012-08-09 Renesas Electronics Corp マスクの製造方法ならびに光近接効果補正の補正方法および半導体装置の製造方法
JP2013003162A (ja) * 2011-06-10 2013-01-07 Renesas Electronics Corp マスクデータ検証装置、設計レイアウト検証装置、それらの方法およびそれらのコンピュータ・プログラム
KR20150095079A (ko) * 2014-02-12 2015-08-20 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
KR20180072760A (ko) * 2015-10-19 2018-06-29 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치

Also Published As

Publication number Publication date
WO2020108902A1 (en) 2020-06-04
KR20230167453A (ko) 2023-12-08
TWI778305B (zh) 2022-09-21
TW202024773A (zh) 2020-07-01
KR102610060B1 (ko) 2023-12-06
US11972194B2 (en) 2024-04-30
CN113168085A (zh) 2021-07-23
US20230141799A1 (en) 2023-05-11
US11580289B2 (en) 2023-02-14
US20220050381A1 (en) 2022-02-17

Similar Documents

Publication Publication Date Title
US11544440B2 (en) Machine learning based inverse optical proximity correction and process model calibration
TWI738169B (zh) 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
KR102610060B1 (ko) 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
CN111788589A (zh) 训练用于计算光刻术的机器学习模型的方法
TWI714966B (zh) 判定用於圖案化器件之光罩圖案之方法及電腦程式產品
TWI600977B (zh) 用於三維特徵之微影模型
TW202127138A (zh) 用於圖案化裝置之判定曲線圖案之方法
US11086230B2 (en) Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
US20240095437A1 (en) Method for generating patterning device pattern at patch boundary
TW201809905A (zh) 模型化曝光後製程
TW202028849A (zh) 產生特徵圖案與訓練機器學習模型之方法
CN109313391B (zh) 基于位移的重叠或对准
KR20210122845A (ko) 회절 패턴 유도 소스 마스크 최적화를 위한 장치 및 방법
TW201738664A (zh) 在設計佈局與圖案化器件之間的圖案映射
CN114746806A (zh) 使用非均匀照射强度分布进行优化
EP3531206A1 (en) Systems and methods for improving resist model predictions
TWI732209B (zh) 用於判定與運算微影光罩模型相關聯之電磁場的方法
TWI786640B (zh) 用於驗證光罩設計之特徵之電腦可讀媒體

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right