KR20110097800A - 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화 - Google Patents

자유 소스 및 자유 마스크를 이용하는 프로세스 최적화 Download PDF

Info

Publication number
KR20110097800A
KR20110097800A KR1020117012618A KR20117012618A KR20110097800A KR 20110097800 A KR20110097800 A KR 20110097800A KR 1020117012618 A KR1020117012618 A KR 1020117012618A KR 20117012618 A KR20117012618 A KR 20117012618A KR 20110097800 A KR20110097800 A KR 20110097800A
Authority
KR
South Korea
Prior art keywords
mask
source
optimization
cost function
illumination source
Prior art date
Application number
KR1020117012618A
Other languages
English (en)
Other versions
KR101766734B1 (ko
Inventor
루오치 첸
유 차오
준 예
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20110097800A publication Critical patent/KR20110097800A/ko
Application granted granted Critical
Publication of KR101766734B1 publication Critical patent/KR101766734B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70083Non-homogeneous intensity distribution in the mask plane
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

본 발명은 리소그래피 장치들 및 프로세스들에 관한 것으로, 보다 구체적으로는 리소그래피 장치들 및 프로세스들에서 사용하기 위한 조명 소스들 및 마스크들을 최적화시키기 위한 툴들에 관한 것이다. 특정 실시형태들에 따르면, 본 발명은 비용 함수 그래디언트의 직접적인 계산을 허용함으로써 최적화의 컨버전스 속도를 상당히 증가시킨다. 다른 실시형태들에 따르면, 본 발명은 소스 및 마스크 둘 모두의 동시적 최적화를 가능하게 하여, 전체 수렴 속도를 크게 증가시킨다. 또 다른 실시형태에 따르면, 본 발명은 종래의 최적화 기술들이 필요로 하는 제약들 없이 자유-형태의 최적화를 가능하게 한다.

Description

고속의 자유형태 소스 및 마스크 공동-최적화 방법{FAST FREEFORM SOURCE AND MASK CO-OPTIMIZATION METHOD}
본 출원은 2008년 11월 21일에 출원된 미국특허출원 61/116,788에 대한 우선권을 주장하며, 그 내용들은 본 명세서에서 인용 참조된다.
본 발명은 리소그래피 장치 및 프로세스들에 관한 것이며, 보다 구체적으로는 리소그래피 장치 및 프로세스들에 이용하기 위한 조명 소스들 및 마스크들을 최적화시키는 툴들에 관한 것이다.
예를 들어, 리소그래피 투영장치는 집적회로(IC)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 해당하는 회로패턴을 생성할 수 있으며, 이 패턴은 한 층의 방사선감응재(레지스트)로 코팅된 기판(실리콘 웨이퍼) 상의 타겟부(예를 들면, 1이상의 다이를 포함함) 상으로 이미징(image)될 수 있다. 일반적으로, 단일 웨이퍼는 인접한 타겟부들로 이루어진 전체적인 네트워크를 포함하며, 이들 타겟부는 투영시스템을 통하여 한번에 하나씩 연속적으로 조사된다. 한 가지 형태의 리소그래피 투영장치에서는 타겟부 상에 전체 마스크패턴을 한번에 노광함으로써 각 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라고 한다. 통상, 스텝-앤드-스캔 장치(step-and-scan apparatus)라고 칭해지는 대안적인 장치에서는 투영빔 하에서 소정의 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하는 한편, 이 방향과 평행한 방향 또는 반-평행한 방향으로 기판을 동기화시켜 스캐닝함으로써 각각의 타겟부가 조사된다. 일반적으로, 투영시스템은 배율인자 M(일반적으로<1)을 가지므로 기판테이블이 스캐닝되는 속도 V는 마스크테이블이 스캐닝되는 속도의 인자 M배가 된다. 본 명세서에서 기술되는 리소그래피 장치와 관련된 보다 상세한 정보는, 예를 들어 본 명세서에서 인용 참조되는 US 6,046,792호로부터 얻을 수 있다.
리소그래피 투영장치를 사용하는 제조공정에서, 마스크 패턴은 적어도 부분적으로 방사선감응재(레지스트)의 층으로 덮인 기판 상에 이미징된다. 이 이미징 단계에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크와 같은 여러가지 과정을 거칠 수 있다. 노광 후에는, 노광후 베이크(PEB), 현상, 하드 베이크 및 이미징된 피쳐의 측정/검사와 같은 또 다른 과정을 거칠 수 있다. 이러한 일련의 과정은 디바이스, 예를 들어 IC 디바이스의 개별층을 패터닝하는 기초로서 사용된다. 그런 다음 이렇게 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은, 모두가 개별 층을 마무리하도록 되어 있는 여러 프로세스를 거친다. 수 개의 층이 요구된다면, 각각의 새로운 층마다 전체 프로세스 또는 그것의 변형된 프로세스가 반복되어야만 할 것이다. 결과적으로, 기판(웨이퍼) 상에는 디바이스의 어레이가 존재하게 될 것이다. 이들 디바이스들은 다이싱 또는 소잉 등의 기술에 의하여 서로 분리되며, 개별 디바이스들은 캐리어에 장착되고 핀 등에 연결될 수 있다.
설명을 간단히 하기 위하여, 투영 시스템은 이후에 "렌즈"라고 언급될 수도 있으나, 이 용어는 예를 들어, 굴절광학기, 반사광학기 및 카타디옵트릭 (catadioptric) 시스템을 포함하는 다양한 형태의 투영시스템을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한 상기 방사선시스템은 방사선 투영빔을 지향, 성형 또는 제어하기 위하여 이들 설계방식들 중 임의의 방식에 따라 동작하는 구성요소들을 포함할 수 있고, 이후의 설명에서는 이러한 구성요소들을 집합적으로 또는 개별적으로 "렌즈"라고 언급할 것이다. 나아가, 상기 리소그래피장치는 2 이상의 기판테이블(및/또는 2 이상의 마스크테이블)을 구비하는 형태가 될 수도 있다. 이러한 "다수 스테이지" 디바이스에서는 추가 테이블이 병용되거나, 1 이상의 테이블이 노광에서 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다. 예를 들어, 본 명세서에서 인용 참조되는 US 5,969,441에는 트윈 스테이지 리소그래피 장치가 개시되어 있다.
상술된 포토리소그래피 마스크들은 실리콘 웨이퍼 상에 집적될 회로 구성요소들에 대응되는 기하학적 패턴들을 포함한다. 이러한 마스크들을 생성하는 데 이용되는 패턴들은 CAD(computer-aided design) 프로그램들을 활용하여 생성되며, 이 프로세스는 흔히 EDA(electronic design automation)라 언급된다. 대부분의 CAD 프로그램들은 기능성 마스크(functional mask)들을 생성하기 위하여 소정 세트의 사전결정된 설계 규칙들을 따른다. 이들 규칙들은 프로세스 및 설계 제한들에 의하여 설정된다. 예를 들어, 설계 규칙들은 회로 디바이스들(예컨대 게이트들, 커패시터들 등) 또는 연결선들(interconnect lines) 사이의 공간 공차(space tolerance)를 정의하여, 회로 디바이스들 또는 연결선들이 바람직하지 않은 방식으로 서로 상호작용하지 않도록 한다. 설계 규칙 제한들은 통상적으로 "임계 치수(CD)"라 칭한다. 회로의 임계 치수는 선 또는 홀의 최소 폭 또는 2 개의 선들 또는 2 개의 홀들 간의 최소 공간으로서 정의될 수 있다. 따라서, CD는 설계된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제조에 있어서의 목표들 중 하나는 (마스크를 통한) 웨이퍼 상에서의 원래의 회로 디자인을 충실하게 재현(reproduce)하는 것이다.
유의해야 할 것으로서, 마이크로리소그래피는 반도체 집적 회로의 제조에 있어 중심 단계이며, 여기서 반도체 웨이퍼 기판들 상에 형성되는 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 반도체 디바이스들의 기능적 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이, MEMS(micro-electro mechanical system: 미세전자기계시스템) 및 다른 디바이스들의 형성에 이용된다.
반도체 제조 프로세스들은 계속해서 진보하기 때문에, 회로 요소들의 치수들은 계속해서 축소되는 한편, 기능적 요소들, 예컨대 트랜지스터의 양은 수십 년에 걸쳐 꾸준히 증가되어서 '무어의 법칙'이라고 통칭되는 경향을 따라왔다. 현재 기술 수준에서, 최첨단 디바이스들의 임계 층들은 100 nm보다 훨씬 작은 치수, 즉 투영 광 파장의 절반 보다 작은 치수를 갖는 개별 회로 피처들을 생성하는 심자외선 레이저 광 소스로부터의 조명을 이용하여 기판 상으로 마스크 이미지를 투영하는 스캐너로 알려진 광학 리소그래피 투영 시스템을 이용하여 제조된다.
광학 투영 시스템의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 이 프로세스는 분해능 공식 CD = k 1 × λ/NA에 따라 통상적으로 낮은(low)-k 1 리소그래피로 알려져 있으며, 여기서, λ는 채용되는 방사선의 파장(현재 대부분의 경우에 248 nm 또는 193 nm)이고, NA는 투영 광학기의 개구수이고, CD는 '임계 치수' - 일반적으로 프린트되는 최소 피처 크기 - 이며, k 1은 실험적 분해능 인자이다. 일반적으로, k 1이 작아질수록, 특정 전기적 기능성 및 성능을 달성하기 위해 회로 설계자에 의해 계획된 형상 및 치수와 유사한 웨이퍼 상의 패턴을 재현하기가 어렵다. 이러한 어려움들을 극복하기 위하여, 투영 시스템에 대해서뿐만 아니라 마스크 설계에 대해서도 정교한 미세-조율 단계들이 적용된다. 이들은, 예를 들어 NA 및 광학 코히어런스 세팅들(optical coherence settings)의 최적화, 맞춤형 조명 체계들, 위상 시프팅 마스크들의 이용, 마스크 레이아웃에서의 광 근접 보정(optical proximity correction), 또는 일반적으로 "분해능 개선 기술'(RET)로서 알려진 다른 방법들(그러나 이들로만 제한되는 것은 아님)을 포함한다.
한 가지 중요한 예로서, 광 근접 보정(OPC, 흔히 '광학 및 프로세스 보정'이라 언급되기도 함)은 웨이퍼 상에 프린트된 피처의 최종 크기 및 배치가 단순히 마스크 상의 대응되는 피처의 크기 및 배치의 함수가 아니라는 사실에 대처한다(address). '마스크' 및 '레티클'이라는 용어들은 본 명세서에서 상호대체가능하게 활용된다는 데 유의하여야 한다. 통상적인 회로 설계들에서 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해서, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재 또는 부재에 의하여 특정 양만큼 영향을 받는다. 이들 근접 효과들은 하나의 피처로부터 다른 피처까지 커플링되는 극미량의 광으로부터 기인한다. 이와 유사하게, 근접 효과들은, 노광-후 베이크(PEB), 레지스트 현상(resist development) 및 에칭(일반적으로, 리소그래피 노광이 이어짐) 동안의 확산 및 다른 화학적 효과들로부터 기인할 수 있다.
주어진 타겟 회로 설계의 요건들에 따라 반도체 기판 상에 피처들이 생성될 수 있도록 하기 위하여, 정교한 수치 모델들을 활용하여 근접 효과들이 예측될 필요가 있으며, 고급 디바이스의 성공적인 제조가 가능해지기 전까지는 보정들 또는 사전-왜곡들(pre-distortions)이 마스크의 설계에 적용될 필요가 있다. 다음의 자료 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005)는 현재의 '모델-기반(model-based)' 광 근접 보정 프로세스들의 개요를 제공하고 있다. 통상적인 고급 설계에서, 거의 모든 피처 에지는 타겟 설계에 충분히 가까워지는 프린트된 패턴을 얻기 위하여 약간의 수정을 필요로 한다. 이들 수정들은 에지 위치들 또는 선 폭들의 시프팅(shifting) 또는 편향(biasing)뿐만 아니라 그들 스스로 프린트되지 않도록 의도된 '어시스트' 피처들의 응용(application)을 포함하지만, 연관된 주 피처(primary feature)의 특성들에 영향을 미칠 수 있다.
칩 설계시에 통상적으로 수 백만개의 피처들이 존재한다면, 타겟 설계에 대한 모델-기반 OPC의 응용은 양호한 프로세스 모델들과 상당한 연산 재료들(resources)을 필요로 한다. 하지만, OPC 적용은 일반적으로 '정확한 과학'이 아니라 실험적이고 반복적인 프로세스이며, 이는 레이아웃과 관련된 모든 가능한 약점들을 항상 해결하지는 못한다. 그러므로, OPC-후(post-OPC) 설계들, 즉 OPC 및 여타 RET에 의해 모든 패턴 수정들이 적용된 후의 마스크 레이아웃들은 마스크 세트의 제조시에 조성되는 설계 결함들의 가능성을 최소화하기 위하여 설계 검사, 즉 캘리브레이트된 수치 프로세스 모델들을 이용하는 인텐시브 풀-칩 시뮬레이션(intensive full-chip simulation)에 의해 입증될 필요가 있다. 이는 고급 마스크 세트들의 제조에 엄청난 비용 - 수백만 달러 범위에서 운용됨 - 을 투입해야 할 뿐만 아니라, 일단 제조되고 난 뒤 실제 마스크들의 재가공 또는 수리에 의해 소요-시간(turn around time)에 영향을 주면서 구동된다.
OPC 및 풀-칩 RET 둘 모두의 입증은, 예를 들어 미국특허출원 10/815,573 및 다음과 같은 제목의 논문, "Opimized Hardware and Software For Fast, Full Chip Simulation", by Y. Cao et al., Proc. SPIE, Vol. 5754, 405 (2005)에 기술된 바와 같은 수치 모델링 시스템들 및 방법들을 기초로 할 수 있다.
이미징 결과들을 최적화시키기 위한 노력으로 앞서의 마스크 조정들(예를 들어, OPC)을 수행하는 것과 더불어, 이미징 프로세스에서 활용되는 조명 체계는 또한, 마스크 최적화와 연계해 또는 개별적으로 최적화되어 전체 리소그래피 정확도(fidelity)를 개선시킬 수 있다. 1990년 대 이래로, 많은 오프-액시스(off-axis) 광 소스들, 예컨대 환형, 4중극, 및 2중극이 도입되었고, OPC 설계를 위한 보다 큰 자유도가 제공되어 이미징 결과들을 개선시켜 왔다. 알려진 바와 같이, 오프-액시스 조명은 마스크 내에 포함되는 미세 구조체(즉, 타겟 피처들)를 분해하기 위한 증명된 방법이다. 하지만, 전형적인 일루미네이터와 비교할 경우, 오프-액시스 일루미네이터는 에어리얼 이미지(AI)를 위한 광 세기를 작게 제공하는 것이 보통이다. 따라서, 보다 미세한 분해능과 저감된 광 세기 간의 최적의 균형을 달성하도록 일루미네이터를 최적화시키기 위한 노력이 필요해졌다.
수많은 종래 기술의 조명 최적화 접근법들이 알려져 있다. 예를 들어, Rosenbluth 외의 사람들에 의한, "Optimum Mask and Source Patterns to Print A Given Shape"라는 제목의 논문[Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, (2002)]에서, 상기 소소는 수 개의 영역들로 구획되며, 상기 수 개의 구역들은 퓨필 스펙트럼의 특정 영역에 대응된다. 그 다음, 소스 분포는 각각의 소스 영역 내에서 균일한 것으로 가정되며 각 영역의 휘도(brightness)는 프로세스 윈도우에 대해 최적화된다. 하지만, 소스 분포가 각각의 소스 영역에서 균일하다는 이러한 가정은 항상 타당하지는 않으며, 결과적으로 이 접근법의 유효성이 훼손될 수 있다. Granik에 의한, "Source Optimization for Image Fidelity and Throughput"라는 제목의 논문[Journal of Microlithography, Microfabrication, Microsystems 3(4), pp.509-522, (2004)]에 나열된 또 다른 예시에서는, 수 개의 기존 소스 최적화 접근법들에 대한 개요가 나타나 있으며, 소스 최적화 문제를 일련의 비음수 최소 자승 최적화(non-negative least square optimization)로 전환시키는, 일루미네이터 픽셀들을 기초로 하는 방법이 제안되어 있다. 이 방법들은 몇 가지 성공을 거둔 것으로 판명되었으나, 통상적으로 수렴을 위해 수차례의 복잡한 반복을 필요로 한다. 또한, 웨이퍼 이미지 정확도를 최적화시키는 것과 소스의 평탄(smoothness) 요건 간의 절충(trade-off)을 나타내는, 몇몇 가외의 파라미터들, 예컨대 그래닉 방법(Granik's method)에서의 γ에 대한 적절한/최적의 값들을 결정하는 것이 어려울 수도 있다.
낮은 k1 포토리소그래피에 대해, 소스 및 마스크 둘 모두의 최적화는 프린팅 임계 패턴들에 대해 실행가능한(viable) 프로세스 윈도우를 보장하는 데 결정적이다. 기존 알고리즘들(예를 들어, Socha 외., Proc. SPIE vol. 5853, 2005, p.180)은 소스와 마스크 둘 모두의 동시적 최적화를 수행할 수 없다. 오히려, 그들은 조명을 독립적인 소스 포인트들로 나누고(discretize), 마스크를 공간 주파수 도메인의 회절 차수들로 나누는 것이 일반적이며, 소스 포인트 세기들 및 마스크 회절 차수들로부터 광학 이미징 모델들에 의하여 예측될 수 있는 노광 관용도(exposure latitude)와 같은 프로세스 윈도우 메트릭스를 토대로 비용 함수를 개별적으로 공식화한다. 그 다음, 표준 최적화 기술들이 이용되어 목적 함수를 최소화시킨다.
비용 함수를 공식화하는 이들 기존 알고리즘들에 의한 한 가지 문제점은, 그들이 최적의 소스 및 마스크 둘 모두에 대해 수렴되기 전에 많은 수의 풀 포워드 광학 이미징 모델 시뮬레이션(full forward optical imaging model simulation)들을 필요로 한다는 점이다. 그러므로, 미디움 컴플렉서티(medium complexity)의 클립(clip)은 최신의 표준 PC 하드웨어에서의 최적화를 위해 수 주 또는 심지어 수 개월이 걸린다. 하지만, 제품은 필요한 시간이 대략 24 시간보다 짧지 않은 한 예측가능한 것으로 간주되지 않는 것이 일반적이다.
이와 관련하여, EUV 리소그래피의 지연 및 지속적으로 감소하는 설계 규칙들의 압박은 반도체 칩메이커들로 하여금 기존의 193 nm ArF 리소그래피를 이용하여 낮은 k1 리소그래피 시대로 보다 깊이 파고들게 하였다. 보다 낮은 k1을 지향하는 리소그래피는 분해능 개선 기술(RET), 노광 툴, 및 리소-친화적 설계를 위한 필요성에 대한 요구가 절실해진다. 1.35 ArF 하이퍼 개구수(NA) 노광 툴은 칩 제조업자들이 다음 2 년 내에 이용할 노광 툴이 될 것이다. 작업가능한 프로세스 윈도우로 디자인이 프린트될 수 있도록 하기 위해, 소스-마스크 최적화(SMO)가 2x nm 모드에 필요한 중요한 RET가 되어가고 있다.
이와 같이, 제약 없이 그리고 예측가능한 양의 시간 내에서 비용 함수를 이용하여 소스 및 마스크의 동시적 최적화가 가능하도록 하기 위해, 소스 조명 및 마스크 최적화 방법 및 시스템에 대한 필요성이 존재한다.
본 발명은 리소그래피 장치 및 프로세스들에 관한 것이며, 보다 구체적으로는 리소그래피 장치 및 프로세스에 이용하기 위한 조명 소스들 및 마스크들을 최적화하기 위한 툴들에 관한 것이다. 특정 실시형태에 따르면, 본 발명은 폭 넓은 종류의 비용 함수들의 그래디언트(gradient)의 직접적인 계산을 허여함으로써 최적화의 수렴이 상당히 빨라지도록 한다. 다른 실시형태에 따르면, 본 발명은 소스와 마스크 둘 모두의 동시적 최적화를 가능하게 하여, 전체 수렴 속도를 크게 증가시키고 최종 결과를 개선시킨다. 또 다른 실시형태에 따르면, 본 발명은 종래의 최적화 기술들이 필요로 하는 제약들 없이 자유-형태(free-form)의 최적화를 가능하게 하며, 제조가능성의 제약들이 없는 완전한 프로세스 윈도우 혜택(full process window entitlement)을 발견한다. 또 다른 실시형태에 따르면, 본 발명은 자유-형태의 최적화 결과들에 따라 서브-분해능 어시스트 피처(SRAF) 시드들(seeds)을 배치시키기 위한 방법을 채용하며, 이들 SRAF 시드들을 동시에 성장시키는 한편 소스와 마스크 측들로부터의 제조가능성의 제약들을 고려하는 후속하는 제약 최적화에서 메인 피처(main feature) 광 근접 보정(OPC)을 수행한다. 추가 실시형태에 따르면, 본 발명은 프로세스 윈도우를 가로지르는 최악의 에지 배치 오차들(edge placement errors)을 최소화시키기 위한 비용 함수와, 이러한 비용 함수에 대한 계산 친화적 근사화(computationally friendly approximation)를 활용한다.
이들 및 다른 실시형태의 추진에 있어, 본 발명의 실시예들에 따른 리소그래피 프로세스 최적화 방법은 조명 소스 및 마스크의 디스크립션들을 수용하는 단계를 포함하며, 상기 마스크는 리소그래피 패턴을 포함하고, 상기 소스 및 마스크가 상기 리소그래피 프로세스의 프로세스 윈도우에 대해 동시에 최적화될 때까지, 상기 조명 소스 및 마스크 둘 모두의 함수로서 비용 함수를 형성하는 단계, 상기 비용 함수의 그래디언트를 계산하는 단계, 및 상기 계산된 그래디언트에 따라 상기 소스 및 마스크 디스크립션들을 재구성하는 단계를 선택적으로 반복한다.
이들 및 다른 실시형태의 추가적인 추진에 있어, 본 발명의 실시예들에 따른 조명 소스 및 마스크를 갖는 리소그래피 프로세스 최적화 방법은 상기 조명 소스 및 마스크 둘 모두의 디스크립션들의 함수로서 비용 함수를 형성하는 단계 - 상기 비용 함수는 주어진 프로세스 윈도우에 걸쳐 최악의 케이스의 에지 배치 오차에 대해 공식화됨 - , 및 상기 비용 함수의 그래디언트를 계산하는 단계를 포함한다.
이들 및 다른 실시형태드의 다른 추가적인 추진에 있어, 본 발명의 실시예들에 따른 조명 소스 및 마스크를 갖는 리소그래피 프로세스를 최적화시키는 방법은 자유-형태의 최적화 프로세스 - 상기 자유-형태의 최적화 프로세스의 결과를 토대로 상기 마스크의 디스크립션에서 SRAF 시드들을 배치시킴 - , 및 제약 최적화 프로세스 - 상기 SRAF 시드들을 성장시키는 한편, 상기 조명 소스 및 상기 마스크 둘 모두에 대한 제조가능성의 제약들을 고려하는 것을 포함함 - 를 포함한다.
본 명세서에서는 IC의 제조에 있어서의 본 발명의 사용례에 대하여 구체적으로 언급하였으나, 본 발명은 다른 여러 가능한 응용례를 가지고 있음이 명백히 이해되어야 할 것이다. 예를 들어, 본 발명은 집적 광학시스템, 자기영역메모리용 유도 및 검출패턴, 액정표시패널, 박막자기헤드 등의 제조에도 이용될 수 있다. 당업자라면, 이러한 대안적인 응용례와 관련하여, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는, "다이"와 같은 용어가 각각 "마스크", "기판" 및 "타겟부" 등과 같은 좀 더 일반적인 용어로 대체되고 있음을 이해할 수 있다.
본 명세서에서, "방사선" 및 "빔"이란 용어는 자외 방사선(예를 들어, 365, 248, 193, 157 또는 126㎚의 파장을 가짐) 및 EUV(예를 들어, 5 내지 20㎚ 범위의 파장을 갖는 극자외 방사선)를 포함한 모든 형태의 전자기방사선을 포괄하여 사용된다.
마스크라는 용어는 기판의 타겟부에 형성되어야 할 패턴에 대응하는 패터닝된 단면을 입사하는 방사빔에 부여하는 데 사용될 수 있는 일반적인 패터닝 수단을 지칭하는 것으로서 폭넓게 해석되어야 하며; 본 명세서에서는 "광 밸브(light valve)"라는 용어로도 사용된다. 전형적 마스크(투과형 또는 반사형, 바이너리형, 위상-시프팅형, 하이브리드형 등)를 제외하고, 이러한 패터닝수단의 예로는 다음과 같은 것들이 포함된다:
ㆍ 프로그램가능한 거울 어레이. 이러한 디바이스의 예로는, 점탄성 제어층 (viscoelastic control layer)과 반사면을 구비한 매트릭스-어드레서블 표면이 있다. 이러한 장치의 기본원리는, (예를 들어) 반사면의 어드레스된 영역(addressed area)에서는 입사광이 회절광으로 반사되는 반면, 어드레스되지 않은 영역에서는 입사광이 비회절광으로 반사되는 것이다. 적절한 필터를 사용하면, 반사된 빔으로부터 상기 비회절광을 필터링하여 회절광만 남게 할 수 있다; 이러한 방식으로, 상기 빔은 상기 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 패터닝된다. 이때 요구되는 매트릭스 어드레싱은 적합한 전자수단을 사용하여 수행될 수 있다. 이러한 거울배열에 관한 보다 상세한 정보는, 예를 들어 본 명세서에서 인용 참조되는 미국특허 US 5,296,891 및 미국특허 US 5,523,193호로부터 얻을 수 있다.
ㆍ 프로그램가능한 LCD 배열. 이러한 구조의 일례는 본 명세서에서 인용 참조되는 미국특허 US 5,229,872호에 개시되어 있다.
추가적인 목적 및 장점들과 함께 본 발명 자체는 후속하는 상세한 설명과 첨부 도면들을 참조하면 보다 잘 이해될 것이다.
이하, 대응되는 참조 부호들이 대응되는 부분들을 나타내는 개략적인 첨부도면들을 참조하여 본 발명의 실시예들의 예시의 방법으로 설명될 것이다.
도 1은 통상적인 리소그래피 투영 시스템을 예시한 예시적 블록 다이어그램,
도 2는 리소그래피 시뮬레이션 모델의 기능적 모듈들을 예시한 예시적 블록 다이어그램,
도 3은 본 발명의 특정 실시형태에서 채용되는 일반적 최적화 프로세스의 개략도;
도 4는 본 발명의 추가 실시예들에 따른 소스 및 연속적 투과 마스크 공동-최적화(co-optimization) 플로우(CTM flow)를 예시한 차트,
도 5는 DRAM을 위한 디자인의 예시적 응용예에 대한 결과적인 소스 및 마스크를 예시한 도,
도 6은 본 발명의 예시적 응용예에 따라 전환된 "새로운" 일루미네이터 및 DOE 소스를 예시한 도,
도 7a 및 도 7b는 본 발명의 응용예들에 따른 DOE 소스 및 "새로운" 일루미네이터를 유도하는 예시적 마스크들을 나타낸 도,
도 8은 본 발명의 시뮬레이트된 방법의 구현을 도울 수 있는 컴퓨터 시스템을 예시한 블록도,
도 9는 본 발명의 방법과 함께 이용하기에 적합한 리소그래피 투영 장치의 개략도이다.
본 발명을 논의하기에 앞서, 전체 시뮬레이션 및 이미징 프로세스에 관한 짧은 설명이 제공된다. 도 1은 예시적 리소그래피 투영 시스템(10)을 예시하고 있다. 주 구성요소들로는, 심-자외선 엑시머 레이저 소스일 수 있는 광 소스(12), 부분 코히어런스(partial coherence)(시그마로 나타냄)를 정의하고 특정 소스 성형 광학기들(14, 16a 및 16b)을 포함할 수 있는 조명 광학기, 마스크 또는 레티클(18), 및 웨이퍼 평면(22) 상에 레티클 패턴의 이미지를 생성하는 투영 광학기(16c)가 있다. 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(20)는 웨이퍼 평면(22) 상에 입사되는 빔 각도들의 범위에 제약을 가하며, 여기서 최대 가능한 각도는 투영 광학기의 개구수 NA = sin(Θmax)를 정의한다.
리소그래피 시뮬레이션 시스템에서, 이들 주 시스템 구성요소들은, 예를 들어 도 2에 예시된 바와 같이 별개의 기능적 모듈들에 의하여 설명될 수 있다. 도 2를 참조하면, 기능적 모듈들은 디자인 레이아웃 모듈(26) - 이는 타겟 디자인을 정의함 - ; 마스크 레이아웃 모듈(28) - 이는 이미징 프로세스에서 활용될 마스크를 정의함 - ; 마스크 모델 모듈(30) - 이는 시뮬레이션 프로세스 동안 활용될 마스크 레이아웃의 모델을 정의함 - ; 광학 모델 모듈(32) - 이는 리소그래피 시스템의 광학 구성요소들의 성능을 정의함 - ; 및 레지스트 모델 모듈(34) - 이는 주어진 프로세스에서 활용되는 레지스트의 성능을 정의함 - 을 포함한다. 알려진 바와 같이, 시뮬레이션 프로세스의 결과는, 예를 들어 결과 모듈(36)에서 예측된 윤곽(contour)들 및 CD들을 생성한다.
보다 구체적으로, 조명 및 투영 광학기의 특성들은 NA-시그마(σ) 세팅들 및 여하한의 특정 조명 소스 형상(예를 들어, 오프-액시스 광 소스들 및 환형, 4중극, 및 2중극 등)을 포함하지만 이것으로 제한되지 않는 광학 모델(32)로 캡쳐된다. 기판 상에 코팅되는 포토-레지스트 층의 광학적 특성들 - 즉 굴절률, 막 두께(film thickness), 전파 및 편광 효과들 - 또한 광학 모델(32)의 일부로서 캡쳐될 수 있다. 마스크 모델(30)은 레티클의 디자인 피처들을 캡쳐하며, 예를 들어 미국특허 7,587,704에 기술된 바와 같이 마스크의 상세한 물리적 특성들의 표현(representation)을 포함할 수도 있다. 마지막으로, 레지스트 모델(34)은, 예를 들어 기판 웨이퍼 상에 형성되는 레지스트 피처들의 윤곽들을 예측하기 위하여 레지스트 노광, PEB 및 현상 동안 발생하는 화학적 프로세스들의 효과들을 기술한다. 시뮬레이션의 목적은, 예를 들어 에지 배치들 및 CD들을 정확하게 예측하기 위한 것이다 - 그 다음 타겟 디자인에 대해 비교될 수 있음 - . 타겟 디자인은, 일반적으로 사전-OPC 마스크 레이아웃으로서 정의되며, GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷으로 제공된다.
통상적인 고급 디자인에서, 거의 모든 피처 에지는 타겟 디자인에 충분히 가까워진 프린트된 패턴들을 얻기 위하여 약간의 수정을 필요로 한다. 이들 수정들은, 에지 위치들 또는 선 폭들의 시프팅 또는 편향과 더불어, 스스로를 프린트하도록 구성되지는 않은 '어시스트' 피처들의 응용예를 포함하지만, 연관된 주 피처의 특성들에 영향을 준다. 나아가, 조명의 소스에 적용되는 최적화 기술들은 상이한 에지들 및 피처들에 관한 상이한 효과들을 가질 수도 있다. 조명 소스들의 최적화는 소스 조명을 선택된 패턴의 광으로 제한하기 위하여 퓨필들의 이용을 포함할 수 있다. 본 발명은 소스와 마스크 구조 둘 모두에 적용될 수 있는 최적화 방법들을 제공한다.
도 3의 고-레벨(high-level) 블록 다이어그램을 참조하면, 본 발명의 특정 실시예들은 마스크 및 소스의 가속화되고 동시적인 최적화를 위한 방법들을 제공한다. 초기 소스(320) 및 마스크(322) 구조들[예를 들어, 각각 상술된 광학 모델(32) 및 마스크 모델(30)에 대응됨]이 최적화 모듈(324)로 공급된다. 최적화 모듈(324)은 비용 함수 및 각각의 반복에 대한 그래디언트를 계산하는 반복 최적화기(iterative optimizer)를 포함한다. 340에서, 마스크 및 소스를 위한 비용 함수는 각각의 반복에 대해 평가된다. 그 다음, 수렴이 얻어졌는지는 결정하기 위하여 342에서 비용 함수의 그래디언트가 검사될 수 있다. 그래디언트가 0이 아니라면, 수렴이 달성되지 않은 것으로 간주될 수 있으며, 340에서 새로운 마스크 및 소스에 대한 비용 함수 및 그래디언트를 계산하고 342에서 컨버전스에 대해 테스트하는 단계들을 반복하기 전에 344에서 소스 및 마스크에 대한 변화들이 계산될 수 있다.
344에서 소스 및 마스크에 대한 변화들은 다양한 방식으로 계산되거나 및/또는 수행될 수 있으며, 반드시 모든 실시예들에서 도 3에 도시된 정확한 시퀀스가 이어져야 할 필요는 없다. 예를 들어, 완전 제약 최적화 단계에 이어서 비제약(또는 크게 덜 제약적인) 최적화를 수행함으로써 최적의 결과들이 얻어질 수 있다. 비제약(또는 덜 제약적인) 최적화 단계에서 상대적으로 보다 큰 자유는 전반적인 의미상(global sense) 최적 해법에 도달하기 쉽다는 것을 의미한다. 그 다음, 이 해법으로부터 유도된 초기 조건으로부터 완전 제약 최적화가 시작된다.
비제약(또는 덜 제약적인) 최적화는 자유-형태 소스 및 자유-형태 마스크의 공간 내에서 수행될 수 있다. 자유-형태 소스는 소스 퓨필 평면에서 샘플링 격자 상의 소스 세기 맵(source intensity map)으로서 표현되며, 맵의 픽셀 값들은 자유롭게 변하도록 허용된다. 이와 유사하게, 자유-형태의 마스크는 각각의 픽셀 값이 자유롭게 변하는 샘플링 격자 상의 마스크 투과 맵(mask transmission map)이다. 자유-형태 최적화는 비용 함수의 그래디언트의 보다 빠른 계산을 가능하게 하며, 특정 알고리즘들이 선택되어 최적 해법의 달성을 가속화시킬 수 있다.
완전 제약 최적화의 초기 조건은 시딩(seeding) 프로세스를 통한 자유-형태 결과로부터 구성될 수 있다. 자유-형태 마스크 결과는 서브-분해능 어시스트 피처(SRAF)를 삽입하기 위한 잠재적 위치들에 관한 가이드로서의 역할을 한다. 그 다음, 작은 SRAF 시드들이 이들 위치에 배치되고 최적화 동안 성장하거나, 수축하거나 또는 이동하도록 허용된다. 마스크 디자인의 메인 피처들은 또한 최적의 해법을 달성하기 위하여 SRAF 시드들과 함께 공동-최적화된다. 이와 유사하게, 자유-형태 소스 결과는 또한 최종 조명 해법들, 예를 들어 회절 광학 요소(DOE)를 토대로 하는 해법에 대한 후보들을 선택하는 데 이용될 수도 있다. 이들 소스 해법 후보들은 또한 메인 및 SRAF 마스크 피처들과 동시에 최적화될 수도 있다.
도 3의 단계 340을 다시 참조하면, 본 발명의 특정 실시형태는 비용 함수의 그래디언트의 직접적인 계산을 허용함으로써 최적화의 수렴 속도를 크게 높이는 것을 포함하여 이루어진다. 방법들은 프로세스 윈도우 전반에 걸쳐 프린트된 웨이퍼 윤곽을 최적화하도록 선택되는 선형화된 함수들의 이용을 포함한다. 비용 함수는, 포토리소그래피 시스템의 광학기들이 프로세스 제약들의 대부분을 결정하려는 경향 때문에 통상적으로 순수 광학 모델을 기반으로 한다. 일 예시에서, 비용 함수는 프로세스 윈도우 전반에 걸친 디자인 레이아웃의 최악의 에지 배치 오차를 저감시키도록 선택될 수 있다. 수학적으로, 비용 함수 F는 다음과 같이 나타낼 수 있다.
Figure pct00001
여기서, pw는 프로세스 윈도우 조건들의 리스트이며, 변수 e는 타겟 디자인 레이아웃을 따라 배치되는 평가 지점들의 세트에 걸쳐 있다(runs over).
이 비용 함수는 다음의 근사화들을 채용함으로써 보다 계산상 효율적인 형태로 변환될 수 있다.
먼저, EPE는 선형화된 근사화에 의하여 근사화된다.
Figure pct00002
여기서, Ipw(e)는 프로세스 윈도우 조건 pw에서의 에어리얼 이미지 세기를 나타내며, Ith는 에어리얼 이미지 윤곽에 대한 임계치를 나타낸다. 분모,
Figure pct00003
는 에어리얼 이미지의 기울기를 나타낸다.
다음으로, 최대 연산자는 Lp 표준(norm)에 의하여 근사화된다.
Figure pct00004
여기서 p는 양의 정수이다. p의 값이 커질수도록 더 좋은 근사화가 된다.
모두를 모으면, 아래의 새로운 비용 함수를 가질 수 있다.
Figure pct00005
알 수 있듯이, 가중 인자 w(pw, e)도 도입되어 최적화의 목표를 제어하기 위한 가외의 융통성을 제공하는 것이 바람직하며, 이는 평가 지점 배치[예를 들어, 선, 선 끝, 조그(jog)] 또는 관련 피처 크기(예를 들어, 선폭, 공간), 또는 프로세스 윈도우 위치 같은 고려사항들로부터 결정될 수 있다.
당업자라면 마스크 투과 M(x) 및 소스 세기 S(s)가 수용된 소스 및 마스크 디스크립션들[예를 들어, 마스크 모델(30) 및 광학 모델(32) 각각에 대응되는 픽셀-기반 맵들)로부터 어떻게 유도될 수 있는지에 대한 많은 방식들을 알고 있을 것이며, 그것의 세부사항들은 본 발명의 설명을 간명히 하기 위해 생략될 것이다. 본 발명인들은, 에어리얼 이미지(I)가 마스크 투과 M(x) 및 소스 세기들 S(s)의 함수로서 간주될 수 있으며, 따라서 비용함수 F도 마찬가지일 수 있다는 것을 이해하고 있다. 비용 함수는 테일러 급수를 이용하여 확장될 수 있으며, 특정 실시예들에서 그래디언트의 바닥(floor)은 1차 항을 이용하여 알아낼 수 있다. 보다 구체적으로, F는 다음과 같이 표현될 수 있다:
Figure pct00006
이 비용 함수는 F의 그래디언트 또는 도함수가 M 및 S에 대하여 계산되는 경우 알려진 다양한 알고리즘들 중 여하한의 알고리즘을 이용하여 최소화될 수 있다:
Figure pct00007
M 및 S에 대한 에어리얼 이미지 세기(I)의 도함수들, 및 연쇄법칙(chain rule)에 의하여 F의 도함수들이 효율적으로 계산되고, 모든 도함수들을 계산하는 데 드는 시간은 단일 에어리얼 이미지 계산으로서 동일한 차수의 크기로 되어 있다. 에어리얼 이미지는 각각의 소스 포인트로부터의 분포의 합산이며, 소스 맵에 대한 그것의 변화는 단일 분포이다.
Figure pct00008
에어리얼 이미지는 또한 코히어런스 시스템(coherence system)들의 합으로서 홉킨스 공식으로 표현될 수도 있다:
Figure pct00009
여기서, "c.c"는 복소 켤레를 나타낸다.
에어리얼 이미지 변화들을 결정하고나면, 에어리얼 이미지의 함수로서 비용 함수 자체의 변화가 다음과 같이 계산될 수 있다:
Figure pct00010
위에서 확인될 수 있는 본 발명의 실시형태에 따르면, 마스크 이미지에 대한 변화는 컨벌루션들의 급수로서 계산되어, 계산 시간을 크게 단축시키는 수단을 제공할 수 있다. 에어리얼 이미지 자체에 대한 비용 함수의 변화가 계산될 수 있으며, 비용 함수의 형태는 다음과 같이 표현될 수 있다:
Figure pct00011
이 경우에, 변화는 다음과 같다:
Figure pct00012
따라서, 소스 및 마스크 둘 모두에 대한 비용 함수의 변화가 동시에 얻어질 수 있다. 자유-형태 소스 및 마스크 최적화에 있어, 이들 변화들은 비용 함수의 그래디언트가 된다. 이후에, 여하한의 적합한 그래디언트-기반 최적화 기술이 적용되어 비용 함수의 최소치를 구할 수 있다.
상술된 설명은 비용 함수가 EPE를 기반으로 하고 있는 실시예를 제공한다. 다른 비용 함수들의 예로는, (1) EPE 최소 자승 함수, (2) EPE 최소 p-표준 함수 - 여기서 p는 짝수이고 2보다 큼 - , (3) 역 NILS p-표준 함수, (4) 디자인 타겟으로서 M을 갖는 이미지 그래디언트의 경로 적분(contour integral), (5) 에지 이미지 값 최소 자승, (6), 에지 이미지 p-표준(p는 짝수이고 >2), (7) 최대화될 F를 갖는 ILS p-표준을 포함한다. 7 개의 대응되는 비용 함수 방정식들은 아래와 같이 나열될 수 있다.
Figure pct00013
Figure pct00014
Figure pct00015
Figure pct00016
Figure pct00017
Figure pct00018
Figure pct00019
당업자라면, 상술된 설명을 읽은 후에 이러한 비용 함수 및 다른 비용 함수들에 대해 최적화된 그래디언트를 어떻게 결정할 지를 완전히 이해할 것이다. 예를 들어, 몇몇 표준 최적화 기술들은 최대경사도법(steepest descent), 켤레 경사도법(conjugation gradient) 또는 준-뉴튼(quasi-Newton) 방법들과 같은 그래디언트 정보를 활용한다.
상술된 그래디언트 계산 공식은 다양한 계산 플랫폼들로 구현될 수 있다. 추가적으로 또는 대안적으로, 최적화 속도를 더욱 개선시키기 위해 특수하게 구성된 하드웨어 가속 플랫폼들이 이용될 수 있다. 예를 들어, 플랫폼들은 비용 함수들을 처리하고 그래디언트들을 계산하기 위해 채용될 수 있는 특수화된 디지털 신호 프로세서("DPS")를 포함할 수 있다. 하지만, 계산들은 병렬 프로세서들, 수학적 코프로세서들(coprocessors) 및 DSP 기반 코프로세서들을 포함할 수 있는 다른 계산 플랫폼들에서 수행될 수도 있음을 이해하여야 한다.
상술된 최적화 알고리즘들로 보강되고 진보된 낮은 k1 이미징 요건들을 충족시키기도록 SMO 해법들과 특정 타입의 스캐너들 간의 시너지를 제공하기 위하여, 본 발명인들은 표준 또는 사전-선택된 조명 디자인들보다, 완전 융통성 일루미네이터들 또는 상이한 타입의 응용 특정/커스텀(custom) DOE를 활용할 수 있는 SMO 플로우를 개발하였다.
이와 관련하여, 도 4는 본 발명의 추가 실시예들에 따른 소스 및 연속적 투과 마스크 공동-최적화 플로우(CTM 플로우)를 예시하고 있다. 도 4에 도시된 바와 같이, CTM 플로우의 제 1 단계는, Model, DOE 타입, 편광, 마스크 제조 규칙 체크(MRC) 및 프로세스 정보 등을 포함하는 최적화를 위한 입력 파라미터들 모두를 설정하는 것이다(502). 예를 들어, 설정시에 사용자는 적용될 소스 제약들의 타입, 즉 커스텀 DOE 또는 완전히-융통성 있는 일루미네이터(fully flexible illuminator)를 구체화한다. 이는 비제약 자유형태 소스가 어떻게 전환되거나 공동 최적화될지를 추후 결정할 것이다. 이들 설정 파라미터들은 전체 플로우 전반에 걸쳐 이용된다. 그 다음, 도 4에 도시된 바와 같이 사용자-지정 PW 코너 조건들에서 모델들이 생성된다(504). 사용자들은, 예를 들어 이 단계에서 DOF 대 EL 절충을 지정할 수 있다.
모든 설정 파라미터들을 가지고, 단계 506은 상술된 비용 함수 및 그래디언트 계산들, 자유형태 소스 및 마스크 최적화들, 및 어시스트 피처 최적화들을 포함하는, 예를 들어 최적화 모듈(324)의 최적화 프로세스를 이용하여 비제약 자유형태 소스 및 연속 투과 마스크와 함께 공동 최적화를 시작한다. 이 스테이지의 유일한 제약은 물리적 제한들을 갖는 마스크 및 소스 투과의 상한 및 하한이다. 제약들 없이, 이 스테이지의 최적화는 최대 가능 해법 공간(largest possible solution space)에서 해법들을 검색하고, 최적의 가능 프로세스 윈도우(PW) 및 MEF를 제공한다. DRAM을 위한 설계의 예시적 응용을 위한 결과적인 소스(602) 및 마스크(604)가 도 5에 각각 도시되어 있다. 하지만, 자유형태 소스나 연속 투과 마스크 중 어느 것도 제조가능하지 않다. 그러므로, 실제적 목적으로, 자유형태 소스 및 연속 투과 마스크가 공동-최적화된 후에는 소스 측 상에서, 도 6에 도시된 "새로운"(예를 들어, 충분히 융통성 있는) 일루미네이터(702) 또는 도 6에 도시된 DOE(704)와 같은 제조가능한 소스(508)로 전환될 필요가 있다. 마스크 측에서, 마스크는 고정된 투과 값(510)으로 제약될 필요가 있다. 그 다음, 선택된 소스-마스크 조합은 스캐너 일루미네이터 및 마스크 제조 규칙 체크(MRC) 제약들을 이용하여 공동-최적화된다. "새로운" 일루미네이터는 (514로부터 유도되는) 자유형태 소스와 거의 닮아있으며, (512로부터 유도되는) 파라미터 DOE 소스와 비교하여 (516에서 분석되는 바와 같이) PW 상에 최소의 영향을 줄 것으로 예측된다.
DRAM 디자인을 위한 예시적 응용을 위하여, 도 6은 전환된 "새로운" 일루미네이터(702) 및 DOE 소스(704)를 각각 나타내고 있다. 최적화된 연속 투과 마스크 그레이 톤 이미지(continuous transmission mask gray tone image)로부터, AF 시드들이 추출되고 다음 단계 동안 최적화된다. 최종 단계에서, 마스크 상의 메인 및 어시스트 피처와 함께 제약된 소스는 초기 공동-최적화 결과(512 및 514)에서와 같은 비용 함수를 이용하여 최적화된다. 소스 및 마스크의 제조가능성의 제약 모두는 원래의 소스 토폴로지(source topology)를 크게 변화시키며, 최적의 결과를 보장하지 않는 마스크-만의 최적화를 수행하기 때문에 이 단계에서는 공동-최적화가 중요하다. 도 7a 및 도 7b는 DOE 소스(802) 및 "새로운" 일루미네이터(806)에서 결과된 마스크들(804 및 808)을 각각 도시하고 있다.
도 8은 최적화 방법들의 구현을 돕는 컴퓨터 시스템(100) 및 본 명세서에 개시된 플로우들을 예시한 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 기구와, 정보를 처리하기 위하여 버스(102)와 커플링되는 프로세서(104)를 포함한다. 또한, 컴퓨터 시스템(100)은 정보 및 프로세서(104)에 의하여 실행될 명령들을 저장하기 위하여 버스(102)에 커플링되는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은 메인 메모리(106)를 포함한다. 또한, 메인 메모리(106)는 프로세서(104)에 의하여 실행될 명령들의 실행 동안 임시 변수들이나 다른 중간 정보를 저장하는 데 이용될 수 있다. 컴퓨터 시스템(100)은 정적 정보 및 프로세서(104)를 위한 명령들을 저장하기 위하여 버스(102)에 커플링되는 ROM(read only memory) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 정보 및 명령들을 저장하기 위해 버스(102)에 제공되고 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 표시하기 위한 CRT(cathode ray tube) 또는 플랫 패널 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 커플링될 수 있다. 문자 숫자식(alphanumeric) 및 다른 키들을 포함하는 입력 디바이스(114)가 프로세서(104)에 정보 및 명령 선택들을 통신하기 위하여 버스(102)에 커플링된다. 다른 타입의 사용자 입력 디바이스로는 프로세서(104)에 방향 정보 및 명령 선택들을 통신하고 디스플레이(112) 상의 커서의 움직임을 제어하기 위한 마우스, 트랙볼, 또는 커서 방향 키들과 같은 커서 제어부(116)가 있다. 이 입력 디바이스는 통상적으로 2 개의 축, 즉 제 1 축(예를 들어, x 축) 및 제 2 축(예를 들어, y 축)으로 2 개의 자유도를 가지며, 이는 상기 디바이스가 평면 내의 위치들을 지정할 수 있게 한다. 터치 패널(스크린) 디스플레이 또한 입력 디바이스로서 이용될 수 있다.
본 발명의 일 실시예에 따르면, 최적화 프로세스의 부분들은 메인 메모리(106) 내에 포함되는 1 이상의 명령들의 1 이상의 시퀀스를 수행하는 프로세서(104)에 반응하는 컴퓨터 시스템(100)에 의하여 수행될 수 있다. 이러한 명령들은 저장 디바이스(110)와 같은 다른 컴퓨터-판독가능 매체로부터 메인 메모리(106) 내로 판독될 수 있다. 메인 메모리(106) 내에 포함되는 명령들의 시퀀스들의 실행은 프로세서(104)로 하여금 본 명세서에 기술된 프로세스 단계들을 수행하게 한다. 메인 메모리(106) 내에 포함되는 명령어들의 시퀀스들을 실행하기 위해 멀티-프로세싱 배열체(multi-processing arrangement) 내의 1 이상의 프로세서들이 채용될 수도 있다. 대안적인 실시예에서는, 본 발명을 구현하기 위해 하드-와이어드 회로(hard-wired circuitry)가 소프트웨어 명령들과 조합되거나 그를 대신하여 이용될 수 있다. 따라서, 본 발명의 실시예들은 하드웨어 회로 및 소프트웨어의 어떤 특정 조합으로만 제한되지 않는다.
본 명세서에서 이용되는 "컴퓨터-판독가능 매체"는 실행을 위한 프로세서(104)로의 명령 제공에 관여하는 여하한의 매체를 지칭한다. 이러한 매체는, 비-휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하나 이들로만 제한되지 않는 여러 형태를 취할 수 있다. 비-휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 디스크 또는 자기 디스크를 포함한다. 휘발성 매체는 동적 메모리, 예컨대 메인 메모리(106)를 포함한다. 전송 매체는, 버스(102)를 포함하는 와이어들을 포함하는, 광섬유, 동축 케이블 및 구리 와이어를 포함한다. 또한, 전송 매체는 무선주파수(RF) 및 적외선(IR) 데이터 통신 동안 발생되는 것들과 같은 음파 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 통상적인 형태에는, 예를 들어 플로피 디스크, 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드, 페이퍼 테이프, 홀들의 패턴들을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩이나 카트리지, 후술되는 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체가 포함된다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 프로세서(104)에 1 이상의 명령들의 1 이상의 시퀀스를 전달하는 데 관련되어 있다. 예를 들어, 명령들은 초기에는 원격 컴퓨터의 자기 디스크 상에 생성될 수 있다. 원격 컴퓨터는 그것의 동적 메모리 내로 명령들을 로딩하고 모뎀을 이용하여 전화선에 걸쳐 상기 명령들을 전송할 수 있다. 컴퓨터 시스템(100)에 있는(local to computer system) 모뎀은 전화선 상의 데이터를 수신하고 적외선 송신기를 이용하여 데이터를 적외선 신호로 전환시킬 수 있다. 버스(102)에 커플링되는 적외선 검출기는 적외선 신호 내에 실린 데이터를 수신하고 상기 데이터를 버스(102)에 올려놓을 수 있다(place the data on the bus). 버스(102)는 데이터를 메인 메모리(106)를 전달하고, 상기 메인 메모리로부터 프로세서가(104)가 명령들을 검색하고 실행한다. 메인 메모리(106)에 의하여 수신된 명령들은 프로세서(104)에 의한 실행 전 또는 후에 저장 디바이스(110)에 선택적으로 저장될 수도 있다.
또한, 컴퓨터 시스템(100)은 버스(102)에 커플링되는 통신 인터페이스(118)를 포함하는 것이 바람직하다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 양방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응되는 전화선 형태에 대해 데이터 통신 연결을 제공하기 위한 모뎀 또는 ISDN(integrated services digital network) 카드일 수 있다. 다른 예시로서, 통신 인터페이스(118)는 호환(compatible) LAN에 데이터 통신 연결을 제공하기 위한 LAN(local area network) 카드일 수 있다. 무선 링크들이 구현될 수도 있다. 이러한 구현예에 있어, 통신 인터페이스(118)는 다양한 타입의 정보들을 나타내는 디지털 데이터 스트림들(digital data streams)을 나르는 전기적, 전자기적 또는 광학적 신호들을 전송하고 수신한다.
네트워크 링크(120)는 통상적으로 1 이상의 네트워크를 통해 다른 데이터 디바이스들로 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 ISP(Internet Service Provider; 126)에 의하여 작동되는 호스트 컴퓨터(124) 또는 데이터 기구에 로컬 네트워크(122)를 통한 연결을 제공할 수 있다. 나아가, ISP(126)는 이후 "인터넷"(128)이라고 통상적으로 지칭되는 월드와이드 패킷 데이터 통신 네트워크를 통한 데이터 통신 서비스들을 제공한다. 로컬 네트워크(122) 및 인터넷(128) 둘 모두는 디지털 데이터 스트림들을 나르는 전기적, 전자기적 또는 광학적 신호들을 이용한다. 컴퓨터 시스템(100)과 디지털 데이터를 주고 받는 다양한 네트워크들을 통한 신호들 및 네트워크 링크(120) 상의 신호들과 통신 인터페이스(118)를 통한 신호들은 정보를 전달하는 반송파들의 예시적 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지들을 전송하고 데이터를 수신한다. 인터넷 예에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 응용 프로그램을 위해 요청된 코드를 전송할 수 있다. 본 발명에 따르면, 히나의 이러한 다운로드된 응용례는, 예를 들어 실시예의 조명 최적화를 제공한다. 수신된 코드는 수신된 대로 프로세서(104)에 의하여 실행되고 및/또는 후속 실행을 위해 저장 디바이스(110)나 다른 비-휘발성 저장부 내에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파 형태의 응용 코드를 얻을 수 있다.
도 9은 조명 소스가 본 발명의 프로세스를 활용하여 최적화될 수 있는 예시적 리소그래피 투영 장치를 개략적으로 도시하고 있다. 상기 장치는,
- 방사선의 투영빔(PB)을 공급하는 방사선 시스템(Ex, IL) - 이 특별한 경우에, 상기 방사선 시스템은 방사선 소스(LA)도 포함함 - ;
- 마스크(MA)(예를 들어, 레티클)를 유지시키는 마스크 홀더가 제공되며, 아이템(PL)에 대하여 마스크를 정확히 위치시키는 제 1 위치설정수단에 연결되는 제 1 대물 테이블(마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트가 코팅된 실리콘 웨이퍼)을 유지시키는 기판 홀더가 제공되며, 아이템(PL)에 대하여 기판을 정확히 위치시키는 제 2 위치설정수단에 연결되는 제 2 대물 테이블(기판 테이블)(WT); 및
- 기판(W)의 타겟부(C)(예를 들어, 1 이상의 다이를 포함) 상으로 마스크(MA)의 조사된 부분을 이미징시키는 투영 시스템("렌즈")(PL)(예를 들어, 굴절형, 카톱트릭 또는 카타디옵트릭 광학 시스템)을 포함하여 이루어진다.
도시된 바와 같이, 상기 장치는 (즉, 투과형마스크를 구비한) 투과형이다. 하지만, 일반적으로는, 예를 들어(반사형 마스크를 구비한) 반사형일 수도 있다. 대안적으로, 상기 장치는 마스크의 이용에 대한 대안으로서 다른 종류의 패터닝 수단을 채용할 수도 있다; 예로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
상기 소스(LA)(예를 들어, 수은 램프, 엑시머 레이저)는 방사빔을 생성한다. 이 빔은 직접적으로 조명시스템(일루미네이터)(IL)으로 들어가거나 또는 예를 들어 빔 익스펜더(Ex)와 같은 트래버스(traversed) 컨디셔닝 수단을 거친 후에 조명시스템으로 공급된다. 상기 일루미네이터(IL)는 빔 세기 분포의 외측 및/또는 내측 반지름 크기(통상 각각 외측-σ 및 내측-σ라고 함)를 설정하는 조절수단(AM)을 포함할 수 있다. 또한, 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 기타 구성요소를 포함한다. 이러한 방식으로, 마스크 (MA) 상에 입사되는 빔(PB)은 그 단면이 소정의 균일성과 세기 분포를 갖게 된다.
도 9와 관련하여, 상기 소스(LA)는 리소그래피 투영장치의 하우징(housing) 내에 놓이지만[예를 들어, 흔히 소스(LA)가 수은램프인 경우에서와 같이], 상기 소스가 리소그래피 투영장치로부터 멀리 떨어질 수 있고, 소스가 생성한 방사선 빔이 (예를 들어, 적당한 지향거울에 의하여) 장치 내부로 들어오게 할 수 있다; 후자의 시나리오는, 소스(LA)가 (예를 들어, KrF, AeF 또는 F2 레이징을 기반으로 하는) 엑시머 레이저인 경우가 흔히 있다. 본 발명은 적어도 이들 시나리오를 모두 포함하고 있다.
계속하여, 상기 빔(PB)은 마스크테이블(MT) 상에서 유지되는 마스크(MA)를 통과한다. 마스크(MA)를 통과한 빔(PB)은 렌즈(PL)를 통과하며 상기 렌즈는 기판(W)의 타겟부 (C) 상에 상기 빔(PB)을 포커스한다. 제 2 위치설정수단[및 간섭계 측정수단(IF)]의 도움으로, 기판 테이블(WT)은, 예를 들어 빔(PB)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캐닝하는 동안에 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로 대물 테이블(MT, WT)의 이동은, 도 9에 명확히 도시되지는 않았지만, 긴 행정모듈(long stroke module)(개략 위치설정) 및 짧은 행정모듈(미세 위치설정)에 의하여 행해진다. 하지만, (스텝-앤드-스캔 장치와는 대조적으로) 웨이퍼 스테퍼의 경우에는, 마스크 테이블(MT)이 짧은 행정 액추에이터에만 연결되거나 고정될 수도 있다.
상술된 장치는 다음의 두 가지 상이한 모드로 사용될 수 있다.
- 스텝 모드에서, 마스크 테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C) 상에 투영된다. 이후 기판 테이블(WT)이 x 및/또는 y 방향으로 쉬프트되어 상이한 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는, 본질적으로 스텝모드와 동일한 시나리오가 적용된다. 그 대신에, 마스크 테이블(MT)이 ν의 속도로 주어진 방향(소위 "스캐닝 방향", 예를 들어 y 방향)으로 이동할 수 있어, 투영빔(PB)이 마스크 이미지에 걸쳐 스캐닝을 이행하는 동시에, 기판 테이블(WT)은 속도 V=Mν로 동일한 방향 또는 그 반대방향으로 동시에 이동하며, 이때 M 은 렌즈(PL)의 배율(통상 M = 1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 희생시키지 않고 상대적으로 넓은 타겟부(C)가 노광될 수 있다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하기 위한 일반적인 이미징 시스템을 시뮬레이트하거나 수학적으로 모델링할 수 있으며, 점진적으로 작아지는 크기의 파장들을 생성할 수 있는 신종 이미징 기술들과 병용하기에 특히 유용할 수 있다. 이미 사용되고 있는 신종 기술들에는, ArF 레이저를 이용하여 193 nm의 파장을 생성하며, 심지어는 불소 레이저를 이용하여 157 nm의 파장을 생성할 수 있는 EUV(극 자외선) 리소그래피가 포함된다. 더욱이, EUV 리소그래피는 싱크로트론을 이용하거나 고 에너지 전자들로 재료(솔리드 또는 플라즈마)를 가격함으로써 20 내지 5 nm의 범위 내의 파장을 발생시켜 이 범위 내의 광자를 생성할 수 있다. 대부분의 재료들은 이 범위 내에서 흡수성을 띠기 때문에, 조명은 몰리브덴 및 실리콘의 다중-스택을 갖는 반사 거울들에 의하여 생성될 수도 있다. 다중-스택 거울은 각 층의 두께가 1/4 파장인 몰리브렌 및 실리콘의 40 개 층의 쌍들을 갖는다. X-레이 리소그래피를 이용하여 훨씬 더 작은 파장들이 생성될 수도 있다. 통상적으로, X-레이 파장을 생성하기 위해 싱크로트론이 이용된다. 대부분의 재료는 x-레이 파장에서 흡수성이기 때문에, 피처들이 프린트되거나(양의 레지스트) 또는 프린트되지 않는(음의 레지스트) 곳에 흡수 재료의 얇은 피스가 정의된다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상의 이미징을 위해 이용될 수 있으나, 개시된 개념들은 여하한의 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상의 이미징을 위해 이용되는 시스템들과 함께 이용될 수도 있다는 것을 이해하여야 한다.
상술된 설명들은 예시에 지나지 않으며 제한하려는 의도는 없다. 따라서, 당업자라면 후속 청구범위를 벗어나지 않는, 기술된 바와 같은 본 발명에 대한 수정들이 가해질 수도 있음을 이해할 것이다.

Claims (13)

  1. 리소그래피 프로세스 최적화 방법에 있어서,
    조명 소스 및 마스크의 디스크립션(description)들을 수용하는 단계 - 상기 마스크는 리소그래피 패턴을 포함함 - , 및
    상기 소스 및 상기 마스크가 상기 리소그래피 프로세스의 프로세스 윈도우(process window)에 대해 동시에 최적화될 때까지,
    상기 조명 소스 및 상기 마스크 둘 모두의 함수로서 비용 함수를 형성하는 단계;
    상기 비용 함수의 그래디언트(gradient)를 계산하는 단계; 및
    상기 계산된 그래디언트에 따라 상기 소스 및 마스크 디스크립션들을 재구성하는 단계를 선택적으로 반복하는 단계;
    를 포함하는 리소그래피 프로세스 최적화 방법.
  2. 제 1 항에 있어서,
    상기 소스 및 상기 마스크는 상기 계산된 그래디언트가 0의 값을 갖는 경우에 최적화되는 리소그래피 프로세스 최적화 방법.
  3. 제 2 항에 있어서,
    독립적인 소스 포인트들로서 상기 소스들을 특성화하는 단계를 더 포함하는 리소그래피 프로세스 최적화 방법.
  4. 제 2 항에 있어서,
    공간 주파수 도메인에서 회절 요소들로서 상기 마스크를 특성화하는 단계를 더 포함하는 리소그래피 프로세스 최적화 방법.
  5. 제 4 항에 있어서,
    상기 마스크 디스크립션을 재구성하는 단계는:
    광 근접 보정을 이용하는 단계;
    서브-분해능 어시스트 피처들을 배치시키는 단계; 및
    상기 재구성된 마스크 디스크립션을 재특성화하는 단계;
    를 포함하는 리소그래피 프로세스 최적화 방법.
  6. 제 1 항에 있어서,
    상기 비용 함수는 주어진 프로세스 윈도우에 걸쳐 최악인 경우의 에지 배치 오차(edge placement error)에 대해 공식화되는 리소그래피 프로세스 최적화 방법.
  7. 제 6 항에 있어서,
    상기 비용 함수(F)는:
    Figure pct00020

    로서 표현되며,
    여기서 I pw (e)는 프로세스 윈도우 조건 pw에서의 에어리얼 이미지(aerial image) 세기를 나타내고, I th 는 에어리얼 이미지 윤곽(contour)에 대한 임계치를 나타내고, 분모,
    Figure pct00021
    는 에어리얼 이미지의 기울기를 나타내고, w( pw , x)는 가중 함수를 나타내며, pLp 표준(norm)에 대응되는 양의 정수인 리소그래피 프로세스 최적화 방법.
  8. 조명 소스 및 마스크를 갖는 리소그래피 프로세스 최적화 방법에 있어서,
    상기 조명 소스 및 상기 마스크 둘 모두의 디스크립션들의 함수로서 비용 함수를 형성하는 단계 - 상기 비용 함수는 주어진 프로세스 윈도우에 걸쳐 최악인 경우의 에지 배치 오차에 대해 공식화됨 - ; 및
    상기 비용 함수의 그래디언트를 계산하는 단계;
    를 포함하는 리소그래피 프로세스 최적화 방법.
  9. 제 8 항에 있어서,
    상기 계산하는 단계 이전에, 상기 비용 함수의 계산상 효율적인 근사화(computationally efficient approximation)를 형성하는 단계를 더 포함하는 리소그래피 프로세스 최적화 방법.
  10. 조명 소스 및 마스크를 갖는 리소그래피 프로세스 최적화 방법에 있어서,
    자유-형태 최적화 프로세스;
    상기 자유-형태 최적화 프로세스의 결과를 기반으로 상기 마스크의 디스크립션에 SRAF 시드들(seeds)을 배치시키는 단계; 및
    상기 SRAF 시드들을 성장(grow)시키는 한편 상기 조명 소스 및 상기 마스크 둘 모두에 대한 제조가능성의 제약들을 고려하는 단계를 포함하는 제약(contrained) 최적화 프로세스;
    를 포함하는 리소그래피 프로세스 최적화 방법.
  11. 제 10 항에 있어서,
    상기 자유-형태 최적화 프로세스는 조명 소스 포인트들의 완전히 융통성 있는(fully flexible set) 세트를 포함하는 최적의 조명 소스를 설계하는 단계를 포함하는 리소그래피 프로세스 최적화 방법.
  12. 제 11 항에 있어서,
    상기 조명 소스에 대한 제조가능성의 제약들을 고려하는 단계는 상기 최적의 조명 소스를 회절 광학 요소에 매칭시키는 단계를 포함하는 리소그래피 프로세스 최적화 방법.
  13. 제 10 항에 있어서,
    상기 마스크에 대한 제조가능성의 제약들을 고려하는 단계는 마스크 투과(mask transmission)를 사전설정된 값으로 제약하는 단계를 포함하는 리소그래피 프로세스 최적화 방법.
KR1020117012618A 2008-11-21 2009-11-20 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화 KR101766734B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11678808P 2008-11-21 2008-11-21
US61/116,788 2008-11-21
PCT/US2009/065359 WO2010059954A2 (en) 2008-11-21 2009-11-20 Fast freeform source and mask co-optimization method

Publications (2)

Publication Number Publication Date
KR20110097800A true KR20110097800A (ko) 2011-08-31
KR101766734B1 KR101766734B1 (ko) 2017-08-16

Family

ID=42198824

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117012618A KR101766734B1 (ko) 2008-11-21 2009-11-20 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화

Country Status (6)

Country Link
US (5) US8584056B2 (ko)
JP (2) JP5629691B2 (ko)
KR (1) KR101766734B1 (ko)
CN (1) CN102224459B (ko)
TW (1) TWI437377B (ko)
WO (1) WO2010059954A2 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130064029A (ko) * 2011-12-07 2013-06-17 캐논 가부시끼가이샤 패턴 결정 방법, 패턴 결정 장치, 및 저장 매체
KR101463100B1 (ko) * 2012-01-10 2014-11-20 에이에스엠엘 네델란즈 비.브이. 확률적 효과들을 감소시키기 위한 소스 마스크 최적화
KR20160122216A (ko) * 2014-02-11 2016-10-21 에이에스엠엘 네델란즈 비.브이. 임의 패턴의 확률적 변동을 계산하는 모델
KR20170024029A (ko) * 2014-06-25 2017-03-06 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
KR20200049855A (ko) * 2017-10-11 2020-05-08 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
KR20210084599A (ko) * 2018-11-30 2021-07-07 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
US11409203B2 (en) * 2016-12-28 2022-08-09 Asml Netherlands B.V. Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102224459B (zh) * 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
US8234603B2 (en) * 2010-07-14 2012-07-31 International Business Machines Corporation Method for fast estimation of lithographic binding patterns in an integrated circuit layout
NL2007303A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Process tuning with polarization.
NL2007306A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Source polarization optimization.
US8495528B2 (en) * 2010-09-27 2013-07-23 International Business Machines Corporation Method for generating a plurality of optimized wavefronts for a multiple exposure lithographic process
JP5686567B2 (ja) * 2010-10-19 2015-03-18 キヤノン株式会社 露光条件及びマスクパターンを決定するプログラム及び方法
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007642A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8324106B2 (en) * 2011-04-04 2012-12-04 GlobalFoundries, Inc. Methods for fabricating a photolithographic mask and for fabricating a semiconductor integrated circuit using such a mask
NL2008966A (en) * 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
EP2570854B1 (en) 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
CN102346380B (zh) * 2011-11-09 2013-11-06 北京理工大学 基于归一化最速下降法光刻配置参数的优化方法
CN102346379B (zh) * 2011-11-09 2013-11-06 北京理工大学 一种基于最速下降法光刻配置参数的优化方法
US9940427B2 (en) 2012-02-09 2018-04-10 Asml Netherlands B.V. Lens heating aware source mask optimization for advanced lithography
US10133184B2 (en) * 2012-04-25 2018-11-20 Nikon Corporation Using customized lens pupil optimization to enhance lithographic imaging in a source-mask optimization scheme
US9489479B2 (en) * 2012-05-04 2016-11-08 Asml Netherlands B.V. Rule and lithographic process co-optimization
CN104395828B (zh) 2012-05-31 2018-02-02 Asml荷兰有限公司 基于梯度的图案和评价点选择
CN102707582B (zh) * 2012-06-18 2013-11-27 北京理工大学 一种基于Abbe矢量成像模型的光源-掩模同步优化方法
CN104956263B (zh) 2012-11-27 2017-09-08 Asml荷兰有限公司 光刻设备、衬底支撑系统、器件制造方法以及控制程序
WO2014127985A1 (en) 2013-02-22 2014-08-28 Asml Netherlands B.V. A lithography model for three-dimensional patterning device
KR101807687B1 (ko) 2013-02-25 2017-12-11 에이에스엠엘 네델란즈 비.브이. 이산 소스 마스크 최적화
US9250535B2 (en) 2013-03-15 2016-02-02 International Business Machines Corporation Source, target and mask optimization by incorporating countour based assessments and integration over process variations
US9857676B2 (en) * 2013-05-27 2018-01-02 International Business Machines Corporation Method and program product for designing source and mask for lithography
JP6192372B2 (ja) * 2013-06-11 2017-09-06 キヤノン株式会社 マスクパターンの作成方法、プログラムおよび情報処理装置
US10339260B2 (en) 2013-09-06 2019-07-02 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly
SG11201602179WA (en) 2013-10-01 2016-04-28 Asml Netherlands Bv Profile aware source-mask optimization
KR20160084437A (ko) 2013-11-08 2016-07-13 에이에스엠엘 네델란즈 비.브이. 지향성 자가­조립을 위해 가이딩 템플릿을 생성하는 방법론
CN105849643B (zh) 2013-12-17 2019-07-19 Asml荷兰有限公司 良品率估计和控制
KR102146437B1 (ko) 2014-03-18 2020-08-21 에이에스엠엘 네델란즈 비.브이. 패턴 배치 에러 인식의 최적화
US10025201B2 (en) 2014-04-14 2018-07-17 Asml Netherlands B.V. Flows of optimization for lithographic processes
US9262820B2 (en) * 2014-05-19 2016-02-16 United Microelectronics Corporation Method and apparatus for integrated circuit design
WO2016008711A1 (en) * 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
CN107111237B (zh) 2014-10-02 2020-02-28 Asml荷兰有限公司 辅助特征的基于规则的部署
WO2016096309A1 (en) 2014-12-15 2016-06-23 Asml Netherlands B.V. Optimization based on machine learning
US10372043B2 (en) 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
WO2016096333A1 (en) 2014-12-18 2016-06-23 Asml Netherlands B.V. A lithography model for 3d features
KR102404639B1 (ko) 2015-02-02 2022-06-03 삼성전자주식회사 전자 빔 노광 방법 및 그를 포함하는 기판 제조 방법
TWI620980B (zh) 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
US10670973B2 (en) 2015-05-20 2020-06-02 Asml Netherlands B.V. Coloring aware optimization
US11506984B2 (en) 2015-05-29 2022-11-22 Asml Netherlands B.V. Simulation of lithography using multiple-sampling of angular distribution of source radiation
WO2016202695A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
CN105425532B (zh) * 2015-11-20 2020-02-21 中国科学院微电子研究所 光源掩模协同优化方法
WO2017102264A1 (en) 2015-12-17 2017-06-22 Asml Netherlands B.V. Source separation from metrology data
WO2017114672A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Metrology by reconstruction
US10146124B2 (en) * 2016-02-23 2018-12-04 Xtal, Inc. Full chip lithographic mask generation
US10796063B2 (en) 2016-04-14 2020-10-06 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
KR102376200B1 (ko) 2016-05-12 2022-03-18 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의한 결함 또는 핫스폿의 식별
WO2017194285A1 (en) 2016-05-12 2017-11-16 Asml Netherlands B.V. Displacement based overlay or alignment
KR102205364B1 (ko) 2016-05-17 2021-01-20 에이에스엠엘 네델란즈 비.브이. 관통-파장 유사성에 기초한 계측 견실성 향상 기술
US10983440B2 (en) 2016-05-23 2021-04-20 Asml Netherlands B.V. Selection of substrate measurement recipes
US10310372B1 (en) * 2017-02-27 2019-06-04 Cadence Design Systems, Inc. Full-chip hierarchical inverse lithography
US10262100B2 (en) * 2017-05-24 2019-04-16 Synopsys, Inc. Rule based assist feature placement using skeletons
CN110692017A (zh) 2017-05-26 2020-01-14 Asml荷兰有限公司 基于机器学习的辅助特征放置
KR102488912B1 (ko) 2017-07-12 2023-01-17 에이에스엠엘 네델란즈 비.브이. 결함 예측
WO2019048506A1 (en) 2017-09-08 2019-03-14 Asml Netherlands B.V. METHODS OF LEARNING OPTICAL CORRECTION OF PROXIMITY ERROR ASSISTED BY AUTOMATIC APPRENTICESHIP
EP3688529B1 (en) 2017-09-27 2023-12-13 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
EP3462240A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
US10495967B2 (en) * 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
CN109752918B (zh) * 2017-11-07 2023-04-25 长鑫存储技术有限公司 光刻掩膜优化设计方法及系统
KR102440337B1 (ko) 2017-12-22 2022-09-05 에이에스엠엘 네델란즈 비.브이. 결함 확률에 기초한 프로세스 윈도우
US10657213B2 (en) * 2017-12-22 2020-05-19 D2S, Inc. Modeling of a design in reticle enhancement technology
US11422472B2 (en) 2017-12-22 2022-08-23 Asml Netherlands B.V. Patterning process improvement involving optical aberration
KR102444680B1 (ko) 2018-02-18 2022-09-19 에이에스엠엘 네델란즈 비.브이. 이진화 방법 및 프리폼 마스크 최적화 흐름
CN111788589A (zh) 2018-02-23 2020-10-16 Asml荷兰有限公司 训练用于计算光刻术的机器学习模型的方法
US11232249B2 (en) 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
KR102529085B1 (ko) 2018-06-25 2023-05-08 에이에스엠엘 네델란즈 비.브이. 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
US11354484B2 (en) 2018-11-08 2022-06-07 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
EP3650940A1 (en) 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
KR20240052072A (ko) 2018-12-28 2024-04-22 에이에스엠엘 네델란즈 비.브이. 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
KR20210112382A (ko) 2019-01-30 2021-09-14 센젠 징위엔 인포메이션 테크놀로지 컴퍼니 리미티드 헤시안 프리의 포토 에칭 마스크의 최적화 방법, 장치 및 전자장치
KR102641682B1 (ko) 2019-02-20 2024-02-27 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스의 제조 프로세스를 특성화하기 위한 방법
WO2020169303A1 (en) 2019-02-21 2020-08-27 Asml Netherlands B.V. Method for training machine learning model to determine optical proximity correction for mask
US11567413B2 (en) 2019-02-25 2023-01-31 Asml Netherlands B.V. Method for determining stochastic variation of printed patterns
US11815820B2 (en) 2019-03-21 2023-11-14 Asml Netherlands B.V. Training method for machine learning assisted optical proximity error correction
US20220179321A1 (en) 2019-03-25 2022-06-09 Asml Netherlands B.V. Method for determining pattern in a patterning process
CN113924525A (zh) 2019-04-15 2022-01-11 Asml荷兰有限公司 用于确定对掩模的特征的校正的方法
US20220229374A1 (en) 2019-04-25 2022-07-21 Asml Netherlans B.V. Method of determining characteristic of patterning process based on defect for reducing hotspot
US11669019B2 (en) 2019-05-21 2023-06-06 Asml Netherlands B.V. Method for determining stochastic variation associated with desired pattern
EP3822703A1 (en) 2019-11-18 2021-05-19 ASML Netherlands B.V. Method for determining a field-of-view setting
WO2021069153A1 (en) 2019-10-08 2021-04-15 Asml Netherlands B.V. Method for determining a field-of-view setting
KR20220069075A (ko) 2019-10-24 2022-05-26 에이에스엠엘 네델란즈 비.브이. 타겟 패턴의 규칙 기반 리타겟팅 방법
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
CN115104068A (zh) 2020-02-21 2022-09-23 Asml荷兰有限公司 用于以基于缺陷的过程窗口为基础的校准模拟过程的方法
CN111338179B (zh) * 2020-04-17 2021-07-06 中国科学院上海光学精密机械研究所 基于多宽度表征的全芯片光源掩模优化关键图形筛选方法
WO2021229030A1 (en) 2020-05-14 2021-11-18 Asml Netherlands B.V. Method for predicting stochastic contributors
EP3910418A1 (en) 2020-05-14 2021-11-17 ASML Netherlands B.V. Method for direct decomposition of stochastic contributors
KR20230016010A (ko) 2020-06-24 2023-01-31 에이에스엠엘 네델란즈 비.브이. 어시스트 피처의 프린팅 확률을 결정하는 시스템, 방법, 및 제품 및 그 적용
WO2022064016A1 (en) 2020-09-25 2022-03-31 Asml Netherlands B.V. Optimization of scanner throughput and imaging quality for a patterning process
CN116391157A (zh) 2020-10-23 2023-07-04 Asml荷兰有限公司 用于产生掩模图案的方法
US20240004305A1 (en) 2020-12-18 2024-01-04 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
WO2022179802A1 (en) 2021-02-23 2022-09-01 Asml Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
US11714951B2 (en) * 2021-05-13 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Geometric mask rule check with favorable and unfavorable zones
CN113495435B (zh) * 2021-05-26 2023-08-08 暨南大学 一种数字掩模投影光刻优化方法及系统
WO2023041488A1 (en) 2021-09-15 2023-03-23 Asml Netherlands B.V. Source separation from metrology data
WO2023110347A1 (en) 2021-12-16 2023-06-22 Asml Netherlands B.V. Systems and methods for optimizing lithographic design variables using image-based failure rate model
TWI801175B (zh) * 2022-03-22 2023-05-01 華邦電子股份有限公司 光源優化裝置及光源優化方法
CN115758699B (zh) * 2022-11-09 2023-06-20 武汉宇微光学软件有限公司 面向全芯片光源掩模优化的关键图形快速筛选方法和装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) * 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) * 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) * 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) * 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US5882258A (en) * 1997-09-08 1999-03-16 Rlt Acquisition, Inc. Skill-based card game
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
SG116510A1 (ko) * 2002-11-12 2005-11-28
KR100760037B1 (ko) * 2003-03-31 2007-09-20 에이에스엠엘 마스크툴즈 비.브이. 소스 및 마스크 최적화 방법
US7355673B2 (en) * 2003-06-30 2008-04-08 Asml Masktools B.V. Method, program product and apparatus of simultaneous optimization for NA-Sigma exposure settings and scattering bars OPC using a device layout
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4488727B2 (ja) * 2003-12-17 2010-06-23 株式会社東芝 設計レイアウト作成方法、設計レイアウト作成システム、マスクの製造方法、半導体装置の製造方法、及び設計レイアウト作成プログラム
SG125970A1 (en) 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
WO2005078528A2 (en) 2004-02-03 2005-08-25 Mentor Graphics Corporation Source optimization for image fidelity and throughput
JP4639120B2 (ja) 2004-07-13 2011-02-23 エーエスエムエル ネザーランズ ビー.ブイ. マスク・パターンの光転送を最適化するための方法、リソグラフィ投影装置、および機械可読媒体
US7552416B2 (en) * 2005-02-28 2009-06-23 Yuri Granik Calculation system for inverse masks
US7617477B2 (en) * 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7707541B2 (en) * 2005-09-13 2010-04-27 Luminescent Technologies, Inc. Systems, masks, and methods for photolithography
US7836423B2 (en) * 2006-03-08 2010-11-16 Mentor Graphics Corporation Sum of coherent systems (SOCS) approximation based on object information
US7807323B2 (en) 2006-04-11 2010-10-05 Kabushiki Kaisha Toshiba Exposure condition setting method, semiconductor device manufacturing method, and exposure condition setting program
JP2007305972A (ja) 2006-04-11 2007-11-22 Toshiba Corp 露光条件設定方法及び半導体デバイスの製造方法
US20080063948A1 (en) * 2006-09-12 2008-03-13 O'brien Sean Method for achieving compliant sub-resolution assist features
US7739640B2 (en) * 2007-01-12 2010-06-15 International Business Machines Corporation Method and apparatus for static timing analysis in the presence of a coupling event and process variation
US8028252B2 (en) * 2007-09-14 2011-09-27 Luminescent Technologies Inc. Technique for determining mask patterns and write patterns
US8082525B2 (en) * 2008-04-15 2011-12-20 Luminescent Technologies, Inc. Technique for correcting hotspots in mask patterns and write patterns
NL2003143A1 (nl) * 2008-07-07 2010-01-11 Brion Tech Inc Illumination optimization.
CN102224459B (zh) * 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8356261B1 (en) * 2009-07-02 2013-01-15 Asml Netherlands B.V. Determining the gradient and hessian of the image log slope for design rule optimization for accelerating source mask optimization (SMO)
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
KR20110052289A (ko) * 2009-11-12 2011-05-18 삼성전자주식회사 소프트웨어 구조에서 커먼모듈 운용 장치 및 방법

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130064029A (ko) * 2011-12-07 2013-06-17 캐논 가부시끼가이샤 패턴 결정 방법, 패턴 결정 장치, 및 저장 매체
KR101463100B1 (ko) * 2012-01-10 2014-11-20 에이에스엠엘 네델란즈 비.브이. 확률적 효과들을 감소시키기 위한 소스 마스크 최적화
KR20160122216A (ko) * 2014-02-11 2016-10-21 에이에스엠엘 네델란즈 비.브이. 임의 패턴의 확률적 변동을 계산하는 모델
US10545411B2 (en) 2014-02-11 2020-01-28 Asml Netherlands, B.V. Model for calculating a stochastic variation in an arbitrary pattern
US11835862B2 (en) 2014-02-11 2023-12-05 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
US11126090B2 (en) 2014-02-11 2021-09-21 Asml Netherlands B.V. Model for calculating a stochastic variation in an arbitrary pattern
KR20170024029A (ko) * 2014-06-25 2017-03-06 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
US11789371B2 (en) 2016-12-28 2023-10-17 Asml Netherlands B.V. Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device
US11409203B2 (en) * 2016-12-28 2022-08-09 Asml Netherlands B.V. Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device
US11480882B2 (en) 2017-10-11 2022-10-25 Asml Netherlands B.V. Flows of optimization for patterning processes
KR20200049855A (ko) * 2017-10-11 2020-05-08 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
US11886124B2 (en) 2017-10-11 2024-01-30 Asml Netherlands B.V. Flows of optimization for patterning processes
KR20210084599A (ko) * 2018-11-30 2021-07-07 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법

Also Published As

Publication number Publication date
US20110230999A1 (en) 2011-09-22
US11042687B2 (en) 2021-06-22
KR101766734B1 (ko) 2017-08-16
JP5629691B2 (ja) 2014-11-26
US8584056B2 (en) 2013-11-12
US9111062B2 (en) 2015-08-18
CN102224459A (zh) 2011-10-19
CN102224459B (zh) 2013-06-19
US20200218850A1 (en) 2020-07-09
TW201027272A (en) 2010-07-16
TWI437377B (zh) 2014-05-11
US20180239861A1 (en) 2018-08-23
WO2010059954A2 (en) 2010-05-27
US20140068530A1 (en) 2014-03-06
JP2012510165A (ja) 2012-04-26
US9953127B2 (en) 2018-04-24
JP6055436B2 (ja) 2016-12-27
JP2014135517A (ja) 2014-07-24
US20150356234A1 (en) 2015-12-10
US10592633B2 (en) 2020-03-17
WO2010059954A3 (en) 2011-06-23

Similar Documents

Publication Publication Date Title
US11042687B2 (en) Fast freeform source and mask co-optimization method
US10310371B2 (en) Method and system for lithography process-window-maximizing optical proximity correction
US9934350B2 (en) Pattern selection for full-chip source and mask optimization
JP5180359B2 (ja) 光源、マスクおよび投影光学系の最適化の流れ
US9390206B2 (en) Methods and systems for lithography process window simulation
US8542340B2 (en) Illumination optimization
KR101501580B1 (ko) 투영 광학기에 의한 광 조작을 포함하는 패턴-의존적 근접성 매칭/조율
KR20180011263A (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
US11815808B2 (en) Method for high numerical aperture thru-slit source mask optimization
KR101198348B1 (ko) 풀-칩 소스 및 마스크 최적화를 위한 패턴 선택
WO2024094385A1 (en) Source optimization for mitigating mask error impact
WO2023110346A1 (en) Methods, software, and systems for determination of constant-width sub-resolution assist features

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant