TWI437377B - 快速自由型態源及遮罩共最佳化方法 - Google Patents

快速自由型態源及遮罩共最佳化方法 Download PDF

Info

Publication number
TWI437377B
TWI437377B TW098139861A TW98139861A TWI437377B TW I437377 B TWI437377 B TW I437377B TW 098139861 A TW098139861 A TW 098139861A TW 98139861 A TW98139861 A TW 98139861A TW I437377 B TWI437377 B TW I437377B
Authority
TW
Taiwan
Prior art keywords
mask
cost function
source
illumination source
lithography
Prior art date
Application number
TW098139861A
Other languages
English (en)
Other versions
TW201027272A (en
Inventor
Luoqi Chen
Yu Cao
Jun Ye
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW201027272A publication Critical patent/TW201027272A/zh
Application granted granted Critical
Publication of TWI437377B publication Critical patent/TWI437377B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70083Non-homogeneous intensity distribution in the mask plane
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

快速自由型態源及遮罩共最佳化方法
本發明係關於微影裝置及製程,且更特定而言係關於用於最佳化用於微影裝置及製程中之照明源及遮罩的工具。
本申請案主張2008年11月21日申請之美國專利申請案第61/116,788號的權利,該案之全文係以引用之方式併入本文中。
微影裝置可用於(例如)積體電路(IC)之製造中。在該情況下,遮罩可含有對應於IC之個別層的電路圖案,且可將此圖案成像至已塗覆有輻射敏感材料(抗蝕劑)層的在基板(矽晶圓)上之目標部分(例如,包含一或多個晶粒)上。一般而言,單一晶圓將含有經由投影系統而一次一個地經順次照射之鄰近目標部分的整個網路。在一類型之微影投影裝置中,藉由一次性將整個遮罩圖案曝光至目標部分上來照射每一目標部分;該裝置通常被稱作晶圓步進器。在替代裝置(通常被稱作步進及掃描裝置)中,藉由在給定參考方向(「掃描」方向)上在投影光束下漸進地掃描遮罩圖案同時平行或反平行於此方向而同步地掃描基板台來照射每一目標部分。一般而言,因為投影系統將具有放大因數M (通常<1),所以掃描基板台時之速度V 將為掃描遮罩台時之速度的因數M 倍。可(例如)自以引用之方式併入本文中的US 6,046,792搜集到關於如本文所描述之微影器件的更多資訊。
在使用微影投影裝置之製造製程中,將遮罩圖案成像至藉由輻射敏感材料(抗蝕劑)層至少部分地覆蓋之基板上。在此成像步驟之前,基板可經歷各種程序,諸如,上底漆、抗蝕劑塗覆及軟烘烤。在曝光之後,基板可經受其他程序,諸如,曝光後烘烤(PEB)、顯影、硬烘烤,及經成像特徵之量測/檢測。此程序陣列係用作用以圖案化器件(例如,IC)之個別層的基礎。該經圖案化層可接著經歷各種製程,諸如,蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械拋光,等等,其均意欲完成個別層。若需要若干層,則將必須針對每一新層重複整個程序或其變型。最終,器件陣列將存在於基板(晶圓)上。此等器件接著係藉由諸如分割或鋸切之技術而彼此分離,據此,可將個別器件安裝於載體上、連接至銷,等等。
為了簡單起見,可在下文中將投影系統稱作「透鏡」;然而,此術語應被廣泛地解釋為涵蓋各種類型之投影系統,包括(例如)折射光學儀器、反射光學儀器及反射折射系統。輻射系統亦可包括用於引導、成形或控制投影輻射光束的根據此等設計類型中之任一者而操作之組件,且以下亦可將該等組件集體地或單獨地稱作「透鏡」。另外,微影裝置可為具有兩個或兩個以上基板台(及/或兩個或兩個以上遮罩台)之類型。在該等「多平台」器件中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,以引用之方式併入本文中的US 5,969,441中描述雙平台微影裝置。
以上所提及之光微影遮罩包含對應於待整合至矽晶圓上之電路組件的幾何圖案。利用CAD(電腦輔助設計)程式來產生用以形成該等遮罩之圖案,此製程通常被稱作EDA(電子設計自動化)。大多數CAD程式遵循預定設計規則集合,以便形成功能遮罩。藉由處理及設計限制來設定此等規則。舉例而言,設計規則界定電路器件(諸如,閘極、電容器,等等)或互連線路之間的空間容許度,以便確保電路器件或線路不會以不良方式而彼此相互作用。設計規則限制通常被稱作「臨界尺寸」(CD)。可將電路之臨界尺寸界定為線路或孔之最小寬度或兩個線路或兩個孔之間的最小空間。因此,CD判定經設計電路之總大小及密度。當然,積體電路製造中之目標中之一者係在晶圓上如實地再生原始電路設計(經由遮罩)。
如所敍述,微影為半導體積體電路之製造中的中心步驟,其中形成於半導體晶圓基板上之圖案界定半導體器件之功能元件,諸如,微處理器、記憶體晶片,等等。類似微影技術亦係用於平板顯示器、微機電系統(MEMS)及其他器件之形成中。
隨著半導體製造製程繼續進展,電路元件之尺寸已不斷地減小,而每一器件的功能元件(諸如,電晶體)之量已在數十年內穩固地增加,此遵循通常被稱作「莫耳定律」(Moore's law)之趨勢。在當前技術狀態下,前緣器件之臨界層係使用被稱作掃描器之光學微影投影系統加以製造,光學微影投影系統使用來自深紫外線雷射光源之照明而將遮罩影像投影至基板上,從而形成具有充分地低於100奈米(亦即,小於投影光之波長的一半)之尺寸的個別電路特徵。
印刷具有小於光學投影系統之傳統解析度極限之尺寸之特徵的此製程通常被稱作低k 1 微影,此係根據解析度公式CD=k 1 ×λ/NA,其中λ為所使用輻射之波長(當前在大多數情況下為248奈米或193奈米),NA為投影光學儀器之數值孔徑,CD為「臨界尺寸」(通常為所印刷之最小特徵大小),且k 1 為經驗性解析度因數。一般而言,k 1 愈小,則在晶圓上再生類似於由電路設計師所規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜的微調步驟應用於投影系統以及遮罩設計。舉例而言,此等步驟包括(但不限於)NA及光學同調設定之最佳化、定製照明方案、相移遮罩之使用、遮罩布局中之光學近接校正,或通常被界定為「解析度增強技術」(RET)之其他方法。
作為一重要實例,光學近接校正(OPC,有時亦被稱作「光學及製程校正」)解決以下事實:在晶圓上經印刷特徵之最終大小及置放將不僅僅為在遮罩上對應特徵之大小及置放的函數。應注意,本文可互換地利用術語「遮罩」與「主光罩」。對於存在於典型電路設計上之小特徵大小及高特徵密度,給定特徵之特定邊緣的位置將在某種程度上受到其他鄰近特徵之存在或不存在的影響。此等近接效應起因於自一特徵耦合至另一特徵之光的微小量。類似地,近接效應可起因於在通常緊隨微影曝光之曝光後烘烤(PEB)、抗蝕劑顯影及蝕刻期間的擴散及其他化學效應。
為了確保根據給定目標電路設計之要求而在半導體基板上產生特徵,需要利用複雜的數值模型來預測近接效應,且需要在高端器件之成功製造變得可能之前將校正或預失真應用於遮罩之設計。論文「Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing IC Design」(C. Spence,Proc. SPIE,第5751卷,第1至14頁(2005年))提供當前「基於模型之」光學近接校正製程之綜述。在典型高端設計中,幾乎每一特徵邊緣均需要某種修改,以便達成充分地接近於目標設計之經印刷圖案。此等修改可包括邊緣位置或線寬之移位或偏置以及「輔助」特徵之應用,「輔助」特徵不意欲印刷其自身,但將影響關聯主要特徵之性質。
在給定通常存在於一晶片設計中之數百萬個特徵的情況下,將基於模型之OPC應用於目標設計需要優良製程模型及大量計算資源。然而,應用OPC通常不為「嚴正科學」(exact science),而為經驗性反覆製程(iterative process),其不始終解析一布局上之所有可能弱點。因此,需要藉由設計檢測(亦即,使用經校準數值製程模型之集約全晶片模擬)來驗證OPC後設計(亦即,在藉由OPC及任何其他RET而應用所有圖案修改之後的遮罩布局),以便最小化將設計瑕疵建置至遮罩集之製造中的可能性。此係因製造在數百萬美元範圍內運行之高端遮罩集的巨額成本以及因一旦已製造實際遮罩時藉由重做或修復實際遮罩而對產品製作時程(turn-around time)之影響而被驅使。
OPC及全晶片RET驗證均可基於如(例如)US專利申請案第10/815,573號及Y. Cao等人之名為「Optimized Hardware and Software For Fast,Full Chip Simulation」之論文(Proc. SPIE,第5754卷,405(2005年))中所描述的數值模型化系統及方法。
除了執行前述遮罩調整(例如,OPC)以致力於最佳化成像結果以外,亦可與遮罩最佳化聯合地或單獨地最佳化用於成像製程中之照明方案,以致力於改良總微影保真度。自20世紀90年代以來,許多軸外光源(諸如,環形、四極及偶極)已被引入且已向OPC設計提供更多自由度,藉此改良成像結果。如吾人所知,軸外照明為用以解析遮罩中所含有之精細結構(亦即,目標特徵)的經證實方式。然而,與傳統照明器相比較,軸外照明器通常向空中影像(AI)提供較少光強度。因此,變得有必要試圖最佳化照明器以達成更精細解析度與減小光強度之間的最佳平衡。
吾人已知許多先前技術照明最佳化方法。舉例而言,在Rosenbluth等人之名為「Optimum Mask and Source Patterns to Print A Given Shape」的論文(Journal of Microlithography,Microfabrication,Microsystems 1(1),第13至20頁(2002年))中,將源分割成若干區域,其中之每一區域對應於光瞳光譜之某一區域。接著,假定源分布在每一源區域中均一,且針對製程窗(process window)而最佳化每一區域之亮度。然而,源分布在每一源區域中均一之該假定不始終有效,且結果,此方法之有效性受損失。在Granik之名為「Source Optimization for Image Fidelity and Throughput」之論文(Journal of Microlithography,Microfabrication,Microsystems 3(4),第509至522頁(2004年))中所闡述的另一實例中,綜述若干現有源最佳化方法,且提議將源最佳化問題轉換成一系列非負最小平方最佳化的基於照明器像素之方法。儘管此等方法已示範某些成功,但其通常需要多次複雜反覆以收斂。此外,可能難以判定某些額外參數(諸如,Granik之方法中的γ)之適當/最佳值,此規定在最佳化用於晶圓影像保真度之源與源之平穩度要求之間的取捨。
對於低k 1光微影,源及遮罩兩者之最佳化對於確保用於印刷臨界圖案之可行製程窗係至關重要的。現有演算法(例如,Socha等人之Proc. SPIE,第5853卷,第180頁,2005年)不能執行源及遮罩兩者之同時最佳化。實情為,現有演算法通常將照明離散化成獨立源點且將遮罩離散化成在空間頻域中之繞射級,且基於諸如曝光寬容度之製程窗度量來單獨地公式化成本函數,曝光容許度可藉由光學成像模型而根據源點強度及遮罩繞射級加以預測。接著,使用標準最佳化技術以最小化目標函數。
公式化成本函數之此等現有演算法的一問題在於:在達到對最佳源及遮罩兩者之收斂之前,此等現有演算法需要大量完全向前光學成像模型模擬。中等複雜度之削減(clip)將因此花費數週或甚至數月以對最新標準PC硬體最佳化。然而,除非所需時間小於約24小時,否則產品通常不被認為係可實行的。
相關地,EUV微影之延遲及不斷減少之設計規則之壓力已驅使半導體晶片製造者在現有193奈米ArF微影的情況下更深入低k 1 微影時代。針對更低k 1 之微影極需要解析度增強技術(RET)、曝光工具,及針對微影親和設計(litho-friendly design)之需要。1.35 ArF超數值孔徑(NA)曝光工具將為用於使晶片製造在隨後兩年內使用之曝光工具。為了確保可以可工作製程窗來印刷設計,源-遮罩最佳化(SMO)正變為2x奈米節點所需要之重要RET。
因而,需要一種源照明及遮罩最佳化方法及系統,以便允許使用成本函數而無約束且在可實行時間量內同時最佳化源及遮罩。
本發明係關於微影裝置及製程,且更特定而言係關於用於最佳化用於微影裝置及製程中之照明源及遮罩的工具。根據某些態樣,本發明藉由允許直接計算廣泛種類之成本函數之梯度而顯著地加快最佳化之收斂。根據其他態樣,本發明允許源及遮罩兩者之同時最佳化,藉此顯著地加快總收斂且改良最終結果。根據另外態樣,本發明允許自由型態最佳化,而無由習知最佳化技術所需要之約束,且發現不存在可製造性約束之完全製程窗權力。根據額外態樣,本發明使用用以根據自由型態最佳化結果來置放次解析度輔助特徵(sub-resolution assist feature,SRAF)晶種之方法,且在考慮來自源側及遮罩側兩者之可製造性約束之後續受約束最佳化中執行主要特徵光學近接校正(OPC)的同時使此等SRAF晶種同時生長。根據另外態樣,本發明利用成本函數以最小化跨越製程窗之最差邊緣置放誤差,及對該成本函數之計算親和概算(computationally friendly approximation)。
在此等及其他態樣之促進例(furtherance)中,根據本發明之實施例的用於最佳化微影製程之方法包括:接收照明源及遮罩之描述,遮罩包含微影圖案;及在針對微影製程之製程窗而同時最佳化源及遮罩以前,選擇性地重複以下步驟:形成作為照明源及遮罩兩者之函數的成本函數、計算成本函數之梯度,及取決於經計算梯度而重新組態源及遮罩描述。
在此等及其他態樣之額外促進例中,根據本發明之實施例的用於最佳化具有照明源及遮罩之微影製程的方法包括:形成作為照明源及遮罩兩者之描述之函數的成本函數,其中依據遍及給定製程窗之最差情況邊緣置放誤差來公式化成本函數;及計算成本函數之梯度。
在此等及其他態樣之額外促進例中,根據本發明之實施例的用於最佳化具有照明源及遮罩之微影製程的方法包括:自由型態最佳化製程;基於自由型態最佳化製程之結果而在遮罩之描述中置放SRAF晶種;及受約束最佳化製程,其包括在考慮針對照明源及遮罩兩者之可製造性約束的同時使SRAF晶種生長。
儘管在本文中可特定地參考本發明在IC製造中之使用,但應明確地理解,本發明具有許多其他可能應用。舉例而言,其可用於製造整合光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭,等等。熟習此項技術者應瞭解,在該等替代應用之情境中,應將在本文中對術語「主光罩」、「晶圓」或「晶粒」之任何使用認為係分別藉由更通用之術語「遮罩」、「基板」及「目標部分」替換。
在本文件中,術語「輻射」及「光束」係用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如,具有為365奈米、248奈米、193奈米、157奈米或126奈米之波長)及EUV(極紫外線輻射,例如,具有在為5奈米至20奈米之範圍內的波長)。
如在本文中所使用之術語遮罩可被廣泛地解釋為指代可用以對應於待形成於基板之目標部分中之圖案而向入射輻射光束賦予經圖案化橫截面的通用圖案化構件;術語「光閥」亦可在此情境中被使用。除了傳統遮罩(透射或反射;二元、相移、混合,等等)以外,其他該等圖案化構件之實例包括:
‧可程式化鏡面陣列。該器件之實例為具有黏彈性控制層及反射表面之矩陣可定址表面。該裝置所隱含之基本原理在於(例如):反射表面之經定址區域將入射光反射為繞射光,而未經定址區域將入射光反射為非繞射光。藉由使用適當濾光器,可將該非繞射光濾出經反射光束,從而僅留下繞射光;以此方式,光束根據矩陣可定址表面之定址圖案而變得圖案化。可使用適當電子構件來執行所需矩陣定址。可(例如)自以引用之方式併入本文中的美國專利US 5,296,891及US 5,523,193搜集到關於該等鏡面陣列之更多資訊。
‧可程式化LCD陣列。以引用之方式併入本文中的美國專利US 5,229,872中給出該建構之實例。
藉由參考以下詳細描述及隨附圖式,可更好地理解本發明自身連同另外目標及優點。
現將參看隨附示意性圖式而僅藉由實例來描述本發明之實施例,在該等圖式中,對應參考符號指示對應部分。
在論述本發明之前,提供關於總模擬及成像製程之簡短論述。圖1說明例示性微影投影系統10。主要組件為:光源12,光源12可為深紫外線準分子雷射源,其為界定部分同調(表示為均方偏差(sigma))且可包括特定源成形光學儀器14、16a及16b之照明光學儀器;遮罩或主光罩18;及投影光學儀器16c,投影光學儀器16c將主光罩圖案之影像產生至晶圓平面22上。在光瞳平面處之可調整濾光器或孔徑20可限制碰撞晶圓平面22之光束角度的範圍,其中最大可能角度界定投影光學儀器之數值孔徑NA=sin(Θmax )。
在微影模擬系統中,如圖2所說明,可藉由(例如)單獨功能模組來描述此等主要系統組件。參看圖2,功能模組包括:設計布局模組26,設計布局模組26界定目標設計;遮罩布局模組28,遮罩布局模組28界定待用於成像製程中之遮罩;遮罩模型模組30,遮罩模型模組30界定待用於模擬製程期間的遮罩布局之模型;光學模型模組32,光學模型模組32界定微影系統之光學組件的效能;及抗蝕劑模型模組34,抗蝕劑模型模組34界定用於給定製程中之抗蝕劑的效能。如吾人所知,模擬製程之結果在結果模組36中產生(例如)經預測輪廓及CD。
更具體而言,應注意,在光學模型32中捕獲照明及投影光學儀器之性質,其包括(但不限於)NA均方偏差(σ)設定以及任何特定照明源形狀(例如,軸外光源,諸如,環形、四極及偶極,等等)。塗覆於基板上之光阻層的光學性質(亦即,折射率、膜厚度、傳播及偏振效應)亦可作為光學模型32之一部分而被捕獲。遮罩模型30捕獲主光罩之設計特徵且亦可包括遮罩之詳細物理性質的表示,如(例如)美國專利7,587,704中所描述。最後,抗蝕劑模型34描述發生於抗蝕劑曝光、PEB及顯影期間之化學製程的效應,以便預測(例如)形成於基板晶圓上之抗蝕劑特徵的輪廓。模擬之目標係準確地預測(例如)邊緣置放及CD,其可接著與目標設計進行比較。目標設計通常被界定為OPC前遮罩布局,且將以標準化數位檔案格式(諸如,GDSII或OASIS)加以提供。
在典型高端設計中,幾乎每一特徵邊緣均需要某種修改,以便達成充分地接近於目標設計之經印刷圖案。此等修改可包括邊緣位置或線寬之移位或偏置以及「輔助」特徵之應用,「輔助」特徵不意欲印刷其自身,但將影響關聯主要特徵之性質。此外,應用於照明源之最佳化技術可對不同邊緣及特徵具有不同效應。照明源之最佳化可包括使用光瞳以將源照明限於選定光圖案。本發明提供可同時應用於源組態及遮罩組態兩者之最佳化方法。
參看圖3中之高階方塊圖,本發明之某些實施例提供用於遮罩及源之加速及同時最佳化的方法。將初始源320及遮罩322組態(例如,分別對應於以上所描述之光學模型32及遮罩模型30)供應至最佳化模組324。最佳化模組324包含針對每一反覆而計算成本函數及梯度之反覆式最佳化器。在340處,針對每一反覆而評估遮罩及源之成本函數。可接著在342處檢查成本函數之梯度以判定是否已獲得收斂。若梯度為非零,則可認為尚未達成收斂,且可在重複在340處計算新遮罩及源之成本函數及梯度之步驟及測試收斂342之步驟之前於344處計算及應用對源及遮罩之改變。當已達成收斂時,認為最終源326及遮罩328得以最佳化。
可以各種方式來計算及/或執行在344中對源及遮罩之改變,且沒有必要在所有實施例中遵循圖3所示之確切序列。舉例而言,藉由執行未受約束(或受顯著較少約束)最佳化,接著執行完全受約束最佳化步驟,可獲得最佳結果。在未受約束(或受較少約束)最佳化步驟中之相對更多自由度意謂很可能在全域意義上達到最佳解決方案。完全受約束最佳化將接著始於自此解決方案所導出之初始條件。
可在自由型態源及自由型態遮罩之空間中執行未受約束(或受較少約束)最佳化。將自由型態源表示為關於源光瞳平面中之取樣柵格的源強度映像,且允許映像之像素值自由地變化。類似地,自由型態遮罩為關於取樣柵格之遮罩透射映像,其中每一像素值自由地變化。自由型態最佳化准許更快速計算成本函數之梯度,且可選擇某些演算法以加速最佳解決方案之達成。
可經由種晶製程(seeding process)而根據自由型態結果來建構完全受約束最佳化之初始條件。自由型態遮罩結果將用作關於用以插入次解析度輔助特徵(SRAF)之潛在位置的導引。接著將小SRAF晶種置放於此等位置處且允許小SRAF晶種在最佳化期間生長或收縮或移動。遮罩設計之主要特徵連同SRAF晶種亦經共最佳化以達成最好解決方案。類似地,自由型態源結果亦可用以選擇用於最終照明解決方案之候選者,例如,基於繞射光學元件(DOE)之候選者。此等源解決方案候選者亦可與主要及SRAF遮罩特徵同時被最佳化。
返回參看圖3中之步驟340,本發明之某些態樣包括藉由允許直接計算成本函數之梯度而顯著地加快最佳化之收斂。方法包括經選擇以最佳化貫穿製程窗之經印刷晶圓輪廓之線性化函數的使用。成本函數通常係基於純光學模型,因為光微影系統中之光學儀器趨向於判定大部分製程約束。在一實例中,可選擇成本函數以減小貫穿製程窗之設計布局之最差邊緣置放誤差。數學上,可將成本函數F寫為:
其中pw 為製程窗條件清單,且變數e 遍布沿著目標設計布局所置放之評估點集合。
可藉由使用以下概算而將此成本函數變換成更計算有效之形式。
首先,藉由線性化概算來概算EPE,
其中I pw (e) 表示在製程窗條件pw 下之空中影像強度,且I th 表示空中影像輪廓之臨限值。分母∥▽I pw ∥表示空中影像之斜率。
緊接著,藉由L p 範數(norm)來概算最大運算子,
其中p 為正整數。p 之值愈大,則此概算愈好。
藉由將所有者合計,吾人具有此新成本函數,
可見,亦較佳地引入加權因數w(pw,e) 以提供控制最佳化之目標的額外靈活性,此可自諸如評估點位置(例如,線、線端、差階(jog))或相關特徵大小(例如,線寬、空間)或製程窗位置之考慮項加以判定。
熟習此項技術者應認識到如何可自經接收源及遮罩描述(例如,分別對應於遮罩模型30及光學模型32的基於像素之映像)導出遮罩透射M(x) 及源強度S(s) 的許多方式,且因此,此處出於本發明之清晰起見而將省略其細節。本發明人認識到,可將空中強度I 視作遮罩透射M(x) 及源強度S(s) 之函數,且因此,亦可將成本函數F 視作遮罩透射M(x) 及源強度S(s) 之函數。可使用泰勒(Taylor)級數來展開成本函數,且在某些實施例中,可使用第一級項來發現梯度之最底限度(floor)。更特定而言,F 可表達為:
F =F [I (M (x ),S (s ))]=F [M (x ),S (s )]
當相對於MS 來計算F 之梯度或導數時,可使用各種已知演算法中之任一者來最小化此成本函數:
可有效地計算相對於MS 的空中影像強度I 之導數且根據鏈規則(chain rule)來有效地計算F 之導數,且用以計算所有導數之時間之量級係與單一空中影像計算之量級相同。空中影像為來自每一源點之作用的總和,且其相對於源映像之變化為單一作用:
I [M (x ),S (s )]=∫ds S (s )I s [M (x )]
亦可以霍普金(Hopkins)公式化來表達空中影像以作為同調系統之和:
其中「c.c.」表示複數共軛。
在判定空中影像變化後,可如下計算作為空中影像之函數的成本函數自身之變化:
根據可自上文所確定的本發明之態樣,可計算相對於遮罩影像之變化以作為一系列卷積,藉此提供用於顯著地減少計算時間之方式。可計算相對於空中影像自身的成本函數之變化,且可將成本函數之形式寫為:
F =F [I (x )]=∫d x w (x )f (I (x ),▽I (x ))。
在此情況下,變化將為:
因此,可同時獲得相對於源及遮罩兩者的成本函數之變化。在自由型態源及遮罩最佳化中,此等變化變為成本函數之梯度。此後,可應用任何適當的基於梯度之最佳化技術以尋找成本函數之最小值。
以上描述提供成本函數係基於EPE之實例實施例。其他成本函數之實例包括:(1)EPE最小平方函數;(2)EPE最小p-範數函數,其中p為偶數且大於2;(3)逆NILS p-範數函數;(4)作為設計目標的影像斜率與M 之輪廓積分;(5)邊緣影像值最小平方;(6)邊緣影像p-範數(p為偶數且>2);及(7)待最大化的具有F 之ILS p-範數。以下列出七個對應成本函數方程式:
熟習此項技術者在藉由以上描述進行教示之後將充分地理解如何判定所基於之此等及其他成本函數的最佳化梯度。舉例而言,某些標準最佳化技術利用梯度資訊,諸如,最陡下降、共軛梯度或擬牛頓法。
可在各種計算平台中實施以上所描述之梯度計算公式。另外或或者,可使用特定調適之硬體加速平台以進一步改良最佳化速度。舉例而言,可使用可包括特定化數位信號處理器(「DSP」)之平台以處理成本函數且計算梯度。然而,應瞭解,可在可包含並行處理器、數學共處理器及基於DSP之共處理器的其他計算平台上執行計算。
為了提供某些類型之掃描器與SMO解決方案之間的綜效(synergy)以滿足進階低k 1 成像要求且裝備有以上所描述之最佳化演算法,本發明人已開發SMO流程,其可利用完全靈活照明器或不同類型之特殊應用/定製DOE,而非標準或預選定照明設計。
在此方面,圖4說明根據本發明之額外實施例的源及連續透射遮罩共最佳化流程(CTM流程)。如圖4所示,CTM流程之第一步驟係設定用於最佳化之所有輸入參數,包括:模型、DOE類型、偏振、遮罩製造規則檢查(MRC)及製程資訊,等等(502)。舉例而言,在設定中,使用者指定待應用之源約束類型:定製DOE或完全靈活照明器。此將稍後判定將如何轉換及共最佳化未受約束自由型態源。貫穿整個流程使用此等設定參數。接著,如圖4所示,將在使用者指定之PW隅角條件下形成模型(504)。舉例而言,使用者可在此步驟中指定DOF相對於EL取捨。
藉由所有設定參數,步驟506使用(例如)包括以上所描述之成本函數及梯度計算、自由型態源及遮罩最佳化以及輔助特徵最佳化的最佳化模組324之最佳化製程來開始與未受約束自由型態源及連續透射遮罩之共最佳化。在此階段中之唯一約束為具有物理限制的遮罩及源透射之上限及下限。在無約束的情況下,在此階段中之最佳化將在最大可能解決方案空間中搜尋解決方案,且給出最好可能製程窗(PW)及MEF。圖5中分別展示用於DRAM之設計之實例應用的所得源602及遮罩604。然而,自由型態源及連續透射遮罩均不係可製造的。因此,在自由型態源及連續透射遮罩共最佳化之後,出於實用目的,在源側上,其需要被轉換成可製造源(508),諸如,圖6所示之DOE 704或圖6所示之「新」(例如,完全靈活)照明器702。在遮罩側上,遮罩需要被約束至固定透射值(510)。接著,使用掃描器照明器及遮罩製造規則檢查(MRC)約束來共最佳化選定源-遮罩組合。與參數DOE源(由512引起)相比較,「新」照明器接近地類似於自由型態源(由514引起)且預期給出對PW之最小影響(如在516中所分析)。
對於用於DRAM設計之實例應用,圖6分別展示轉換型「新」照明器702及DOE源704。自最佳化連續透射遮罩灰調影像(gray tone image),在下一階段期間提取及最佳化AF晶種。在最終階段中,將以與初始共最佳化結果(512及514)中之成本函數相同的成本函數來最佳化受約束源連同遮罩上之主要特徵及輔助特徵。在此步驟中共最佳化係至關重要的,因為源及遮罩可製造性約束均可顯著地修改原始源拓撲,且執行不保證最佳結果之僅遮罩最佳化。圖7A及圖7B分別展示以DOE源802及「新」照明器806所得之遮罩804及808。
圖8為說明可輔助實施本文所揭示之最佳化方法及流程之電腦系統100的方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之處理器104。電腦系統100亦包括耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令的主記憶體106,諸如,隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106亦可用於在執行待由處理器104執行之指令期間儲存暫時變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令的唯讀記憶體(ROM)108或另一靜態儲存器件。儲存器件110(諸如,磁碟或光碟)經提供且耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102而耦接至用於向電腦使用者顯示資訊之顯示器112,諸如,陰極射線管(CRT)或平板或觸控面板顯示器。輸入器件114(包括文數字及其他鍵)耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制件116,諸如,滑鼠、軌跡球或游標方向鍵。此輸入器件通常具有在兩個軸線(第一軸線(例如,x )及第二軸線(例如,y ))中之兩個自由度,其允許器件在一平面中指定位置。亦可將觸控面板(螢幕)顯示器用作輸入器件。
根據本發明之一實施例,可回應於處理器104執行主記憶體106中所含有之一或多個指令之一或多個序列而藉由電腦系統100來執行最佳化製程之部分。可將該等指令自另一電腦可讀媒體(諸如,儲存器件110)讀取至主記憶體106中。主記憶體106中所含有之指令序列之執行使處理器104執行本文所描述之製程步驟。亦可使用以多處理配置之一或多個處理器以執行主記憶體106中所含有之指令序列。在替代實施例中,可代替或結合軟體指令而使用硬連線電路以實施本發明。因此,本發明之實施例不限於硬體電路與軟體之任何特定組合。
如本文所使用之術語「電腦可讀媒體」指代參與將指令提供至處理器104以用於執行之任何媒體。該媒體可採取許多形式,包括(但不限於)非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如,儲存器件110。揮發性媒體包括動態記憶體,諸如,主記憶體106。傳輸媒體包括同軸電纜、銅導線及光纖,其包括包含匯流排102之導線。傳輸媒體亦可採取聲波或光波之形式,諸如,在射頻(RF)及紅外線(IR)資料通信期間所產生之聲波或光波。普通形式之電腦可讀媒體包括(例如)軟碟、可撓性碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或晶匣、如在下文中所描述之載波,或可供電腦讀取之任何其他媒體。
可在將一或多個指令之一或多個序列載運至處理器104以用於執行時涉及各種形式之電腦可讀媒體。舉例而言,指令可初始地承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線路而發送指令。對於電腦系統100係本端之數據機可接收電話線路上之資料,且使用紅外線傳輸器以將資料轉換成紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中所載運之資料且將資料置放於匯流排102上。匯流排102將資料載運至主記憶體106,處理器104自主記憶體106擷取及執行指令。由主記憶體106所接收之指令可視情況在藉由處理器104執行之前或之後儲存於儲存器件110上。
電腦系統100亦較佳地包括耦接至匯流排102之通信介面118。通信介面118向連接至區域網路122之網路鏈路120提供雙向資料通信耦接。舉例而言,通信介面118可為整合服務數位網路(ISDN)卡或數據機以向對應類型之電話線路提供資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以向相容LAN提供資料通信連接。亦可實施無線鏈路。在任何該實施中,通信介面118發送及接收載運表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路而向其他資料器件提供資料通信。舉例而言,網路鏈路120可經由區域網路122而向主機電腦124或向由網際網路服務提供者(ISP)126所操作之資料設備提供連接。ISP 126又經由全球封包資料通信網路(現通常被稱作「網際網路」128)而提供資料通信服務。區域網路122及網際網路128均使用載運數位資料流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號(其將數位資料載運至電腦系統100及自電腦系統100載運數位資料)為輸送資訊的例示性形式之載波。
電腦系統100可經由該(該等)網路、網路鏈路120及通信介面118而發送訊息及接收資料(包括程式碼)。在網際網路實例中,伺服器130可能經由網際網路128、ISP 126、區域網路122及通信介面118而傳輸用於應用程式之經請求程式碼。根據本發明,一種該經下載應用程式提供(例如)該實施例之照明最佳化。經接收程式碼可在其經接收時藉由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存器中以用於稍後執行。以此方式,電腦系統100可獲得以載波之形式的應用程式碼。
圖9示意性地描繪照明源可利用本發明之製程加以最佳化的例示性微影投影裝置。裝置包含:
-輻射系統Ex、IL,其係用於供應投影輻射光束PB。在此特定情況下,輻射系統亦包含輻射源LA;
-第一載物台(遮罩台)MT,其具備用於固持遮罩MA(例如,主光罩)之遮罩固持器,且連接至用於相對於項目PL而準確地定位遮罩之第一定位構件;
-第二載物台(基板台)WT,其具備用於固持基板W(例如,塗覆抗蝕劑之矽晶圓)之基板固持器,且連接至用於相對於項目PL而準確地定位基板之第二定位構件;
-投影系統(「透鏡」)PL(例如,折射、反射或反射折射光學系統),其係用於將遮罩MA之經照射部分成像至基板W之目標部分C(例如,包含一或多個晶粒)上。
如本文所描繪,裝置為透射類型(亦即,具有透射遮罩)。然而,一般而言,其亦可為(例如)反射類型(具有反射遮罩)。或者,裝置可將另一類別之圖案化構件用作遮罩之使用的替代例;實例包括可程式化鏡面陣列或LCD矩陣。
輻射源LA(例如,汞燈或準分子雷射)產生輻射光束。此光束係直接或在已橫穿諸如(例如)光束擴展器Ex之調節構件之後饋入至照明系統(照明器)IL中。照明器IL可包含調整構件AM以用於設定光束中之強度分布的外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。此外,其將通常包含各種其他組件,諸如,積光器IN及聚光器CO。以此方式,碰撞遮罩MA之光束PB在其橫截面中具有所要均一性及強度分布。
關於圖9應注意,輻射源LA可在微影投影裝置之外殼內(此通常為輻射源LA為(例如)汞燈時之情況),但輻射源LA亦可遠離於微影投影裝置,其所產生之輻射光束經引導至裝置中(例如,藉助於適當引導鏡面);此後者情景通常為輻射源LA為準分子雷射(例如,基於KrF、ArF或F2 雷射作用)時之情況。本發明涵蓋至少兩種此等情景。
光束PB隨後截取遮罩MA,遮罩MA係固持於遮罩台MT上。在橫穿遮罩MA後,光束PB傳遞通過透鏡PL,透鏡PL將光束PB聚焦至基板W之目標部分C上。藉助於第二定位構件(及干涉量測構件IF),基板台WT可準確地移動,例如,以便在光束PB之路徑中定位不同目標部分C。類似地,第一定位構件可用以(例如)在自遮罩庫機械擷取遮罩MA之後或在掃描期間相對於光束PB之路徑來準確地定位遮罩MA。一般而言,將藉助於未在圖9中被明確地描繪之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現載物台MT、WT之移動。然而,在晶圓步進器(與步進及掃描工具相反)之情況下,遮罩台MT可僅連接至短衝程致動器,或可係固定的。
所描繪工具可用於兩種不同模式中:
-在步進模式中,使遮罩台MT保持基本上靜止,且將整個遮罩影像一次性(亦即,單次「閃光」)投影至目標部分C上。接著,使基板台WT在x及/或y方向上移位,使得可藉由光束PB來照射不同目標部分C。
-在掃描模式中,適用基本上相同情景,惟在單次「閃光」中不曝光給定目標部分C除外。實情為,遮罩台MT可以速度v 而在給定方向(所謂的「掃描方向」,例如,y方向)上移動,使得導致投影光束PB遍及遮罩影像進行掃描;同時,基板台WT係以速度V =Mv 而在相同或相反方向上同時移動,其中M 為透鏡PL之放大率(通常,M =1/4或1/5)。以此方式,可在不必損害解析度之情況下曝光相對較大目標部分C。
本文所揭示之概念可模擬或數學上模型化用於成像次波長特徵之任何通用成像系統,且可特別有用於能夠產生具有愈來愈小之大小之波長的新興成像技術。已經在使用中之新興技術包括能夠藉由使用ArF雷射來產生193奈米波長且藉由使用氟雷射來產生甚至157奈米波長之EUV(極紫外線)微影。此外,EUV微影能夠產生在20奈米至5奈米之範圍內的波長,該產生係藉由使用同步加速器,或藉由以高能電子來撞擊材料(固體或電漿),以便產生在此範圍內之光子。因為大多數材料在此範圍內係吸收性的,所以可藉由具有鉬與矽之多堆疊的反射鏡面來產生照明。多堆疊鏡面具有鉬與矽之40層對,其中每一層之厚度為四分之一波長。可藉由X射線微影來產生甚至更小的波長。通常,使用同步加速器以產生X射線波長。因為大多數材料在x射線波長下係吸收性的,所以薄吸收材料片界定特徵將印刷(正抗蝕劑)或不印刷(負抗蝕劑)於何處。
儘管本文所揭示之概念可用於在諸如矽晶圓之基板上之成像,但應理解,所揭示概念可用於任何類型之微影成像系統,例如,用於在除了矽晶圓以外之基板上之成像的微影成像系統。
以上描述意欲係說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離以下所闡明之申請專利範圍之範疇的情況下對如所描述之本發明進行修改。
10...微影投影系統
12...光源
14...特定源成形光學儀器
16a...特定源成形光學儀器
16b...特定源成形光學儀器
16c...投影光學儀器
18...遮罩或主光罩
20...可調整濾光器或孔徑
22...晶圓平面
26...設計布局模組
28...遮罩布局模組
30...遮罩模型模組
32...光學模型模組
34...抗蝕劑模型模組
36...結果模組
100...電腦系統
102...匯流排
104...處理器
106...主記憶體
108...唯讀記憶體(ROM)
110...儲存器件
112...顯示器
114...輸入器件
116...游標控制件
118...通信介面
120...網路鏈路
122...區域網路
124...主機電腦
126...網際網路服務提供者(ISP)
128...網際網路
130...伺服器
320...初始源
322...初始遮罩
324...最佳化模組
326...最佳化源
328...最佳化遮罩
602...源
604...遮罩
702...「新」照明器
704...DOE源
802...DOE源
804...遮罩
806...「新」照明器
808...遮罩
C...目標部分
CO...聚光器
IF...干涉量測構件
IL...輻射系統/照明系統/照明器
IN...積光器
MA...遮罩
MT...第一載物台/遮罩台
W...基板
WT...第二載物台/基板台
圖1為說明典型微影投影系統的例示性方塊圖。
圖2為說明微影模擬模型之功能模組的例示性方塊圖。
圖3為用於本發明之某些態樣中之一般最佳化製程的示意性描繪。
圖4為根據本發明之額外實施例的說明源及連續透射遮罩共最佳化流程(CTM流程)的圖表。
圖5說明用於DRAM之設計之實例應用的所得源及遮罩。
圖6說明根據本發明之一實例應用的轉換型「新」照明器及DOE源。
圖7A及圖7B說明根據本發明之應用的以DOE源及「新」照明器所得之實例遮罩。
圖8為說明可輔助實施本發明之模型方法之電腦系統的方塊圖。
圖9示意性地描繪適合用於本發明之方法的微影投影裝置。
320...初始源
322...初始遮罩
324...最佳化模組
326...最佳化源
328...最佳化遮罩

Claims (15)

  1. 一種以電腦實現用於達到一具有一所欲製程窗(process window)之微影製程之方法,該方法包含:接收一照明源及一遮罩之描述,該遮罩包含一待由該微影製程所成像之目標圖案;及在針對該微影製程之該所欲製程窗而同時最佳化該照明源及該遮罩以前,選擇性地重複以下步驟:形成作為該照明源及該遮罩兩者之一函數的一成本函數;計算該成本函數之一梯度;及取決於該經計算梯度而重新組態該照明源描述及該遮罩描述,其中上述步驟之一或多者係由該電腦所實施。
  2. 如請求項1之方法,其中當該經計算梯度具有為零之一值時最佳化該照明源及該遮罩。
  3. 如請求項2之方法,其進一步包含特性化該照明源以作為獨立源點。
  4. 如請求項2之方法,其進一步包含特性化該遮罩以作為在一空間頻域中之繞射元件。
  5. 如請求項4之方法,其中重新組態該遮罩描述包括:使用光學近接校正;置放次解析度輔助特徵;及重新特性化該經重新組態遮罩描述。
  6. 如請求項1之方法,其中依據遍及一給定製程窗之最差 情況邊緣置放誤差來公式化該成本函數。
  7. 如請求項6之方法,其中將該成本函數F表達為: 其中I pw (e) 表示在製程窗條件pw 下之空中影像強度,且其中I th 表示一空中影像輪廓之一臨限值,且其中分母∥▽I pw ∥表示一空中影像之一斜率,且其中w(pw,x) 為一加權函數,且其中p 為對應於一L p 範數之一正整數。
  8. 一種以電腦執行用於達到具有一所欲製程窗之微影製程的方法,該微影製程使用一照明源及一遮罩,該方法包含:形成作為該照明源及該遮罩兩者之描述之一函數的一成本函數,其中依據在一給定製程窗條件之最差情況邊緣置放誤差來公式化該成本函數;及計算該成本函數之一梯度,以同時針對該所欲製程窗最佳化該照明源及該遮罩兩者,其中上述步驟之一或多者係由該電腦所執行。
  9. 如請求項8之方法,其進一步包含:在該計算步驟之前,形成該成本函數之一計算有效概算。
  10. 如請求項9之方法,其中該成本函數之該計算有效概算包含以在一給定製程窗條件下之空中影像強度為基礎的一線性化概算。
  11. 如請求項8之方法,其中當該成本函數之該經計算梯度 具有為零之一值時最佳化該照明源及該遮罩。
  12. 如請求項11之方法,其進一步包含特性化該照明源以作為獨立源點。
  13. 如請求項11之方法,其進一步包含特性化該遮罩以作為在一空間頻域中之繞射元件。
  14. 一種電腦程式產品,包含一具有記錄於其上之多個指令之非暫時性電腦可讀取媒體,當該等指令由一電腦執行時,該等指令藉由實施以下步驟實現一用於達到一具有一所欲製程窗之微影製程的方法,該等步驟包含:接收一照明源及一遮罩之描述,該遮罩包含一微影圖案;及在針對該微影製程之該所欲製程窗而同時最佳化該照明源及該遮罩以前,選擇性地重複以下步驟:形成作為該照明源及該遮罩兩者之一函數的一成本函數;計算該成本函數之一梯度;及取決於該經計算梯度而重新組態該照明源描述及該遮罩描述。
  15. 如請求項14之電腦程式產品,其中該電腦程式產品執行於一運算平台上,該運算平台包含特定化數位信號處理器,用以處理成本函數且計算梯度。
TW098139861A 2008-11-21 2009-11-23 快速自由型態源及遮罩共最佳化方法 TWI437377B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11678808P 2008-11-21 2008-11-21

Publications (2)

Publication Number Publication Date
TW201027272A TW201027272A (en) 2010-07-16
TWI437377B true TWI437377B (zh) 2014-05-11

Family

ID=42198824

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098139861A TWI437377B (zh) 2008-11-21 2009-11-23 快速自由型態源及遮罩共最佳化方法

Country Status (6)

Country Link
US (5) US8584056B2 (zh)
JP (2) JP5629691B2 (zh)
KR (1) KR101766734B1 (zh)
CN (1) CN102224459B (zh)
TW (1) TWI437377B (zh)
WO (1) WO2010059954A2 (zh)

Families Citing this family (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
US8234603B2 (en) * 2010-07-14 2012-07-31 International Business Machines Corporation Method for fast estimation of lithographic binding patterns in an integrated circuit layout
NL2007303A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Process tuning with polarization.
NL2007306A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Source polarization optimization.
US8495528B2 (en) * 2010-09-27 2013-07-23 International Business Machines Corporation Method for generating a plurality of optimized wavefronts for a multiple exposure lithographic process
JP5686567B2 (ja) * 2010-10-19 2015-03-18 キヤノン株式会社 露光条件及びマスクパターンを決定するプログラム及び方法
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007642A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8324106B2 (en) * 2011-04-04 2012-12-04 GlobalFoundries, Inc. Methods for fabricating a photolithographic mask and for fabricating a semiconductor integrated circuit using such a mask
NL2008966A (en) 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
EP2570854B1 (en) 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
CN102346380B (zh) * 2011-11-09 2013-11-06 北京理工大学 基于归一化最速下降法光刻配置参数的优化方法
CN102346379B (zh) * 2011-11-09 2013-11-06 北京理工大学 一种基于最速下降法光刻配置参数的优化方法
JP5988569B2 (ja) * 2011-12-07 2016-09-07 キヤノン株式会社 決定方法、決定装置およびプログラム
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
NL2010196A (en) * 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
US10133184B2 (en) * 2012-04-25 2018-11-20 Nikon Corporation Using customized lens pupil optimization to enhance lithographic imaging in a source-mask optimization scheme
US9489479B2 (en) * 2012-05-04 2016-11-08 Asml Netherlands B.V. Rule and lithographic process co-optimization
KR101757780B1 (ko) 2012-05-31 2017-07-14 에이에스엠엘 네델란즈 비.브이. 구배-기반 패턴 및 평가 지점 선택
CN102707582B (zh) * 2012-06-18 2013-11-27 北京理工大学 一种基于Abbe矢量成像模型的光源-掩模同步优化方法
WO2014082961A1 (en) 2012-11-27 2014-06-05 Asml Netherlands B.V. Lithographic apparatus, substrate support system, device manufacturing method and control program
JP6140844B2 (ja) 2013-02-22 2017-05-31 エーエスエムエル ネザーランズ ビー.ブイ. 三次元パターニングデバイス用リソグラフィモデル
US10191384B2 (en) 2013-02-25 2019-01-29 Asml Netherlands B.V. Discrete source mask optimization
US9250535B2 (en) * 2013-03-15 2016-02-02 International Business Machines Corporation Source, target and mask optimization by incorporating countour based assessments and integration over process variations
US9857676B2 (en) * 2013-05-27 2018-01-02 International Business Machines Corporation Method and program product for designing source and mask for lithography
JP6192372B2 (ja) * 2013-06-11 2017-09-06 キヤノン株式会社 マスクパターンの作成方法、プログラムおよび情報処理装置
US10339260B2 (en) 2013-09-06 2019-07-02 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly
WO2015049099A1 (en) 2013-10-01 2015-04-09 Asml Netherlands B.V. Profile aware source-mask optimization
CN105705997B (zh) 2013-11-08 2020-01-17 Asml荷兰有限公司 生成用于定向自组装的引导模板的方法
CN105849643B (zh) 2013-12-17 2019-07-19 Asml荷兰有限公司 良品率估计和控制
CN105992975B (zh) 2014-02-11 2018-06-01 Asml荷兰有限公司 用于计算任意图案的随机变化的模型
US10386727B2 (en) 2014-03-18 2019-08-20 Asml Netherlands B.V. Pattern placement error aware optimization
KR102006321B1 (ko) * 2014-04-14 2019-08-01 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정들에 대한 최적화의 흐름들
US9262820B2 (en) * 2014-05-19 2016-02-16 United Microelectronics Corporation Method and apparatus for integrated circuit design
US10191366B2 (en) 2014-06-25 2019-01-29 Asml Netherlands B.V. Etch variation tolerant optimization
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
US10331039B2 (en) 2014-10-02 2019-06-25 Asml Netherlands B.V. Rule-based deployment of assist features
WO2016096309A1 (en) 2014-12-15 2016-06-23 Asml Netherlands B.V. Optimization based on machine learning
US10372043B2 (en) 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
WO2016096333A1 (en) 2014-12-18 2016-06-23 Asml Netherlands B.V. A lithography model for 3d features
KR102404639B1 (ko) 2015-02-02 2022-06-03 삼성전자주식회사 전자 빔 노광 방법 및 그를 포함하는 기판 제조 방법
TWI620980B (zh) 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
US10670973B2 (en) 2015-05-20 2020-06-02 Asml Netherlands B.V. Coloring aware optimization
US11506984B2 (en) 2015-05-29 2022-11-22 Asml Netherlands B.V. Simulation of lithography using multiple-sampling of angular distribution of source radiation
KR102162234B1 (ko) 2015-06-17 2020-10-07 에이에스엠엘 네델란즈 비.브이. 레시피간 일치도에 기초한 레시피 선택
CN105425532B (zh) * 2015-11-20 2020-02-21 中国科学院微电子研究所 光源掩模协同优化方法
US11016397B2 (en) 2015-12-17 2021-05-25 Asml Netherlands B.V. Source separation from metrology data
WO2017114672A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Metrology by reconstruction
US10146124B2 (en) * 2016-02-23 2018-12-04 Xtal, Inc. Full chip lithographic mask generation
WO2017178276A1 (en) 2016-04-14 2017-10-19 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
KR102189871B1 (ko) 2016-05-12 2020-12-14 에이에스엠엘 네델란즈 비.브이. 변위 기반 오버레이 또는 정렬
KR102188014B1 (ko) 2016-05-12 2020-12-08 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의한 결함 또는 핫스폿의 식별
WO2017198422A1 (en) 2016-05-17 2017-11-23 Asml Netherlands B.V. Metrology robustness based on through-wavelength similarity
US10983440B2 (en) 2016-05-23 2021-04-20 Asml Netherlands B.V. Selection of substrate measurement recipes
US11016395B2 (en) 2016-12-28 2021-05-25 Asml Netherlands B.V. Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device
US10310372B1 (en) * 2017-02-27 2019-06-04 Cadence Design Systems, Inc. Full-chip hierarchical inverse lithography
US10262100B2 (en) * 2017-05-24 2019-04-16 Synopsys, Inc. Rule based assist feature placement using skeletons
US20200050099A1 (en) 2017-05-26 2020-02-13 Asml Netherlands B.V. Assist feature placement based on machine learning
KR102488912B1 (ko) 2017-07-12 2023-01-17 에이에스엠엘 네델란즈 비.브이. 결함 예측
KR102550350B1 (ko) 2017-09-08 2023-07-04 에이에스엠엘 네델란즈 비.브이. 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법들
EP3462240A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
JP7438105B2 (ja) 2017-09-27 2024-02-26 エーエスエムエル ネザーランズ ビー.ブイ. デバイス製造方法の制御パラメータを決定する方法、コンピュータプログラム、および、基板にデバイスを製造するためのシステム
US10495967B2 (en) * 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
WO2019072703A1 (en) 2017-10-11 2019-04-18 Asml Netherlands B.V. FLOW OF OPTIMIZATION OF PROCESS OF FORMATION OF REASONS
CN109752918B (zh) * 2017-11-07 2023-04-25 长鑫存储技术有限公司 光刻掩膜优化设计方法及系统
US10657213B2 (en) * 2017-12-22 2020-05-19 D2S, Inc. Modeling of a design in reticle enhancement technology
CN116482939A (zh) 2017-12-22 2023-07-25 Asml荷兰有限公司 涉及光学像差的图案化过程改进
WO2019121486A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
US10990003B2 (en) 2018-02-18 2021-04-27 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
KR102644214B1 (ko) * 2018-02-23 2024-03-07 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
CN111868625B (zh) 2018-03-19 2024-01-23 Asml荷兰有限公司 用于确定图案形成装置的曲线图案的方法
KR102529085B1 (ko) 2018-06-25 2023-05-08 에이에스엠엘 네델란즈 비.브이. 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
WO2020094389A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
EP3650940A1 (en) 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
KR20230167453A (ko) 2018-11-30 2023-12-08 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
WO2020135946A1 (en) 2018-12-28 2020-07-02 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
US11561478B2 (en) 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
KR20210112382A (ko) * 2019-01-30 2021-09-14 센젠 징위엔 인포메이션 테크놀로지 컴퍼니 리미티드 헤시안 프리의 포토 에칭 마스크의 최적화 방법, 장치 및 전자장치
WO2020169355A1 (en) 2019-02-20 2020-08-27 Asml Netherlands B.V. A method for characterizing a manufacturing process of semiconductor devices
WO2020169303A1 (en) 2019-02-21 2020-08-27 Asml Netherlands B.V. Method for training machine learning model to determine optical proximity correction for mask
WO2020173654A1 (en) 2019-02-25 2020-09-03 Asml Netherlands B.V. Method for determining stochastic variation of printed patterns
WO2020187578A1 (en) 2019-03-21 2020-09-24 Asml Netherlands B.V. Training method for machine learning assisted optical proximity error correction
KR20210130784A (ko) 2019-03-25 2021-11-01 에이에스엠엘 네델란즈 비.브이. 패터닝 공정에서 패턴을 결정하는 방법
WO2020212107A1 (en) 2019-04-15 2020-10-22 Asml Netherlands B.V. Method for determining corrections to features of a mask
WO2020216572A1 (en) 2019-04-25 2020-10-29 Asml Netherlands B.V. Method of determining characteristic of patterning process based on defect for reducing hotspot
CN118210204A (zh) 2019-05-21 2024-06-18 Asml荷兰有限公司 用于确定与期望图案相关联的随机变化的方法
EP3822703A1 (en) 2019-11-18 2021-05-19 ASML Netherlands B.V. Method for determining a field-of-view setting
US20230023153A1 (en) 2019-10-08 2023-01-26 Asml Netherlands B.V Method for determining a field-of-view setting
WO2021078460A1 (en) 2019-10-24 2021-04-29 Asml Netherlands B.V. Method for rule-based retargeting of target pattern
WO2021160522A1 (en) 2020-02-12 2021-08-19 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
US20230076218A1 (en) 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
CN111338179B (zh) * 2020-04-17 2021-07-06 中国科学院上海光学精密机械研究所 基于多宽度表征的全芯片光源掩模优化关键图形筛选方法
EP3910418A1 (en) 2020-05-14 2021-11-17 ASML Netherlands B.V. Method for direct decomposition of stochastic contributors
CN115605811A (zh) 2020-05-14 2023-01-13 Asml荷兰有限公司(Nl) 用于预测随机贡献方的方法
TWI838628B (zh) 2020-06-24 2024-04-11 荷蘭商Asml荷蘭公司 用於判定輔助特徵之列印機率之系統、方法和產品及其應用
WO2022064016A1 (en) 2020-09-25 2022-03-31 Asml Netherlands B.V. Optimization of scanner throughput and imaging quality for a patterning process
CN116391157A (zh) 2020-10-23 2023-07-04 Asml荷兰有限公司 用于产生掩模图案的方法
WO2022128500A1 (en) 2020-12-18 2022-06-23 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
WO2022179802A1 (en) 2021-02-23 2022-09-01 Asml Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
US11714951B2 (en) * 2021-05-13 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Geometric mask rule check with favorable and unfavorable zones
CN113495435B (zh) * 2021-05-26 2023-08-08 暨南大学 一种数字掩模投影光刻优化方法及系统
IL311255A (en) 2021-09-15 2024-05-01 Asml Netherlands Bv Separation of sources from metrology data
WO2023110347A1 (en) 2021-12-16 2023-06-22 Asml Netherlands B.V. Systems and methods for optimizing lithographic design variables using image-based failure rate model
TWI801175B (zh) * 2022-03-22 2023-05-01 華邦電子股份有限公司 光源優化裝置及光源優化方法
CN115758699B (zh) * 2022-11-09 2023-06-20 武汉宇微光学软件有限公司 面向全芯片光源掩模优化的关键图形快速筛选方法和装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO1998028665A1 (en) 1996-12-24 1998-07-02 Koninklijke Philips Electronics N.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US5882258A (en) * 1997-09-08 1999-03-16 Rlt Acquisition, Inc. Skill-based card game
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
SG116510A1 (zh) * 2002-11-12 2005-11-28
TWI334511B (en) 2003-03-31 2010-12-11 Asml Masktools Bv Source and mask optimization
US7355673B2 (en) * 2003-06-30 2008-04-08 Asml Masktools B.V. Method, program product and apparatus of simultaneous optimization for NA-Sigma exposure settings and scattering bars OPC using a device layout
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4488727B2 (ja) * 2003-12-17 2010-06-23 株式会社東芝 設計レイアウト作成方法、設計レイアウト作成システム、マスクの製造方法、半導体装置の製造方法、及び設計レイアウト作成プログラム
SG125970A1 (en) 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7245354B2 (en) * 2004-02-03 2007-07-17 Yuri Granik Source optimization for image fidelity and throughput
JP4639120B2 (ja) 2004-07-13 2011-02-23 エーエスエムエル ネザーランズ ビー.ブイ. マスク・パターンの光転送を最適化するための方法、リソグラフィ投影装置、および機械可読媒体
US7552416B2 (en) * 2005-02-28 2009-06-23 Yuri Granik Calculation system for inverse masks
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
KR101330344B1 (ko) * 2005-09-13 2013-11-15 루미네슨트 테크놀로지, 인크. 포토리소그래피용 시스템, 마스크 및 방법
US7836423B2 (en) * 2006-03-08 2010-11-16 Mentor Graphics Corporation Sum of coherent systems (SOCS) approximation based on object information
JP2007305972A (ja) 2006-04-11 2007-11-22 Toshiba Corp 露光条件設定方法及び半導体デバイスの製造方法
US7807323B2 (en) 2006-04-11 2010-10-05 Kabushiki Kaisha Toshiba Exposure condition setting method, semiconductor device manufacturing method, and exposure condition setting program
US20080063948A1 (en) * 2006-09-12 2008-03-13 O'brien Sean Method for achieving compliant sub-resolution assist features
US7739640B2 (en) * 2007-01-12 2010-06-15 International Business Machines Corporation Method and apparatus for static timing analysis in the presence of a coupling event and process variation
US8028252B2 (en) * 2007-09-14 2011-09-27 Luminescent Technologies Inc. Technique for determining mask patterns and write patterns
US8082525B2 (en) * 2008-04-15 2011-12-20 Luminescent Technologies, Inc. Technique for correcting hotspots in mask patterns and write patterns
NL2003143A1 (nl) * 2008-07-07 2010-01-11 Brion Tech Inc Illumination optimization.
CN102224459B (zh) * 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8356261B1 (en) * 2009-07-02 2013-01-15 Asml Netherlands B.V. Determining the gradient and hessian of the image log slope for design rule optimization for accelerating source mask optimization (SMO)
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
KR20110052289A (ko) * 2009-11-12 2011-05-18 삼성전자주식회사 소프트웨어 구조에서 커먼모듈 운용 장치 및 방법

Also Published As

Publication number Publication date
US20110230999A1 (en) 2011-09-22
US10592633B2 (en) 2020-03-17
US8584056B2 (en) 2013-11-12
CN102224459B (zh) 2013-06-19
JP2014135517A (ja) 2014-07-24
US9111062B2 (en) 2015-08-18
TW201027272A (en) 2010-07-16
KR101766734B1 (ko) 2017-08-16
KR20110097800A (ko) 2011-08-31
US20140068530A1 (en) 2014-03-06
WO2010059954A3 (en) 2011-06-23
US11042687B2 (en) 2021-06-22
US9953127B2 (en) 2018-04-24
CN102224459A (zh) 2011-10-19
JP5629691B2 (ja) 2014-11-26
US20180239861A1 (en) 2018-08-23
US20200218850A1 (en) 2020-07-09
JP6055436B2 (ja) 2016-12-27
US20150356234A1 (en) 2015-12-10
WO2010059954A2 (en) 2010-05-27
JP2012510165A (ja) 2012-04-26

Similar Documents

Publication Publication Date Title
TWI437377B (zh) 快速自由型態源及遮罩共最佳化方法
TWI463245B (zh) 用於全晶片之光源及遮罩最佳化的圖案選擇
TW201945829A (zh) 用於圖案化裝置之判定曲線圖案之方法
TWI600977B (zh) 用於三維特徵之微影模型
US11086230B2 (en) Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
TW201939157A (zh) 二值化方法及自由形式光罩最佳化流程
TWI718017B (zh) 繞射圖案導引之源光罩最佳化的方法及裝置
KR101394585B1 (ko) 3d 토포그래픽 웨이퍼들을 위한 리소그래피 모델
US10852646B2 (en) Displacement based overlay or alignment
TWI783185B (zh) 藉由源及遮罩最佳化以建立理想源光譜的方法
TWI778722B (zh) 用於選擇資訊模式以訓練機器學習模型之設備及方法
US11815808B2 (en) Method for high numerical aperture thru-slit source mask optimization
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
WO2024094385A1 (en) Source optimization for mitigating mask error impact
TW202409714A (zh) 用於最佳化微影程序之基於繞射的光瞳判定