KR101757780B1 - 구배-기반 패턴 및 평가 지점 선택 - Google Patents

구배-기반 패턴 및 평가 지점 선택 Download PDF

Info

Publication number
KR101757780B1
KR101757780B1 KR1020147037010A KR20147037010A KR101757780B1 KR 101757780 B1 KR101757780 B1 KR 101757780B1 KR 1020147037010 A KR1020147037010 A KR 1020147037010A KR 20147037010 A KR20147037010 A KR 20147037010A KR 101757780 B1 KR101757780 B1 KR 101757780B1
Authority
KR
South Korea
Prior art keywords
design
evaluation points
patterns
lithographic
design variables
Prior art date
Application number
KR1020147037010A
Other languages
English (en)
Other versions
KR20150024871A (ko
Inventor
샤오펭 리우
라파엘 씨. 하웰
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20150024871A publication Critical patent/KR20150024871A/ko
Application granted granted Critical
Publication of KR101757780B1 publication Critical patent/KR101757780B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

리소그래피 이미징 장치를 이용하여 기판 상으로 디자인 레이아웃의 일부분을 이미징하는 리소그래피 공정 방법이 개시되고, 상기 리소그래피 공정은 복수의 설계 변수들을 가지며, 상기 방법은: 상기 설계 변수들 중 적어도 하나에 대해, 상기 리소그래피 공정의 복수의 평가 지점들 또는 패턴들의 각각의 구배를 계산하는 단계; 및 상기 구배에 기초하여, 상기 복수의 평가 지점들 또는 패턴들로부터 평가 지점들의 서브세트를 선택하는 단계를 포함한다.

Description

구배-기반 패턴 및 평가 지점 선택{GRADIENT-BASED PATTERN AND EVALUATION POINT SELECTION}
본 출원은 2012년 5월 31일에 출원된 미국 가출원 61/653,790의 이익을 주장하며, 이는 본 명세서에서 전문이 인용 참조된다.
본 발명은 리소그래피 장치 및 공정에 관한 것으로, 더 구체적으로는 리소그래피 장치 및 공정에서 사용하기 위한 조명 소스, 마스크/디자인 레이아웃(design layouts), 및 투영 광학기를 동시에 또는 번갈아(alternatively) 최적화하는 툴에 관한 것이다.
리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 대응하는 회로 패턴("디자인 레이아웃")을 포함할 수 있으며, 마스크 상의 회로 패턴을 통해 타겟부를 조사하는 것과 같은 방법들에 의해, 이 회로 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 회로 패턴이 한번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 마스크 상의 회로 패턴이 한 타겟부 상으로 한번에 전사되며; 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 마스크에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게(같은 방향으로 평행하게) 또는 역-평행하게(반대 방향으로 평행하게) 기판이 이동된다. 마스크 상의 회로 패턴의 상이한 부분들은 점진적으로 하나의 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 배율 인자(M)(일반적으로 < 1)를 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 마스크를 스캐닝하는 속력의 인자(M) 배가 될 것이다. 본 명세서에 서술된 바와 같은 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용 참조되는 US 6,046,792로부터 얻을 수 있다.
마스크로부터 기판으로 회로 패턴을 전사하기 전에, 기판은 프라이밍(priming), 레지스트 코팅 및 소프트 베이크(soft bake)과 같은 다양한 과정들을 거칠 수 있다. 노광 후, 기판은 노광후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 과정들을 거칠 수 있다. 이러한 일련의 과정은 디바이스, 예를 들어 IC의 개별 층을 만들기 위한 기초로서 사용된다. 이후, 기판은 에칭, 이온 주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별 층을 마무리하도록 의도된다. 디바이스에 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복되어야 할 것이다. 최후에는, 기판의 각 타겟부에 디바이스가 존재할 것이다. 이후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어에 장착될 수 있고, 핀에 연결될 수 있다.
유의되는 바와 같이, 마이크로리소그래피는 IC의 제조에서 핵심 단계이며, 기판들에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC의 기능성 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이, MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 공정들이 계속 진보함에 따라, 통상적으로 '무어의 법칙(Moore's law)'이라 칭하는 추세를 따라 기능성 요소들의 치수가 계속 감소된 한편, 디바이스당 트랜지스터와 같은 기능성 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 DUV(deep-ultraviolet) 조명 소스로부터의 조명을 이용하여 기판에 디자인 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되며, 100 nm보다 한참 낮은, 즉 조명 소스로부터의 광의 파장의 절반보다 작은 치수를 갖는 개별적인 기능성 요소들을 생성한다.
리소그래피 투영 장치의 통상의 분해능 한계보다 작은 치수를 갖는 피처들이 프린트되는 이러한 공정은, 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 낮은(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 이용되는 방사선의 파장(현재 대부분의 경우에서 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" - 일반적으로, 프린트되는 최소 피처 크기 - 이고, k1은 실험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수와 비슷한 패턴을 웨이퍼 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정 단계들이 디자인 레이아웃뿐만 아니라 리소그래피 투영 장치에도 적용된다. 이들은, 예를 들어 NA 및 광 간섭성 세팅들(optical coherence settings)의 최적화, 맞춤 조명 방식(customized illumination scheme), 위상 시프팅 마스크들의 사용, 디자인 레이아웃에서의 광 근접성 보정(optical proximity correction)(OPC, 때로는 "광학 및 공정 보정"이라고도 칭함), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하나, 이로 제한되지 않는다.
일 예시로서, OPC는 기판에 투영되는 디자인 레이아웃의 이미지의 최종 크기 및 배치가 마스크의 디자인 레이아웃의 크기 및 배치와 동일하지 않거나, 단순히 마스크 상의 디자인 레이아웃의 크기 및 배치에만 의존할 것이라는 사실에 대처한다. "마스크" 및 "레티클"이라는 용어들은 본 명세서에서 상호교환가능하게 이용된다는 것을 유의한다. 또한, 리소그래피 시뮬레이션/최적화에서는 물리적 마스크가 반드시 사용되는 것이 아니라 디자인 레이아웃이 물리적 마스크를 나타내도록 사용될 수 있기 때문에, 당업자라면, 특히 리소그래피 시뮬레이션/최적화와 관련하여 "마스크" 및 "디자인 레이아웃"이라는 용어가 상호교환가능하게 이용될 수 있다는 것을 알 수 있을 것이다. 일부 디자인 레이아웃에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들이 존재하거나 부재하는지에 따라 어느 정도 영향을 받을 것이다. 이 근접 효과들은 하나의 피처에서 다른 피처로 커플링된(coupled) 소량의 광, 및/또는 회절 및 간섭과 같은 비-기하학적 광학 효과들로부터 일어난다. 유사하게, 근접 효과들은 일반적으로 리소그래피에 후속되는 노광후 베이크(PEB), 레지스트 현상, 및 에칭 시 확산 및 다른 화학적 영향들로부터 일어날 수 있다.
디자인 레이아웃의 투영 이미지가 주어진 타겟 회로 설계의 요건들에 따르도록 하기 위해, 정교한 수치 모델들, 디자인 레이아웃의 보정 또는 전치-왜곡(pre-distortions)을 이용하여 근접 효과들이 예측되고 보상되어야 한다. 논문 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design[C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005)]"은 현재 "모델-기반" 광 근접성 보정 공정들의 개요를 제공한다. 전형적인 고성능(high-end) 설계에서는, 타겟 설계에 대해 투영된 이미지의 높은 충실도(fidelity)를 달성하기 위해, 디자인 레이아웃의 대부분의 피처가 약간의 수정을 필요로 한다. 이 수정들은, 다른 피처들의 투영을 돕도록 의도된 '어시스트(assist)' 피처들의 적용뿐만 아니라, 에지 위치 또는 라인 폭들의 시프팅 또는 바이어싱(biasing)을 포함할 수 있다.
타겟 설계에 대한 모델-기반 OPC의 적용은, 칩 설계에 전형적으로 존재하는 수많은 피처들을 감안하면, 상당한 연산 리소스(computational resources) 및 우수한 공정 모델들을 필요로 한다. 하지만, OPC를 적용하는 것은 일반적으로 "정밀 과학"이 아니라, 모든 가능한 근접 효과를 항상 보상하지는 않는 실험적인 반복 공정이다. 그러므로, 마스크의 제조로 형성되는 설계 결함들의 가능성을 최소화하기 위해, OPC의 효과, 예를 들어 OPC 및 여하한의 다른 RET의 적용 후 디자인 레이아웃들이 설계 검사, 즉 캘리브레이션된 수치 공정 모델들을 이용한 집약적인 풀-칩 시뮬레이션(intensive full-chip simulation)에 의해 검증되어야 한다. 이는 고성능 마스크들을 구성하는 막대한 비용 - 이는 수백만 달러 범위에서 운영됨 - 에 의해, 그리고 일단 제조되면 실제 마스크들을 재가공하거나 수리하는데 따른 소요 시간(turn-around time)에 대한 영향에 의해 좌우된다.
OPC 및 풀-칩 RET 검증은 둘 다, 예를 들어 미국 특허 출원 10/815,573 및 "Optimized Hardware and Software For Fast, Full Chip Simulation(Y. Cao 외, Proc. SPIE, Vol.5754, 405, 2005년)"이라는 제목의 논문에 기술되는 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
디자인 레이아웃들 또는 마스크들에 대한 최적화(예를 들어, OPC)뿐 아니라, 전체 리소그래피 충실도를 개선하려는 노력으로 마스크 최적화와 함께, 또는 별도로 조명 소스도 최적화될 수 있다. 1990 년대 이후부터, 환형, 4-극(quadrupole) 및 2-극(dipole)과 같은 많은 오프-액시스(off-axis) 조명 소스들이 도입되었고, OPC 설계에 대해 더 많은 자유를 제공하였으며, 이로 인해 이미징 결과들이 개선되었다. 알려져 있는 바와 같이, 오프-액시스 조명은 마스크에 포함된 미세 구조체들(즉, 타겟 피처들)을 분해하는 증명된 방식이다. 하지만, 종래의 조명 소스와 비교하면, 오프-액시스 조명 소스는 통상적으로 에어리얼 이미지(aerial image: AI)에 대해 더 적은 광 세기를 제공한다. 따라서, 더 미세한 분해능과 감소된 광 세기 간의 최적 밸런스를 달성하도록 조명 소스를 최적화하려는 시도가 필요해진다. "조명 소스" 및 "소스"라는 용어들은 본 명세서에서 상호교환가능하게 사용된다.
무수한 조명 소스 최적화 접근법들은, 예를 들어 Rosenbluth 외의 논문 "Optimum Mask and Source Patterns to Print A Given Shape(Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, 2002)"에서 찾아볼 수 있다. 상기 소스는 수 개의 영역들로 분할되고, 이 각각은 퓨필 스펙트럼의 소정 영역에 대응한다. 이때, 소스 분포는 각 소스 영역에서 균일하다고 가정되며, 각 영역의 밝기(brightness)는 공정 윈도우에 대해 최적화된다. 하지만, 각 소스 영역에서 소스 분포가 균일하다는 이러한 가정이 항상 유효하지는 않으며, 결과로서 이 접근법의 유효성이 불리해진다. Granik의 논문 "Source Optimization for Image Fidelity and Throughput(Journal of Microlithography, Microfabrication, Microsystems 3(4), pp.509-522, 2004)"에서 설명된 또 다른 예시에서, 몇몇 기존 소스 최적화 접근법들의 개요가 제공되고, 소스 최적화 문제를 일련의 비-음수 최소 제곱 최적화(non-negative least square optimization)들로 전환하는 일루미네이터 픽셀들에 기초한 방법이 제안된다. 이 방법들은 몇몇 성공들을 증명하였지만, 이들은 전형적으로 다수의 복잡한 반복들이 수렴될 것을 요구한다. 또한, Granik의 방법에서의 γ와 같은, 소스의 평활도 요건(smoothness requirement)과 웨이퍼 이미지 충실도를 위한 소스의 최적화 간의 트레이드-오프(trade-off)를 좌우하는 몇몇 여분의 파라미터들에 대해 적절한/최적 값들을 결정하는 것이 어려울 수 있다.
낮은 k1 포토리소그래피에 대해, 임계 회로 패턴들의 투영을 위한 실행가능한 공정 윈도우를 보장하는데 소스 및 마스크 둘 모두의 최적화가 매우 유용하다. 몇몇 알고리즘들(Socha 외 다수, Proc. SPIE vol. 5853, 2005, p.180)은 조명을 독립적인 소스 지점들로, 마스크를 공간 주파수 도메인에서 회절 차수들로 이산화하고(discretize), 소스 지점 세기들 및 마스크 회절 차수들로부터 광학 이미징 모델들에 의해 예측될 수 있는 노광 관용도(exposure latitude)와 같은 공정 윈도우 메트릭(process window metric)에 기초하여, (선택된 설계 변수들의 함수로서 정의되는) 비용 함수를 별도로 공식화한다. 본 명세서에 사용되는 바와 같은 "설계 변수들"이라는 용어는 리소그래피 투영 장치의 한 세트의 파라미터들, 예를 들어 리소그래피 투영 장치의 사용자가 조정할 수 있는 파라미터들을 의미한다. 소스, 마스크, 투영 광학기의 특성을 포함한, 리소그래피 투영 장치의 여하한의 특성은 최적화에서 설계 변수들 사이에 있을 수 있음을 이해하여야 한다. 비용 함수는 흔히 설계 변수들의 비-선형 함수이다. 이때, 비용 함수를 최소화하기 위해 표준 최적화 기술들이 사용된다.
비용 함수를 공식화하는 이러한 알고리즘들은, 최적 소스와 마스크 둘 모두의 수렴에 도달하기 전에 다수의 풀-포워드 광학 이미징 모델 시뮬레이션들(full forward optical imaging model simulations)을 필요로 한다. 매질 복잡성(medium complexity)의 클립(clip)(이는 리소그래피 투영 장치의 최적화에 사용될 수 있는 캘리브레이션 피처들을 갖는 디자인 레이아웃의 일부분으로 정의되며, 이후 상세한 설명 부분에서 자세히 설명하기로 함)을 이용하여 리소그래피 투영 장치를 최적화하는 것은, 최신 표준 PC 하드웨어에서 수 주, 또는 심지어 수 개월이 걸릴 수 있으며, 이는 일반적으로 실행 불가능한 것으로 여겨진다.
이와 관련하여, EUV 리소그래피의 지연(delay) 및 더 감소하는 설계 규칙들의 압박(pressure)은, 기존의 193 nm ArF 리소그래피를 이용하는 낮은 k1 리소그래피 시대로 더 깊이 들어가도록 반도체 칩제조업자들을 몰고 갔다. 더 낮은 k1을 지향하는 리소그래피는 RET, 노광 툴, 및 리소-우호적 설계(litho-friendly design)을 상당히 요구한다(heavy demand). 1.35 ArF 하이퍼 개구수(hyper NA) 노광 툴은 향후 2년 내에 칩 제조에 사용되는 노광 툴일 것이다. 작업가능한 공정 윈도우를 이용하여 기판에 회로 설계이 생성될 수 있도록 보장하기 위해; 소스-마스크 최적화(source-mask optimization: SMO)는 2x nm 노드(node)에 요구되는 중요한 RET이 되고 있다.
실행가능한 양의 시간 내에 제약 없이, 비용 함수를 이용하여 소스 및 마스크의 동시 최적화를 허용하는 소스 및 마스크(디자인 레이아웃) 최적화 방법 및 시스템은, "Fast Freeform Source and Mask Co-Optimization Method"이라는 제목으로 WO2010/059954로서 공개되고, 2009년 11월 20일에 출원된 출원인이 동일한(commonly assigned) 국제 특허 출원 번호 PCT/US2009/065359에 기술되어 있으며, 이는 본 명세서에서 전문이 인용 참조된다.
리소그래피 투영 장치들의 하드웨어 및 소프트웨어의 새로운 개발은 장치 내에서 투영 광학기들을 조정가능하게 함으로써 더 많은 유연성을 제공한다. 본 명세서에 사용되는 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처 및 카타디옵트릭 광학기들(catadioptric optics)을 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이러한 설계 타입들들 중 어느 하나에 따라 작동하는 구성요소들을 집합적으로 또는 개별적으로 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로에서 어디에 위치되더라도, 리소그래피 투영 장치의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 광이 마스크를 통과하기 전에 소스로부터 나온 광을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 광이 마스크를 통과한 후에 상기 광을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기들은 일반적으로 소스 및 마스크를 포함하지 않는다.
예를 들어, 투영 광학기의 조정가능한 파라미터["노브(knob)"]들은 더 많은 자유도(degree of freedom)로 [예를 들어, 파면 형상(wavefront shape), 세기 분포 등으로] 광을 성형(shape)할 수 있으며, 및/또는 기존의 SMO 기술들에 의해 제공되는 것보다 넓은 범위의 소스 및 마스크 조건들을 수용하게 한다[즉, 더 큰 공정 윈도우(PW)를 제공하게 한다].
하지만, 이러한 추가 노브들을 최적화하는 것은 매우 높은 연산 비용을 수반한다. 그러므로, 소스 및 마스크와 연계된 노브들과 함께 투영 광학기와 관련된 이러한 노브들의 최적화를 단순화하거나 가속화하는 방법들이 바람직하다.
그러므로, 리소그래피 공정을 특성화하는 연산 효율적이고 종합적인(computationally-efficient comprehensive) 최적화 방법이 요구되며, 이에 기초하여 (투영 광학기 시스템의 세팅을 포함한) 리소그래피 장치의 세팅이 결정되고 마스크가 설계된다.
본 명세서에서는 리소그래피 이미징 장치를 이용하여 기판 상으로 디자인 레이아웃의 일부분을 이미징하는 리소그래피 공정 방법이 개시되고, 상기 리소그래피 공정은 복수의 설계 변수들을 가지며, 상기 방법은: 상기 설계 변수들 중 적어도 하나에 대해, 상기 리소그래피 공정의 복수의 평가 지점들 또는 패턴들의 각각의 구배(gradient)를 계산하는 단계; 및 상기 구배에 기초하여, 상기 복수의 평가 지점들 또는 패턴들로부터 평가 지점들의 서브세트를 선택하는 단계를 포함한다.
도 1은 리소그래피 시스템의 다양한 서브시스템들의 블록도;
도 2는 도 1의 서브시스템들에 대응하는 시뮬레이션 모델들의 블록도;
도 3은 일 실시예에 따른 결합 최적화(joint optimization)의 예시적인 방법의 실시형태들을 예시하는 흐름도;
도 4a는 일 실시예에 따른 대안적인 최적화 방법의 일 실시예를 도시하는 도면;
도 4b 내지 도 4d는 패턴 선택 또는 게이지 선택(gauge selection)이 최적화 공정에 통합된 SMLO 방법의 다양한 실시예들을 도시하는 도면들;
도 5 내지 도 7은 수 개의 실시예들에 따른 다양한 최적화 공정들의 예시적인 흐름도들;
도 8은 일 실시예의 방법에 따른 흐름도;
도 9는 도 8의 일 단계의 상세도;
도 10은 일 예시에서의 수 개의 평가 지점들의 상관관계(correlations)를 도시하는 도면;
도 11은 실제 공간에서의 근접성에 기초한 평가 지점들의 예시적인 선택을 도시하는 도면;
도 12는 일 실시예가 구현될 수 있는 예시적인 컴퓨터 시스템의 블록도; 및
도 13은 일 실시예가 적용가능한 리소그래피 투영 장치의 개략도이다.
본 명세서에 설명되는 바와 같은 실시예들은, 장치 내에서 투영 광학기를 개선 또는 최적화하는 것을 포함하고, 바람직하게는 소스, 마스크 및 투영 광학기를 동시에 또는 번갈아 개선 또는 최적화할 수 있는 능력을 포함하는 리소그래피 투영 장치를 개선 또는 최적화하는 방법들을 제공한다. 투영 광학기는 때때로 "렌즈"라고 칭해지며, 따라서 결합 최적화 공정은 소스 마스크 렌즈 최적화(SMLO)라고 칭해질 수 있다. SMLO 공정을 설명하는데 사용되는 또 다른 용어는, 몇몇 실시예들에서 투영 광학기의 퓨필 평면에 대해 렌즈 최적화가 행해질 때의 소스 마스크 퓨필 최적화(SMPO)이다. 하지만, 본 발명의 범위는 퓨필 평면에서의 최적화로만 제한되지 않는다. 부분적으로, 최적화에서 투영 광학기를 포함하는 것은 투영 광학기의 복수의 조정가능한 특성을 도입함으로써 더 큰 공정 윈도우를 유도할 수 있기 때문에, SMLO는 기존의 소스 마스크 최적화(SMO) 공정들을 능가함에 따라 바람직하다. 투영 광학기는 리소그래피 투영 장치에서 파면을 성형하는데 사용될 수 있다. 본 명세서의 실시예들에 따르면, 최적화가 가속될 수 있다. 투영 광학기, 소스 및 마스크의 조정가능한 특성들이 일 실시예들의 설명에 사용되더라도, 도즈(dose) 및 포커스와 같은 리소그래피 투영 장치의 다른 조정가능한 특성들이 최적화에서 조정될 수 있다.
본 명세서의 일 실시예는 소스, 투영 광학기, 및 마스크의 특성일 수 있는 설계 변수들의 적합한 비용 함수를 최소화함으로써 리소그래피 투영 장치를 개선 또는 최적화한다. 비용 함수의 비-제한적인 예시들이 주어진다. 또한, 다른 형식의 비용 함수가 가능하며, 광범위하고 다양한 리소그래피 메트릭(lithography metric)을 수용할 수 있다. 비용 함수는 설계 변수들의 선형 또는 비-선형 함수일 수 있다.
동시 SMLO 및 교번(alternative) SMLO의 방법들이 개시되었다. SMLO 공정은 SMLO를 다양한 패턴 선택 방법들과 조합함으로써 가속될 수 있다.
본 명세서에서는, IC의 제조에 있어서 본 발명의 특정 사용예에 대하여 언급되지만, 본 발명은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조에 이용될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "마스크", "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어와 상호교환가능한 것으로 간주되어야 한다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는데 사용된다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는, 리소그래피의 공정들 및/또는 결과들이 기판 상으로의 디자인 레이아웃의 투영의 더 높은 정확성, 더 큰 공정 윈도우 등과 같은 더 바람직한 특성을 갖도록 리소그래피 투영 장치를 조정하는 것을 의미한다.
또한, 리소그래피 투영 장치는 2 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가 테이블들이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다. 트윈 스테이지 리소그래피 투영 장치들은 예를 들어 US 5,969,441에 개시되며, 본 명세서에 인용 참조된다.
앞서 언급된 마스크는 디자인 레이아웃들을 포함한다. 디자인 레이아웃들은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 공정은 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/마스크들을 생성하기 위해 한 세트의 사전설정된 설계 규칙들을 따른다. 이러한 규칙들은 처리 및 설계 제한들에 의해 설정된다. 예를 들어, 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, 설계 규칙들은 (게이트, 캐패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이에 간격 공차(space tolerance)를 정의한다. 설계 규칙 제한들은 통상적으로 "임계 치수(CD)"라고도 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 설계된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목적들 중 하나는 원래 회로 설계를 (마스크를 통해) 기판에 충실하게 재현하는 것이다.
본 명세서에서 채택된 바와 같은 마스크라는 용어는 기판의 타겟부에 생성될 패턴에 대응하는 패터닝된 단면을 입사하는 방사선 빔에 부여하는데 사용될 수 있는 일반적인 패터닝 수단을 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 본 명세서에서 사용될 수도 있다. 전형적인 마스크[투과 또는 반사; 바이너리(binary), 위상-시프팅(phase-shifting), 하이브리드(hybrid) 등] 이외에, 이러한 다른 패터닝 수단의 예시로는 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시로는 점탄성 제어 층(viscoelastic control layer) 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이 있다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들에서는 입사광을 회절광(diffracted light)으로서 반사시키는 반면, 어드레스되지 않은 영역들에서는 입사광을 비회절광으로서 반사시키는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 광만을 필터링하여 회절광만을 남게 할 수 있다; 이러한 방식으로, 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 요구되는 매트릭스 어드레싱은 적합한 전자 수단을 이용하여 수행될 수 있다. 이러한 거울 어레이들에 관한 더 많은 정보는, 예를 들어 미국 특허 5,296,891 및 US 5,523,193으로부터 얻을 수 있으며, 이는 본 명세서에서 인용 참조된다.
- 프로그램가능한 LCD 어레이. 이러한 구성의 일 예시는 미국 특허 5,229,872에 개시되어 있으며, 이는 본 명세서에서 인용 참조된다.
간명한 도입으로서, 도 1은 예시적인 리소그래피 장치(10)를 나타낸다. 주요 구성요소들은 DUV(deep-ultraviolet) 엑시머 레이저 소스, 또는 극자외(EUV) 소스를 포함하는 다른 타입의 소스들일 수 있는 조명 소스(12); 부분 간섭(partial coherence)(시그마로서 나타냄)을 정의하고, 상기 소스(12)로부터 광을 성형하는 광학기들(14, 16a 및 16b)을 포함할 수 있는 조명 광학기; 마스크 또는 레티클(18); 및 기판 평면(22)에 레티클 패턴의 이미지를 투영하는 투과 광학기(16c)이다. 투영 광학기의 퓨필 평면에서 조정가능한 필터 또는 어퍼처(20)는 기판 평면(22)에 입사되는(impinge) 빔 각도의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수를 정의한다[NA = sin(Θmax)].
시스템의 최적화 공정에서, 상기 시스템의 성능 지수(figure of merit)는 비용 함수로 나타내어질 수 있다. 최적화 공정은, 요약하면, 비용 함수를 최소화하는 시스템의 한 세트의 파라미터들(설계 변수들)을 찾는 공정이다. 비용 함수는 최적화 목적에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 특성들의 의도된 값들(예를 들어, 이상적인 값들)에 대한 시스템의 소정 특성들(평가 지점들)의 편차들의 가중평균제곱근(weighted root mean square: RMS)일 수 있으며; 또한, 비용 함수는 이러한 편차들의 최대치일 수 있다. 본 명세서에서 "평가 지점들"이라는 용어는 상기 시스템의 여하한의 특성들을 포함하는 것으로 폭넓게 해석되어야 한다. 상기 시스템의 설계 변수들은 시스템의 구현들의 실용성(practicality)들로 인해 상호의존적이며 및/또는 유한 범위들로 한정될 수 있다. 리소그래피 투영 장치의 경우, 제약들은 흔히 조절가능한 범위 및/또는 마스크 제조성 설계 규칙(mask manufacturability design rules)과 같은 하드웨어의 물리적 속성들 및 특성들과 연계되며, 평가 지점들은 본 명세서에서 폭넓게 정의되는 바와 같이, 도즈 및 포커스와 같은 비-물리적 특성들뿐만 아니라, 기판 상의 레지스트 이미지 상의 물리적 지점들, 또는 리소그래피 산업에서 통상적으로 이해되는 바와 같은 디자인 레이아웃에서의 패턴들 상의 지점들을 포함할 수 있다. 예를 들어, 이러한 물리적 지점들은 디자인 레이아웃 또는 레지스트 이미지의 패턴들의 에지 상의, 또는 이의 내부 또는 외부의 지점들일 수 있다.
리소그래피 투영 장치에서, 소스는 조명(즉, 광)을 제공하며; 투영 광학기는 마스크를 통해 기판 상으로 상기 조명을 지향시키고 성형한다. 본 명세서에서, "투영 광학기"라는 용어는 방사선 빔의 파면을 변화시킬 수 있는 여하한의 광학 구성요소를 포함하도록 폭넓게 정의된다. 예를 들어, 투영 광학기는 구성요소들(14, 16a, 16b 및 16c) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판에서의 광 세기 분포이다. 기판의 레지스트 층이 노광되며, 에어리얼 이미지는 잠상(latent) "레지스트 이미지(RI)"로서 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층의 레지스트의 용해도의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 출원인이 동일한 미국 특허 출원 일련번호 12/315,849에서 찾을 수 있으며, 이 내용은 본 명세서에서 전문이 인용 참조된다. 레지스트 모델은 오직 레지스트 층의 속성들(예를 들어, 노광, PEB 및 현상 동안 일어나는 화학 처리들의 효과들)과만 관계된다. 리소그래피 투영 장치의 광학 속성들(예를 들어, 소스, 마스크 및 투영 광학기의 속성들)은 에어리얼 이미지를 좌우한다. 리소그래피 투영 장치에 사용되는 마스크가 변화될 수 있기 때문에, 적어도 소스 및 투영 광학기를 포함하는 리소그래피 투영 장치의 나머지 부분의 광학 속성들과 마스크의 광학 속성들을 분리시키는 것이 바람직하다.
리소그래피 투영 장치에서 리소그래피를 시뮬레이션하는 예시적인 흐름도가 도 2에 도시된다. 소스 모델(31)은 (광 세기 분포 및/또는 위상 분포를 포함하는) 소스의 광학 특성들을 나타낸다. 투영 광학기 모델(32)은 (투영 광학기에 의해 유도된 위상 분포 및/또는 광 세기 분포에 대한 변화들을 포함하는) 투영 광학기의 광학 특성들을 나타낸다. 본 명세서에서 이후에 설명되는 바와 같이, 소스 모델(31) 및 투영 광학기 모델(32)은 투과 교차 계수(transmission cross coefficient: TCC) 모델(34)로 조합될 수 있다. 디자인 레이아웃 모델(35)은 마스크 상의 피처들의 배치를 나타내는 [주어진 디자인 레이아웃(33)에 의해 유도된 위상 분포 및/또는 광 세기 분포에 대한 변화들을 포함하는] 디자인 레이아웃(33)의 광학 특성들을 나타낸다. 에어리얼 이미지(36)는 투과 교차 계수(34) 및 디자인 레이아웃 모델(35)로부터 시뮬레이션될 수 있다. 레지스트 이미지(37)는 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지의 윤곽(contours) 및 CD를 예측할 수 있다.
더 구체적으로, 소스 모델(31)은, 제한하는 것은 아니지만, NA-시그마(σ) 세팅들, 그리고 여하한의 특정 조명 소스 형상(예를 들어, 환형, 4-극, 및 2-극 등과 같은 오프-액시스 광원들)을 포함하는 소스의 광학 특성들을 나타낼 수 있음을 유의한다. 투영 광학기 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수 등을 포함하는 투영 광학기의 광학 특성들을 나타낼 수 있다. 또한, 디자인 레이아웃 모델(35)은, 예를 들어 본 명세서에서 전문이 인용 참조되는 미국 특허 7,587,704에 기술된 바와 같은 물리적 마스크의 물리적 속성들을 나타낼 수 있다. 시뮬레이션의 목적은, 이후 의도된 설계과 비교될 수 있는, 예를 들어 에지 배치 및 CD를 정확히 예측하는 것에 있다. 의도된 설계은 일반적으로 전(pre)-OPC 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있다.
이 디자인 레이아웃으로부터, 1 이상의 부분들이 식별될 수 있으며, 이들은 클립(clip)"이라고 칭해진다. 특정 실시예에서, 디자인 레이아웃 내의 복잡한 패턴들을 나타내는 한 세트의 클립들이 추출된다(통상적으로, 50 내지 1000 개의 클립들; 하지만 여하한의 수의 클립들이 사용될 수 있음). 당업자라면 이해할 수 있는 바와 같이, 이러한 패턴들 또는 클립들은 설계의 작은 부분들(즉, 회로, 셀 또는 패턴들)을 나타내며, 특히 클립들은 각별한 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다. 다시 말해, 클립들은 디자인 레이아웃의 일부분들일 수 있거나, 디자인 레이아웃의 일부분들의 유사한 동작을 가질 수 있거나 유사할 수 있으며, 임계 피처들은 경험에 의해(고객에 의해 제공되는 클립들을 포함함), 시행착오에 의해, 또는 풀-칩 시뮬레이션을 실행시키는 것 중 어느 하나에 의해 식별된다. 클립들은 통상적으로 1 이상의 테스트 패턴 또는 게이지 패턴들을 포함한다.
특정 이미지 최적화를 필요로 하는 디자인 레이아웃 내의 알려진 임계 피처 영역들에 기초하여, 초기 더 큰 세트의 클립들이 선험적으로 고객에 의해 제공될 수 있다. 대안적으로, 또 다른 실시예에서, [머신 비전(machine vision)과 같이] 몇몇 종류의 자동화된 또는 임계 피처 영역들을 식별하는 수동 알고리즘을 이용함으로써, 전체 디자인 레이아웃으로부터 초기 더 큰 세트의 클립들이 추출될 수 있다.
전체 연산량(computation burden)을 감소시키기 위해, 다양한 최적화 방식들이 고려될 수 있다. 일 실시예에서는, 먼저 회절 시그너처 분석(diffraction signature analysis) 또는 여하한의 다른 방법에 기초한 패턴 선택 방법에 의해 한 세트의 클립들이 선택되고, 이후 SMLO 공정이 수행된다. 대안적으로, 먼저 풀-칩 시뮬레이션이 수행되고, 상기 풀-칩 시뮬레이션으로부터 '핫 스폿(hot spots)' 및/또는 '웜 스폿(warm spots)'이 식별되며, 이후 패턴 선택 단계가 수행된다. 최적화는 선택된 패턴들에 기초하여 행해진다. (회절 시그너처 분석 또는 다른 방법들에 기초한) 패턴 선택 알고리즘은 SMLO 공정과 매끄럽게(seamlessly) 통합될 수 있다. 이러한 예시적인 방법들은 도 4b 내지 도 4d에 대해 자세히 설명된다.
리소그래피 투영 장치에서, 일 예시로서 비용 함수는 다음과 같이 표현될 수 있으며:
Figure 112014127991480-pct00001
(수학식 1)
여기서, (z 1,z 2,...,z N )은 N 개의 설계 변수들 또는 이의 값들이고; f p (z 1,z 2,...,z N )는 (z 1,z 2,...,z N )의 설계 변수들의 한 세트의 값들에 대한 p-번째 평가 지점[또는 상호교환가능하게 "비용 항(cost term)"]의 실제 값과 의도된 값 간의 차이이다. w p p-번째 평가 지점에 할당된 가중 상수(weight constant)이다. 다른 것들보다 임계적인 평가 지점 또는 패턴에 더 높은 w p 값이 할당될 수 있다. 또한, 발생 수가 더 큰 패턴들 및/또는 평가 지점들에도 더 높은 w p 값이 할당될 수 있다. 평가 지점들의 예시들은 웨이퍼 상의 물리적 지점 또는 패턴, 또는 에어리얼 이미지 또는 레지스트 이미지 또는 가상 디자인 레이아웃 상의 여하한의 지점일 수 있다. 비용 함수는 기판 또는 리소그래피 투영 장치의 여하한의 적합한 특성들, 예를 들어 포커스, CD, 이미지 시프팅, 이미지 왜곡, 이미지 회전 등을 나타낼 수 있다. 이는, 흔히 기판의 회로 패턴을 좌우하는 것은 레지스트 이미지이기 때문에, 비용 함수는 흔히 레지스트 이미지의 몇몇 특성들을 나타내는 함수들을 포함한다. 예를 들어, f p (z 1,z 2,...,z N )는 단순히 레지스트 이미지의 일 지점과 그 지점의 의도된 위치 간의 거리[즉, 에지 배치 오차 EPE p (z 1,z 2,...,z N )]일 수 있다. 설계 변수들은 소스, 마스크, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터들과 같은 여하한의 조정가능한 파라미터들일 수 있다. 바람직하게, 설계 변수들 중 적어도 몇몇은 투영 광학기의 조정가능한 특성들이다. 투영 광학기는 파면의 형상 및 세기 분포, 및/또는 조사 빔(irradiation beam)의 위상 시프트를 조정하는데 사용될 수 있는 집합적으로 "파면 조작기(wavefront manipulator)"로서 칭해지는 구성요소들을 포함할 수 있다. 바람직하게, 투영 광학기는 마스크 이전, 퓨필 평면 부근, 이미지 평면 부근, 초점 평면 부근과 같이, 리소그래피 투영 장치의 광학 경로를 따른 여하한의 지점에서 파면 및 세기 분포를 조정할 수 있다. 투영 광학기는, 예를 들어 소스, 마스크, 리소그래피 투영 장치의 온도 변동, 리소그래피 투영 장치의 구성요소들의 열 팽창에 의해 유도된 파면 및 세기 분포의 특정 왜곡을 보정하거나 보상하기 위해 사용될 수 있다. 파면 및 세기 분포를 조정하면, 비용 함수 및 평가 지점들의 값들을 변화시킬 수 있다. 이러한 변화들은 모델로부터 시뮬레이션될 수 있거나 실제로 측정될 수 있다.
f p (z 1,z 2,...,z N )의 정규 가중(normal weighted) 제곱평균제곱근(RMS)은
Figure 112014127991480-pct00002
으로서 정의되며, 따라서 f p (z 1,z 2,...,z N )의 가중 RMS를 최소화하는 것은 수학식 1에 정의된 비용 함수
Figure 112014127991480-pct00003
를 최소화하는 것과 같다. 따라서, 수학식 1 및 f p (z 1,z 2,...,z N )의 가중 RMS는 표기의 간명함을 위해 본 명세서에서 상호교환가능하게 이용될 수 있다.
또한, PW(공정 윈도우)를 최대화한다고 가정하면, 상이한 PW 조건들로부터의 동일한 물리적 위치를 (수학식 1)의 비용 함수에서의 상이한 평가 지점들로서 고려할 수 있다. 예를 들어, N 개의 PW 조건들을 고려하면, 그들의 PW 조건들에 따라 평가 지점들을 분류할 수 있으며, 비용 함수들은 다음과 같이 쓸 수 있다:
Figure 112014127991480-pct00004
(수학식 1')
여기서,
Figure 112014127991480-pct00005
은 u-번째 PW 조건(u=1,…,U) 하에서 (z 1,z 2,...,z N )의 설계 변수들의 한 세트의 값들에 대한 p i -번째 평가 지점의 실제 값과 의도된 값 간의 차이이다. 이 차이가 EPE인 경우, 상기 비용 함수를 최소화하는 것은, 다양한 PW 조건들 하의 에지 시프트를 최소화하는 것과 같으며, 따라서 PW를 최대화하는 것을 유도한다. 특히, PW가 상이한 마스크 바이어스로 구성된 경우, 상기 비용 함수를 최소화하는 것은 MEEF(Mask Error Enhancement Factor)의 최소화를 포함하며, 이는 웨이퍼 EPE와 유도된 마스크 에지 바이어스 간의 비율(ratio)로서 정의된다.
설계 변수들은 제약들을 가질 수 있으며, 이는 (z 1,z 2,...,z N ) ∈ Z로서 표현될 수 있으며, 여기서 Z는 설계 변수들의 한 세트의 가능한 값들이다.
그러므로, 최적화 공정은 제약들 (z 1,z 2,...,z N ) ∈ Z 하에서 비용 함수를 최소화하는 설계 변수들의 한 세트의 값들, 즉 다음을 찾는 것이다:
Figure 112014127991480-pct00006
(수학식 2)
일 실시예에 따른 리소그래피 투영 장치를 최적화하는 일반적인 방법이 도 3에 예시된다. 이 방법은 복수의 설계 변수들의 다-변수 비용 함수를 정의하는 단계 302를 포함한다. 설계 변수들의 적어도 일부는 단계 300B에 나타낸 바와 같이 투영 광학기의 특성들이다. 다른 설계 변수들이 조명 소스(단계 300A) 및 디자인 레이아웃(단계 300C)과 연계될 수 있다. 단계 304에서는, 비용 함수가 수렴에 가까워지도록 설계 변수들이 동시에 조정된다. 단계 306에서는, 사전정의된 종료 조건을 만족하는지가 결정된다. 사전설정된 종료 조건은 다양한 가능성들을 포함할 수 있으며, 다시 말해 사용되는 수치 기술에 의해 요구되는 바와 같이 비용 함수가 최소화 또는 최대화될 수 있고, 비용 함수의 값은 임계값과 같게 되거나 또는 임계값을 넘어서게(cross) 되며, 비용 함수의 값은 사전설정된 오차 한계에 도달하거나, 또는 사전설정된 수의 반복들에 도달한다. 단계 306의 조건들 중 어느 하나가 만족되면, 상기 방법이 종료된다. 단계 306의 조건들 중 어느 것도 만족되지 않으면, 원하는 결과가 얻어질 때까지, 단계 304 및 단계 306이 반복적으로 되풀이된다.
리소그래피 투영 장치에서는, 실시예들에 따라 소스, 마스크 및 투영 광학기가 번갈아 최적화되거나(교번 최적화라고 칭해짐), 동시에 최적화될 수 있다(동시 최적화라고 칭해짐). 본 명세서에서 사용되는 바와 같은 "동시", "동시에", "결합" 및 결합하여"라는 용어는, 소스, 마스크, 투영 광학기의 특성들의 설계 변수들 및/또는 여타의 설계 변수들이 동시에 변화될 수 있음을 의미한다. 본 명세서에 사용되는 바와 같은 "교번" 및 "번갈아"라는 용어는, 모든 설계 변수들이 동시에 변화되지 않음을 의미한다.
도 3에서, 모든 설계 변수들의 최적화는 동시에 실행된다. 이러한 플로우를 동시 플로우 또는 공동-최적화 플로우라 한다. 대안적으로, 모든 설계 변수들의 최적화는 도 4a에 예시된 바와 같이 번갈아 수행된다. 이러한 플로우에서는, 각각의 단계에서 몇몇 설계 변수들이 고정되는 동안, 비용 함수를 최소화하도록 다른 설계 변수들이 최적화되며; 다음 단계에서 상이한 세트의 변수들이 고정되는 동안, 비용 함수를 최소화하도록 다른 변수들이 최적화된다. 수렴 또는 정해진 종료 조건들이 충족될 때까지, 이러한 단계들은 번갈아 실행된다. 도 4a의 비-제한적인 예시 흐름도에 나타낸 바와 같이, 먼저 디자인 레이아웃을 얻고(단계 402), 이후 단계 404에서 SMO(Source-Mask-Optimization)의 단계를 실행하며, 이때 투영 광학기로부터의 모든 설계 변수들이 고정되는 동안, 비용 함수를 최소화도록 (조명 소스 및 마스크 디자인 레이아웃으로부터의) 다른 모든 설계 변수들이 최적화된다. 이후, 다음 단계 406에서 LO(렌즈 최적화)가 수행되며, 조명 소스 및 마스크 디자인 레이아웃으로부터의 모든 설계 변수들이 고정되는 동안, 비용 함수를 최소화하도록 투영 광학기로부터의 설계 변수들이 최적화된다. 단계 408에서는 정해진 종료 조건들이 충족될 때까지, 이러한 두 단계들이 번갈아 실행될 수 있다. 비용 함수의 값이 임계 값과 같게 되거나, 비용 함수의 값이 임계 값을 넘어서거나, 비용 함수의 값이 사전설정된 오차 한계에 도달하거나, 또는 사전설정된 수의 반복들에 도달하는 것 등과 같이, 다양한 종료 조건들이 사용될 수 있다. 교번 플로우에 대한 일 예시로서 SMO-LO-교번-최적화를 사용한 것에 유의한다. 교번 플로우는 SO-LO-MO-교번-최적화와 같이 다수의 상이한 형태들을 취할 수 있으며, 이때 SO(소스 최적화), LO(렌즈 최적화) 및 MO(마스크 최적화)를 번갈아 또한 반복적으로 실행하거나; 또는 먼저 SMO를 한번 실행한 다음, LO 및 MO를 번갈아 또한 반복적으로 실행할 수 있다. 마지막으로, 단계 410에서 최적화 결과의 출력이 얻어지며, 상기 공정이 중지된다.
또한, 당업자라면, 이전에 설명된 바와 같은 패턴 선택 알고리즘이 동시 또는 교번 SMLO와 통합될 수 있음을 이해할 것이다. 예를 들어, 교번 SMLO가 택해지는 경우, 먼저 풀-칩 SMO가 수행될 수 있고, '핫 스폿' 및/또는 '웜 스폿'들이 식별되며, 이후 LO 또는 SLO(소스-렌즈 최적화)가 수행된다. 당업자라면, 본 명세서의 관점에서, 원하는 최적화 결과들을 달성하기 위해 서브-최적화들의 다수의 순열(permutation) 및 조합이 가능함을 이해할 것이다.
도 4b의 흐름도에 도시된 일 예시적인 실시예에서는, 최적화 공정을 더욱 가속시키기 위해 패턴 선택이 SMLO로 통합되며, 이는 풀-칩(또는 전체 디자인 레이아웃) 최적화에 특히 유용하다. 너무 많은 평가 지점들 및/또는 너무 많은 테스트 패턴들(또한, "게이지" 또는 "게이지 패턴들"이라고도 알려짐)을 갖는 너무 많은 클립들이 존재하는 경우, 최적화가 너무 느릴 수 있거나 너무 많은 저장을 필요로 할 수 있다. 따라서, 일 실시예에서는 다수의 게이지 패턴들을 갖는 큰 디자인 레이아웃에 대한 연산 비용을 감소시키기 위해, 모든 게이지 패턴들에 패턴 선택을 적용시킨다. 예를 들어, 단계 420에서는 (예를 들어, 회절 특성들에 기초하여) 몇몇 중요한 클립들을 식별할 수 있고, 이후 단계 422에서는 이러한 클립들 내의 모든 평가 지점들에 대해 SMLO를 수행할 수 있으며, 이후 단계 424에서는 SMLO 결과들로부터 조명 및 투영 광학기 함수들을 고정하고, 전체 디자인 레이아웃에 대한 마스크 최적화를 수행할 수 있다. 단계 426에서, 이 공정은 종료 조건들이 만족되는 경우에 종료된다.
대안적으로, 핫 스폿들 또는 웜 스폿들, 즉 결함들 또는 거의 결함들인 패턴들을 통해 게이지들을 식별할 수 있다. 이러한 대안적인 플로우가 도 4c에 도시되어 있다. 특히, 먼저 적어도 투영 광학기 특성들만을 고정시키는 MO 또는 풀-칩 SMO를 실행시키고(단계 430), 단계 432에서 핫 스폿들 및 웜 스폿들을 식별할 수 있다. 단계 434에서 결정된 바와 같이 공정 윈도우가 충분히 양호하지 않은 경우, 단계 436에서 핫 스폿들 및 웜 스폿들은 게이지들로 변환된다. 단계 438에서는, 게이지들의 수를 줄이고 선택된 게이지들을 게이지 풀(gauge pool)에 추가하기 위해 게이지 선택 또는 패턴 선택 방법이 수행된다. 단계 440에서는, 선택된 게이지 풀에서 SMLO가 실행된다. 필요하다면, 이 공정은 되풀이될 수 있다. 도 4a 및 4b의 이러한 2 개의 교번 플로우들은 다른 수정들과 조합하여 적용될 수 있음을 유의한다. 예를 들어, 먼저 도 4a의 제 1 플로우를 적용하고, 이후 결과적인 핫 스폿들 및 웜 스폿들을 식별하며, 이후 도 4b의 제 2 플로우를 적용할 수 있다.
도 4d의 흐름도와 연계하여, 일 실시예에 따른 예시적인 SMLO 방법이 설명될 것이다. 이와 유사한 방법은 2010년 10월 28일에 출원된 출원인이 동일한 미국 특허 출원 일련번호 12/914,946에서 찾을 수 있으며, 이는 전문이 본 명세서에서 인용 참조된다. '946 출원과 본 출원 간의 차이는, '946 출원은 SMO에 중점을 두지만, 본 출원은 SMLO에 중점을 둔다는 점이다.
도 4d에서, 리소그래피 공정이 최적화될 (통상적으로, OASIS, GDSII 등과 같은 표준 디지털 포맷으로 레이아웃을 포함하는) 타겟 디자인 레이아웃(4300)은 메모리, 테스트 패턴들 및 로직을 포함한다. 이 디자인 레이아웃으로부터, 풀 세트의 클립들(4302)이 추출되며, 이는 설계(4300) 내의 모든 복잡한 패턴들(통상적으로, 약 50 내지 1000 개의 클립들)을 나타낸다. 당업자라면 이해할 수 있는 바와 같이, 이러한 클립들은 각별한 주의 및/또는 검증이 요구되는 설계의 작은 부분들(즉, 회로, 셀 또는 패턴들)을 나타낸다.
4304에 일반적으로 나타낸 바와 같이, 풀 세트(4302)로부터 작은 서브세트의 클립들(4306)(예를 들어, 15 내지 50 개의 클립들)이 선택된다. 아래에 더욱 자세히 설명되는 바와 같이, 클립들의 선택은, 선택된 패턴들의 공정 윈도우가 풀 세트의 임계 패턴들에 대한 공정 윈도우와 가능한 한 근접하게 매칭하도록 바람직하게 수행된다. 또한, 이러한 선택의 유효성은 전체 소요 실행 시간(turn run time)(패턴 선택 및 SMLO) 감소에 의해 측정된다.
4308에서, 선택된 패턴들(15 내지 50 개의 패턴들)(4306)을 이용하여 SMLO가 수행된다. 더 구체적으로, 선택된 패턴들(4306)에 대해 조명 소스가 최적화된다. 이 최적화는 널리 알려진 다수의 방법들, 예를 들어 미국 특허 공개공보 2004/0265707에 기술된 방법들 중 어느 것을 이용하여 수행될 수 있으며, 이 내용은 본 명세서에서 인용 참조된다.
4310에서, 4308에서 얻어진 소스 및 투영 광학기를 이용하여 선택된 패턴들(4306)의 제조성 검증이 수행된다. 더 구체적으로, 검증은 최적화된 소스 및 투영 광학기 그리고 선택된 패턴들(4306)의 에어리얼 이미지 시뮬레이션을 수행하고, 이러한 패턴들이 충분히 넓은 공정 윈도우에 걸쳐 프린트될 것인지를 검증하는 것을 포함한다. 이러한 검증은 널리 알려진 다수의 방법들, 예를 들어 미국 특허 7,342,646에 기술된 방법들 중 어느 것을 이용하여 수행될 수 있으며, 이 내용은 본 명세서에서 인용 참조된다.
4312에서 결정되는 바와 같이, 4310의 검증이 만족스러운 경우, 처리는 4314의 풀-칩 최적화로 진행한다. 그렇지 않으면, 처리는 4308로 복귀되며, 상이한 소스 또는 패턴들의 세트를 이용하여 SMLO가 다시 수행된다. 예를 들어, 검증 툴에 의해 평가된 공정 성능은 노광 관용도 및 초점심도와 같은 소정 공정 윈도우 파라미터들에 대한 임계값들에 대해 비교될 수 있다. 이러한 임계값들은 사전설정될 수 있거나, 사용자에 의해 설정될 수 있다.
4316에서, 선택된 패턴들이 4312에서 결정된 바와 같은 리소그래피 성능 사양을 충족한 후, 최적화된 소스 및 투영 광학기들(4314)은 풀 세트의 클립들의 최적화에 사용될 것이다.
4318에서, 풀 세트의 클립들(4316) 내의 모든 패턴들에 대해 또는 풀-칩 또는 전체 타겟 디자인 레이아웃에 대해 광 근접성 보정(OPC) 및 모델-기반 서브-분해능 어시스트 피처(MB-SRAF) 배치가 수행된다. 이 공정은 널리 알려진 다수의 방법들, 예를 들어 미국 특허 5,663,893, 5,821,014, 6,541,167 및 6,670,081에 기술된 방법들 중 어느 것을 이용하여 수행될 수 있다.
단계 4310과 유사한 공정들을 이용하는 4320에서는, 4318에서 보정된 바와 같은 풀 세트의 클립들(4316) 및 최적화된 소스 및 투영 광학기(4314)를 이용하여 전체 패턴 시뮬레이션 기반 제조성 검증이 수행된다.
4322에서, 풀 세트의 클립들(4316)의 성능(예를 들어, 노광 관용도 및 초점심도와 같은 공정 윈도우 파라미터들)이 서브세트의 클립들(4306)과 비교된다. 일 예시적인 실시예에서는, 패턴 선택이 완전하게 고려되며, 및/또는 (15 내지 20 개의) 선택된 패턴들(4306)과 (50 내지 1000 개의) 모든 임계 패턴들(4316) 둘 모두에 대해 유사한(< 10 %) 리소그래피 성능들이 얻어질 때, 소스 및 투영 광학기는 풀-칩에 대해 완전히 적격화된다(qualified).
그렇지 않으면, 4324에서 핫 스폿들이 추출되고, 4326에서 이러한 핫 스폿들이 서브세트(4306)에 추가되며, 공정이 다시 시작된다. 예를 들어, 검증(4320) 동안 식별된 핫 스폿들[즉, 공정 윈도우 성능을 제한하는 풀 세트의 클립들(4316) 사이의 피처들)은 추가 소스 조절을 위해 또는 SMLO를 재실행하기 위해 사용된다. 풀 세트의 클립들(4316)의 공정 윈도우가 마지막 실행과 마지막 실행 이전의 실행(4322) 사이에서 동일할 때, 소스 및 투영 광학기는 완전히 수렴된 것으로 간주된다.
그러므로, 상기의 비-제한적인 예시들로부터, SMLO는 다양한 형태로 기존의 최적화 프레임워크에 용이하게 수용됨을 이해하여야 한다. 이제, 일반적인 SMLO 공정의 수학적 배경으로 되돌아 가도록 한다.
앞서 설명된 바와 같이, 비용 함수(CF)의 최적화는 SMLO 방법의 중심에 있다. CF는 몇몇 리소그래피 메트릭의 RMS 값일 수 있다. 물론, CF(z 1,z 2,...,z N )는 f p (z 1,z 2,...,z N )의 RMS로 제한되지 않는다. CF(z 1,z 2,...,z N )는 여타의 적절한 형태로 되어 있을 수 있다.
도 5는 비용 함수가 최소화되는 예시적인 최적화 방법을 나타낸다. 단계 S502에서는, 만약에 있다면, 조절 범위들을 포함하는 설계 변수들의 초기 값들이 얻어진다. 단계 S504에서는, 다-변수 비용 함수가 설정된다. 단계 S506에서는, 제 1 반복 단계(i=0)에 대해 설계 변수들의 시작점 값을 중심으로(around) 작은 필요 인접 구역(small enough neighborhood) 내에서 비용 함수가 전개된다. 단계 S508에서는, 비용 함수를 최소화하기 위해 표준 다-변수 최적화 기술들이 적용된다. 최적화 문제는 S508에서 최적화 공정 동안 또는 최적화 공정 이후의 단계에서 조절 범위와 같은 제약들을 적용할 수 있음을 유의한다. 단계 S520은 리소그래피 공정을 최적화하기 위해 선택된 식별된 평가 지점들에 대한 주어진 테스트 패턴들(또한, "게이지들"이라고도 알려짐)에 대해 각각의 반복이 행해짐을 나타낸다. 단계 S510에서는, 리소그래피 반응이 예측된다. 단계 S512에서는, 단계 S522에서 얻어진 원하는 또는 이상적인 리소그래피 반응 값과 단계 S510의 결과가 비교된다. 단계 S514에서 종료 조건이 만족되면, 다시 말해 최적화가 원하는 값과 충분히 근접한 리소그래피 반응 값을 생성하면, 단계 S518에서 설계 변수들의 최종 값이 출력된다. 또한, 출력 단계는, 퓨필 평면(또는 다른 평면들)에서의 파면 수차-조정된 맵, 최적화된 소스 맵, 및 최적화된 디자인 레이아웃 등을 출력하는 단계와 같이, 설계 변수들의 최종 값들을 이용하여 다른 함수들을 출력하는 단계를 포함할 수 있다. 종료 조건이 만족되지 않는 경우, 단계 S516에서 i-번째 반복의 결과로 설계 변수들의 값이 업데이트되며, 상기 공정은 단계 S506으로 되돌아간다. 도 5의 전체 공정은 아래에 더 자세히 설명된다.
제 1 예시적인 최적화 공정에서는, f p (z 1,z 2,...,z N )가 충분히 평활한[예를 들어, 1차 도함수
Figure 112014127991480-pct00007
가 존재함] 것을 제외하고, 설계 변수들(z 1,z 2,...,z N )과 f p (z 1,z 2,...,z N ) 간의 관계가 가정되거나 근사화되지 않으며, 이는 일반적으로 리소그래피 투영 장치에서 유효하다.
Figure 112014127991480-pct00008
를 찾기 위해, 가우스-뉴턴 알고리즘(Gauss-Newton algorithm), 레벤베르그-마르콰르츠 알고리즘(Levenberg-Marquardt algorithm), 기울기 하강 알고리즘(gradient descent algorithm), 시뮬레이션된 어닐링(simulated annealing), 및 유전적 알고리즘(genetic algorithm)과 같은 알고리즘이 적용될 수 있다.
여기서, 일 예시로서 가우스-뉴턴 알고리즘이 사용된다. 가우스-뉴턴 알고리즘은 일반적인 비선형 다-변수 최적화 문제에 적용가능한 반복적인 방법이다. 설계 변수들(z 1,z 2,...,z N )이 (z 1i,z 2i,...,z N i)의 값을 취하는 i-번째 반복에서, 가우스-뉴턴 알고리즘은 (z 1i,z 2i,...,z N i)의 부근에서 f p (z 1,z 2,...,z N )를 선형화하고, 그후 CF(z 1,z 2,...,z N )의 최소값을 제공하는 (z 1i,z 2i,...,z N i)의 부근에서 (z 1(i+1),z 2(i+1),...,z N (i+1)) 값을 계산한다. 설계 변수들(z 1,z 2,...,z N )은 (i+1)-번째 반복에서 (z 1 (i+1),z 2 (i+1),...,z N (i+1))의 값을 취한다. 이 반복은 수렴[즉, CF(z 1,z 2,...,z N )가 더 이상 감소하지 않음] 또는 사전설정된 수의 반복에 도달할 때까지 계속된다.
더 구체적으로는, i-번째 반복에서, (z 1i,z 2i,...,z N i)의 부근에서,
Figure 112014127991480-pct00009
(수학식 3)
수학식 3의 근사치 하에서, 비용 함수는 다음과 같다:
Figure 112014127991480-pct00010
(수학식 4)
이는 설계 변수들(z 1,z 2,...,z N )의 이차 함수이다. 설계 변수들(z 1,z 2,...,z N )을 제외한 모든 항은 상수이다.
설계 변수들(z 1,z 2,...,z N )이 어떤 제약들 하에 있지 않으면, (z 1(i+1),z 2(i+1),...,z N (i+1))는 N 개의 선형 방정식으로 풀어 도출될 수 있다:
Figure 112014127991480-pct00011
, 이때 n=1,2,...N.
설계 변수들(z 1,z 2,...,z N )이 제약들 하에서 J 개의 부등식[예를 들어, (z 1,z 2,...,z N )의 조절 범위들]
Figure 112014127991480-pct00012
(j=1,2,...J); 및 K 개의 등식(예를 들어, 설계 변수들 간에 상호의존성)
Figure 112014127991480-pct00013
(k=1,2,...K)의 형태로 되어 있는 경우, 최적화 공정은 전형적인 이차 프로그래밍 문제가 되며, 이때 A nj , B j , C nk , D k 는 상수이다. 각 반복에 대하여 추가적인 제약들이 부과될 수 있다. 예를 들어, (z 1 (i+1),z 2 (i+1),...,z N (i+1))와 (z 1i,z 2i,...,z N i) 간의 차이를 제한하기 위해 "감쇠 인자(damping factor)" Δ D 가 도입될 수 있어, 수학식 3의 근사치가 유지된다. 이러한 제약들은
Figure 112014127991480-pct00014
로서 표현될 수 있다. (z 1 (i+1),z 2 (i+1),...,z N (i+1))는, 예를 들어 Jorge Nocedal 및 Stephan J. Wright의 Numerical Optimization(제 2 판)(Berlin New York: Vandenberghe. Cambridge University Press)에 기술된 방법을 이용하여 도출될 수 있다.
f p (z 1,z 2,...,z N )의 RMS를 최소화하는 대신, 최적화 공정은 평가 지점들 중에 가장 큰 편차(최악의 결함)의 크기를 그들의 의도된 값으로 최소화할 수 있다. 이러한 접근법에서, 비용 함수는 대안적으로 다음과 같이 표현될 수 있다:
Figure 112014127991480-pct00015
(수학식 5)
여기서, CL p 는 p-번째 평가 지점에 대한 최대 허용 값이다. 이러한 비용 함수는 평가 지점들 중에 최악의 결함을 나타낸다. 이러한 비용 함수를 이용하는 최적화는 최악의 결함의 크기를 최소화한다. 이러한 최적화를 위해 반복 그리디 알고리즘(iterative greedy algorithm)이 사용될 수 있다.
수학식 5의 비용 함수는 다음과 같이 근사화될 수 있다:
Figure 112014127991480-pct00016
(수학식 6)
여기서, q는 적어도 4, 바람직하게는 적어도 10과 같은 양의 짝수 정수(even positive integer)이다. 수학식 6은 수학식 5의 형태(behavior)와 흡사하지만, 최적화가 분석적으로 실행되게 하고, 극심 하강 방법(deepest descent method), 공액 구배 방법(conjugate gradient method) 등과 같은 방법들을 이용함으로써 가속되게 한다.
또한, 최악의 결함 크기를 최소화하는 것은 f p (z 1,z 2,...,z N )의 선형화와 조합될 수 있다. 구체적으로, f p (z 1,z 2,...,z N )는 수학식 3에서와 같이 근사화된다. 이때, 최악의 결함 크기에 대한 제약들은 부등식
Figure 112014127991480-pct00017
으로서 쓰여지며, 여기서 E Lp E Up 는 p-번째 평가 지점에 대한 최소 및 최대 허용 편차를 특정화하는 두 개의 상수들이다. 수학식 3을 대입하면(Plugging Eq. 3 in), 이러한 제약들은 p=1,...P에 대하여 다음의 수학식으로 변환된다:
Figure 112014127991480-pct00018
(수학식 6')
Figure 112014127991480-pct00019
(수학식 6")
수학식 3이 일반적으로 (z 1i,z 2i,...,z N i)의 부근에서만 유효하기 때문에, 원하는 제약들
Figure 112014127991480-pct00020
이 이러한 부근에서 달성될 수 없는 경우[이는 부등식들 간의 여하한의 상충(conflict)에 의해 결정될 수 있음], 상수들 E Lp E Up 는 제약들이 달성가능할 때까지 완화될(relaxed) 수 있다. 이러한 최적화 공정은 (z 1i,z 2i,...,z N i)의 부근에서의 최악의 결함 크기를 최소화한다. 이후, 각 단계는 최악의 결함 크기를 점진적으로 감소시키며, 정해진 종료 조건들이 충족될 때까지 각 단계를 반복적으로 실행한다. 이는 최악의 결함 크기의 최적의 감소를 유도할 것이다.
최악의 결함을 최소화하는 또 다른 방식은 각 반복에서 가중치 w p 를 조정하는 것이다. 예를 들어, i-번째 반복 후, r-번째 평가 지점이 최악의 결함인 경우, 그 평가 지점의 결함 크기의 감소에 더 높은 우선순위가 주어지도록, w r 이 (i+1)-번째 반복에서 증가될 수 있다.
또한, 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 간에 타협을 달성하기 위해 라그랑주 승수(Lagrange multiplier)를 도입함으로써, 수학식 4 및 수학식 5의 비용 함수를 수정할 수 있으며, 즉 다음과 같다:
Figure 112014127991480-pct00021
(수학식 6'")
여기서, λ는 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 간의 트레이드-오프를 특정화하는 사전설정된 상수이다. 특히, λ=0인 경우, 이는 수학식 4가 되고, 결함 크기의 RMS만을 최소화하는 한편; λ=1인 경우, 이는 수학식 5가 되고, 최악의 결함 크기만을 최소화하는데; 0<λ<1인 경우에는, 둘 모두의 최적화를 고려한다. 이러한 최적화는 다수의 방법들을 이용하여 구할 수 있다. 예를 들어, 이전에 설명된 것과 유사하게 각 반복에서 가중을 조정할 수 있다. 대안적으로, 부등식들로부터 최악의 결함 크기를 최소화하는 것과 유사하게, 이차 프로그래밍 문제를 푸는 동안 수학식 6' 및 6"의 부등식들을 설계 변수들의 제약들로서 여겨질 수 있다. 그러면, 최악의 결함 크기에 대한 한계들을 증분적으로(incrementally) 완화하거나 최악의 결함 크기에 대한 가중치를 증분적으로 증가시킬 수 있고, 달성가능한 모든 최악의 결함 크기에 대한 비용 함수 값을 연산할 수 있으며, 다음 단계를 위한 초기 지점으로서 전체 비용 함수를 최소화시키는 설계 변수 값들을 선택할 수 있다. 이를 반복적으로 수행함으로써, 이 새로운 비용 함수의 최소화를 달성할 수 있다.
수학식 3의 선형 근사화는 (z 1i,z 2i,...,z N i)의 작은 부근에서만 유지된다. f p (z 1,z 2,...,z N )가 설계 변수들(z 1,z 2,...,z N )에 대해 매우 비-선형적인 경우, 수학식 3의 선형 최적화를 이용하는 최적화는 너무 많은 반복을 행할 수 있고, 및/또는 전역 최소값(global minimum) 대신 비용 함수 CF(z 1,z 2,...,z N )의 국소 최소값(local minimum)을 유도할 수 있다. 이 문제는, 투영 광학기에 의해 유도된 수차를 수반하는 비용 함수들과 같이, 특히 비용 함수가 매우 비-선형적인 몇몇 설계 변수들의 고차 다항식으로 비용 함수 CF(z 1,z 2,...,z N )를 전개함으로써 경감될 수 있다. 도 6은 제 2 최적화 공정의 핵심 단계들을 나타내는 예시적인 흐름도이다. 여기서 다르게 설명되지 않는다면, 도 6의 대부분의 단계들은 도 5의 대응하는 단계들과 매우 유사하다. 한가지 주요한 차이는, 단계 S604에서 다-변수 비용 함수가, 예를 들어 투과 교차 계수들(transmission cross coefficients :TCC)의 편도함수들과 관련된 피팅 계수(fitting coefficient)들을 포함하는 고차(예컨대, 이차) 다항식으로서 표현된다는 점이다. 단계 S606에서, TCC는 각 반복에 대해 시작점을 중심으로 전개되며, 단계 S607에서는 에어리얼 이미지, 레지스트 이미지 및 에지 배치 오차(EPE)가 전개된다. 나머지 단계들은 도 5에 설명된 대응 단계들과 유사하다. 위의 설명으로부터, 당업자는 특정 실시예에서 반복 회수가 심지어 한 번일 수 있으며, 단일 계산 시퀀스(calculation sequence)를 유도한다는 것을 알 수 있을 것이다. 이는, 예를 들어 초기에 몇몇 설계 변수들의 설명이 단일 계산 후 사전정의된 종료 조건이 만족되기 충분할 때 일어날 수 있다.
도 6의 예시적인 공정 플로우는 아래에 수학적으로 설명된다. 일 예시로서, f p (z 1,z 2,...,z N )는 다음과 같이 표현될 수 있다:
Figure 112014127991480-pct00022
(수학식 7)
계수들
Figure 112014127991480-pct00023
Figure 112014127991480-pct00024
는 설계 변수들의 알려진 값들의 한 세트로부터 피팅될 수 있고, 편도함수로서 도출될 수 있으며, 이의 자세한 내용은 출원인이 동일한 미국 특허 출원 일련번호 12/315,849에서 찾을 수 있으며, 이 내용은 본 명세서에서 전문이 인용 참조된다. 수학식 7의 비-선형 근사화는 일반적으로 수학식 3의 선형 근사화가 유지되는 것보다 (z 1i,z 2i,...,z N i)의 훨씬 더 큰 부근에서 유지되며, 따라서 더 높은 연산 비용이 들더라도 전역 최소값을 찾을 수 있는 더 높은 가능성 및 더 빠른 수렴을 유도한다. 본 명세서에서는 일 예시로서 2-차 다항식의 근사화만을 이용함을 유의해야 한다. 이는 이러한 방법들을 고차 다항식 근사화 또는 다른 비-다항식 근사화로 확대하기 쉽게 한다.
제 2 예시적인 최적화 공정에서, 실시예들에 따르면, 최적화 공정을 가속화하고 단순화하기 위해 리소그래피 투영 장치에 특정적인 f p (z 1,z 2,...,z N )와 설계 변수들(z 1,z 2,...,z N ) 간의 관계가 이용된다.
일 예시로서, 에어리얼 이미지(AI)가 다음과 같이 표현될 수 있으며:
Figure 112014127991480-pct00025
(수학식 8)
여기서,
Figure 112014127991480-pct00026
(수학식 9).
수학식 9를 이용하는 TCC k' ,k" 의 연산 비용은, 수학식 9의 양쪽에 푸리에 변환(Fourier transform)을 적용함으로써 감소될 수 있다:
Figure 112014127991480-pct00027
(수학식 9')
여기서,
Figure 112014127991480-pct00028
Figure 112014127991480-pct00029
는 각각 A(k) 및 L(k)의 푸리에 변환이다. 수학식 9'에서, (k',k")에 대한 합산은 단순한 곱셈(multiplication)이다. TCC k' ,k" 는 수학식 9'의 역 푸리에 변환(inverse Fourier transform)으로서 여겨질 수 있다. 더 많은 정보는 Roderick Koehle의 "Fast TCC Algorithm for the Model Building of High NA Lithography Simulation(Optical Microlithography XVⅢ, Proceedings of SPIE, Vol. 5754, 918-929)"에서 찾을 수 있으며, 이는 본 명세서에서 전문이 인용 참조된다.
AI(x)는 공간 도메인의 에어리얼 이미지이다. A(k)는 소스 퓨필 평면 상의 지점(k)으로부터의 소스 진폭이다. L(k)는 렌즈 퓨필 평면 상의 지점(k)에 대한 투영 광학기 함수이다. 공간 도메인에서의 투영 광학기 함수는 위치의 함수로서 투영 광학기를 통과하는 광에 대해 투영 광학기에 의해 유도된 왜곡들(예를 들어, 진폭, 위상 또는 둘 모두의 왜곡들)을 나타낸다. M(k)는 공간 주파수 도메인에서의 마스크 함수(즉, 디자인 레이아웃 함수)이며, 푸리에 변환에 의해 공간 도메인에서의 마스크 함수로부터 얻어질 수 있다. 공간 도메인에서의 마스크 함수는 위치의 함수로서 마스크를 통과하는 광에 대해 마스크에 의해 유도된 왜곡들(예를 들어, 진폭, 위상 또는 둘 모두의 왜곡들)을 나타낸다. 더 세부적인 내용들은, 예를 들어 미국 특허 7,587,704에서 찾을 수 있으며, 이는 본 명세서에서 전문이 인용 참조된다. 공간 도메인의 함수는 푸리에 변환에 의해 공간 주파수 도메인의 대응하는 함수로, 또한 그 역으로 변환될 수 있다. 여기서, xk는 둘 다 벡터이다. 또한, 주어진 예시에서 수학식 8은 스칼라 이미징 모델로부터 도출되지만, 이러한 형식(formalism)은 벡터 이미징 모델로 확대될 수 있으며, 이때 TE 및 TM 또는 다른 편광된 광 성분들은 별도로 합산된다. TCC k' ,k" (또한, 수학식 9에 정의된 바와 같은 투과 교차 계수라고도 함)는 행렬로서 여겨질 수 있으며, 이는 마스크를 제외한 리소그래피 투영 장치의 광학 속성들을 포함한다.
TCC k' ,k" 의 우세 고유값(dominant eigenvalues)만이 사용되는 경우, 수학식 8을 이용하는 에어리얼 이미지의 연산이 단순화될 수 있다. 구체적으로, TCC k' ,k" 가 대각화되고(diagonalized) 가장 큰 R의 고유값에 보유될 때, TCC k' ,k" 는 다음과 같이 근사화될 수 있다:
Figure 112014127991480-pct00030
(수학식 9)
여기서, λ r (r=1,...,R)은 가장 큰 R의 고유값이고, φ r 은 고유값 λ r 에 대응하는 고유벡터이다.
수학식 9를 수학식 8에 대입하면,
Figure 112014127991480-pct00031
(수학식 10)
여기서,
Figure 112014127991480-pct00032
.
모든 항이 고유급수 전개(eigenseries expansion)에 보유될 때, 즉 R이 TCC 행렬의 랭크(rank)와 같을 때, 수학식 10이 정확함을 유의한다.
TCC k' ,k" 행렬은 설계 변수들에 대해 고정된 관계를 갖는다. 설계 변수들의 함수로서 TCC k' ,k" 가 알려지면, 수학식 8, 레지스트 모델, f p (z 1,z 2,...,z N )의 특정 정의 및 비용 함수의 특정 정의(예를 들어, 수학식 1, 5 및 6)를 이용하여, 에어리얼 이미지 AI(x), 레지스트 이미지, f p (z 1,z 2,...,z N ) 및 비용 함수가 연산될 수 있다. 이때, 가우스-뉴턴 방법과 같은 앞서 설명된 방법들을 이용하여, 비용 함수를 최소화하는 설계 변수들의 값들을 찾을 수 있다. 하지만, 설계 변수들의 함수로서 TCC k',k" 를 연산하는 것은, 특히 수학식 9에서 k에 대한 합산 시 상당한 연산 비용을 수반할 수 있다.
다음, 피팅 프로세스(fitting process)를 어떻게 가속시킬 수 있는지를 예시하는 일 예시로서, 투영 광학기 함수에만 영향을 주는 투영 광학기로부터의 설계 변수들을 이용한다. 실제 리소그래피 투영 장치에서, TCC k' ,k" 는 다음과 같이 양호하게 근사화될 수 있다:
Figure 112014127991480-pct00033
(수학식 11).
Figure 112014127991480-pct00034
,
Figure 112014127991480-pct00035
Figure 112014127991480-pct00036
는 설계 변수들로부터 독립적이다. 그러므로,
Figure 112014127991480-pct00037
,
Figure 112014127991480-pct00038
Figure 112014127991480-pct00039
가 연산되면, 설계 변수들의 함수로서
Figure 112014127991480-pct00040
가 알려진다.
Figure 112014127991480-pct00041
는 공칭 조건(z 10,z 20,...,z N 0)으로부터 바로 계산될 수 있다. 계수들
Figure 112014127991480-pct00042
Figure 112014127991480-pct00043
는 설계 변수들의 알려진 값들의 한 세트로부터 피팅될 수 있거나, 편도함수로서 도출될 수 있으며, 이의 자세한 내용은 출원인이 동일한 미국 특허 출원 일련번호 12/315,849에서 찾을 수 있으며, 이 내용은 본 명세서에서 전문이 인용 참조된다. 모든
Figure 112014127991480-pct00044
,
Figure 112014127991480-pct00045
Figure 112014127991480-pct00046
사이에서,
Figure 112014127991480-pct00047
이기 때문에,
Figure 112014127991480-pct00048
는 독립적이다.
Figure 112014127991480-pct00049
,
Figure 112014127991480-pct00050
Figure 112014127991480-pct00051
이 연산되면, 설계 변수들(z 1,z 2,...,z N )에 대한 전개를 이용함으로써 수학식 10으로부터 에어리얼 이미지(AI)의 연산이 훨씬 단순해질 수 있다:
Figure 112014127991480-pct00052
(수학식 12). 수학식 12의 우측의 각 항은 의사-에어리얼 이미지(pseudo-aerial images)라고도 칭해진다. 총 1 + N + N 2 개의 의사-에어리얼 이미지가 존재하며, 이 중에서
Figure 112014127991480-pct00053
는 독립적이다.
수학식 11을 수학식 8에 대입하면,
Figure 112014127991480-pct00054
(수학식 13).
수학식 12 및 수학식 13을 비교하면, 각각의 의사-에어리얼 이미지가
Figure 112014127991480-pct00055
또는 계수들
Figure 112014127991480-pct00056
Figure 112014127991480-pct00057
중 하나로부터 연산될 수 있음을 알 수 있다. 즉,
Figure 112014127991480-pct00058
(수학식 14)
Figure 112014127991480-pct00059
(수학식 15).
Figure 112014127991480-pct00060
,
Figure 112014127991480-pct00061
Figure 112014127991480-pct00062
는 각각 설계 변수들(z 1,z 2,...,z N )의 L의 특유한 세트의 값들(L distinctive sets of values) 하에서 리소그래피 투영 장치의 복수의
Figure 112014127991480-pct00063
로부터 피팅될 수 있다.
Figure 112014127991480-pct00064
Figure 112014127991480-pct00065
로서 정의되며, 여기서 p li 는 설계 변수들의 l-번째 세트 값들의 z i 의 값이며, i=l,...,N, l=1,...,L.
Figure 112014127991480-pct00066
는 설계 변수들(z 1,z 2,...,z N )의 함수들이 아님을 유의한다. 피팅 에러(fitting error: FER)가 여하한의 적합한 형태로 정의될 수 있다. 피팅 프로세스는, 피팅 에러(FER)를 최소화하는
Figure 112014127991480-pct00067
,
Figure 112014127991480-pct00068
Figure 112014127991480-pct00069
를 찾는 것이다. 예시적인 피팅 에러(FER)가 아래에 나타나 있다:
Figure 112014127991480-pct00070
(수학식 16)
리소그래피 투영 장치에 용인가능한 성능을 제공하기 위해, 공칭 조건(z 10,z 20,...,z N 0)이 경험적으로 결정될 수 있다.
Figure 112014127991480-pct00071
는 (z 10,z 20,...,z N 0)로부터 바로 연산될 수 있거나,
Figure 112014127991480-pct00072
Figure 112014127991480-pct00073
와 함께 피팅될 수 있다. 다음의 설명에서 일 예시로서 (z 10,z 20,...,z N 0)로부터 연산된 TCC를 이용한다.
Figure 112014127991480-pct00074
Figure 112014127991480-pct00075
Figure 112014127991480-pct00076
로 피팅된 경우, L은 적어도
Figure 112014127991480-pct00077
이고,
Figure 112014127991480-pct00078
가 공칭 조건(z 10,z 20,...,z N 0)으로부터 바로 계산된 경우, L은 적어도
Figure 112014127991480-pct00079
이며,
Figure 112014127991480-pct00080
Figure 112014127991480-pct00081
만이 피팅된다. L이 원하는 피팅 정확성을 산출하기 위해서는,
Figure 112014127991480-pct00082
의 2 내지 3 배(2 to 3 times)가 필요할 수 있다. 예시적인 리소그래피 투영 장치에서 N은 64에서 192 사이이며, L은 10000보다 클 수 있다. 이 피팅이 바로 수행되는 경우, 적어도 L
Figure 112014127991480-pct00083
(l= 1...L)는 다음과 같이 연산되며:
Figure 112014127991480-pct00084
(수학식 17)
이는 상당한 연산 비용 및/또는 저장 비용을 필요로 하고, 이는 큰 L에 대해 실현불가능할 수 있으며, 여기서 A(k)는 소스의 진폭 함수이고, L l (k)는 설계 변수들의 l-번째 세트의 값들 하에서의 투영 광학기 함수이다.
연산 비용을 상당히 감소시키는 일 실시예에 따른
Figure 112014127991480-pct00085
의 다항식 전개를 위한 계수들을 연산하는 방법이 아래에 자세히 설명된다.
상기 방법은 다음의 단계들을 포함한다. 상기 방법은 설계 변수들(z 1,z 2,...,z N )의 L의 특유한 세트의 값들(p l 1,p l 2,...,p lN )을 선택하는 것으로 시작하며, 여기서 p ln 은 설계 변수들의 l-번째 세트의 값들의 z n 의 값이다(n= 1,...,N, l= l,...,L). 이 단계 이후에 각 세트의 값들(p l 1,p l 2,...,p lN )에 대해 투영 광학기 함수 L l (k)를 연산하는 단계가 후속된다(n= 1,...,N, l= l,...,L). 공칭 설계 변수 값들(z 10,z 20,...,z N 0)을 0-번째 세트의 값들로서, 즉 모든 n= 1,...,N에 대하여 p 0i = z i 로서 고려함을 유의한다. 다음 단계는 투영 광학기 함수 L l (k)와 설계 변수들 간의 관계를 식별하는 단계이다. 이후 비-제한적인 예시로서 선형 위상 관계[예를 들어, 제르니케(Zernike) 설계 변수들]을 이용한다. 선형 위상 관계에 대하여, 상기 관계를 식별하는 것은, 설계 변수들의 임펄스 반응들인 복수의 함수들 P n (k)(n= 1,...,N)을 식별하는 것과 같다. 다시 말해, 설계 변수들이 공칭 값들(z 10,z 20,...,z N 0)을 취할 때 투영 광학기 함수가 L 0 (k)인 경우, 설계 변수들이 값들(p l 1,p l 2,...,p lN )을 취할 때, 투영 광학기 함수는 다음과 같다:
Figure 112014127991480-pct00086
(수학식 18).
다음의 단계는,
Figure 112014127991480-pct00087
(수학식 19), 및
Figure 112014127991480-pct00088
(수학식 20)
의 형태로,
Figure 112014127991480-pct00089
(l= 1...L)의 선형 조합들로서
Figure 112014127991480-pct00090
Figure 112014127991480-pct00091
를 연산하는 단계를 수반하며,
여기서,
Figure 112014127991480-pct00092
Figure 112014127991480-pct00093
(l= 0,...,L, n= 1,...,N, n 1= 1,...,N, n 2= 1,...,N)는 상수이다. 이 상수들은 수학식 16의 피팅 에러(FER)를 최소화함으로써 구해질 수 있다. 특히, 이 상수들은
Figure 112014127991480-pct00094
Figure 112014127991480-pct00095
의 선형 방정식을 풀어 계산된다:
Figure 112014127991480-pct00096
Figure 112014127991480-pct00097
. 출원인이 동일한 미국 특허 출원 일련번호 12/315,849에 기술되어 있는 바와 같이, 수학식 16을 최소화하는
Figure 112014127991480-pct00098
Figure 112014127991480-pct00099
는 항상 수학식 19 및 수학식 20의 형태로 표현될 수 있음을 알 수 있다. 다음 단계는,
Figure 112014127991480-pct00100
(수학식 20')
을 연산하는 단계이다.
다음의 수학식 21 및 수학식 22는, 수학식 18을 수학식 17에 그리고 이들을 수학식 19 및 수학식 20에 각각 대입함으로써 도출된다:
Figure 112014127991480-pct00101
(수학식 21)
Figure 112014127991480-pct00102
(수학식 22).
Figure 112014127991480-pct00103
Figure 112014127991480-pct00104
k, k'k"만의 함수이고, 수학식 21 및 수학식 22에서의 k에 대한 합산 이전에 연산될 수 있음을 유의한다. k에 대한 수학식 21 및 수학식 22의 합산은 각각의
Figure 112014127991480-pct00105
Figure 112014127991480-pct00106
에 대해 한 번만 행해진다. 전체적으로, 각각의
Figure 112014127991480-pct00107
Figure 112014127991480-pct00108
를 연산하기 위해, 고작해야(at most) k에 대한
Figure 112014127991480-pct00109
합산만이 요구된다. 이는 L
Figure 112014127991480-pct00110
의 연산에 비해 비용 연산을 상당히 감소시키며, 이 각각은 수학식 9에서 k에 대한 합산을 필요로 하며, 여기서 L
Figure 112014127991480-pct00111
의 2 내지 3 배일 수 있다.
도 9'와 유사하게, 수학식 21 및 22의 각각의 양쪽에 푸리에 변환[예를 들어, 빠른 푸리에 변환 알고리즘(fast Fourier transform algorithm)]을 적용함으로써, 연산 비용이 더욱 감소될 수 있다.
이전에 언급된 바와 같이, P n (k)는 제르니케 다항식일 수 있다. 제르니케 다항식은 짝수 제르니케 다항식 및 홀수 제르니케 다항식을 포함한다. 짝수 제르니케 다항식은
Figure 112014127991480-pct00112
으로서 정의되고; 홀수 제르니케 다항식은
Figure 112014127991480-pct00113
으로서 정의되며, 여기서, mn은 음이 아닌 정수이고(nm),
Figure 112014127991480-pct00114
는 방위각(azimuthal angle)이고, ρ는 동경 거리(radial distance)이다(0≤ρ≤1). 동경 다항식(radial polynomials)
Figure 112014127991480-pct00115
은, (n-m)이 짝수이면
Figure 112014127991480-pct00116
으로서 정의되고, (n-m)이 홀수이면 동일하게 0이다. m=0인 경우, 제르니케 다항식의 짝수 정의가 사용되며, 이는
Figure 112014127991480-pct00117
가 된다.
또한, 연산 비용을 상당히 감소시키는 또 다른 실시예에 따른
Figure 112014127991480-pct00118
를 연산하는 대안적인 방법이 아래에 자세히 설명된다.
상기 방법은 다음의 단계들을 포함한다. 제 1 단계는 투영 광학기 함수 L l (k)와 설계 변수들 간의 관계를 식별하는 단계이다. 마찬가지로, 이후 비-제한적인 예시로서 선형 위상 관계(예를 들어, 제르니케 설계 변수들)를 이용하며, 따라서 수학식 18은 설계 변수들로부터의 투영 광학기 함수의 반응을 설명한다. 다음 단계는 아래에 나타낸 수학식 23, 수학식 24 및 수학식 25를 이용하여 각각의
Figure 112014127991480-pct00119
Figure 112014127991480-pct00120
를 연산하는 단계이다:
Figure 112014127991480-pct00121
(수학식 23),
n 1=n 2=n인 경우,
Figure 112014127991480-pct00122
(수학식 24),
n 1n 2인 경우,
Figure 112014127991480-pct00123
(수학식 25).
다음 단계는,
Figure 112014127991480-pct00124
(수학식 25')
를 연산하는 단계이다.
각각의
Figure 112014127991480-pct00125
Figure 112014127991480-pct00126
의 연산에서, k에 대한 수학식 23 내지 수학식 25의 합산은 한번만 행해진다. 전체적으로, 각각의
Figure 112014127991480-pct00127
Figure 112014127991480-pct00128
를 연산하기 위해, 고작해야 k에 대한
Figure 112014127991480-pct00129
합산만이 요구된다.
도 9'와 유사하게, 수학식 23 내지 25의 각각의 양쪽에 푸리에 변환(예를 들어, 빠른 푸리에 변환 알고리즘)을 적용함으로써, 연산 비용이 더욱 감소될 수 있다.
수학식 23, 수학식 24 및 수학식 25는,
Figure 112014127991480-pct00130
Figure 112014127991480-pct00131
를, 수학식 19 및 수학식 20에 개시된 피팅 계수가 아닌
Figure 112014127991480-pct00132
의 테일러 전개 계수(Taylor expansion coefficients)로 고려함으로써 도출된다. 이때, 테일러 전개에 의하여,
Figure 112014127991480-pct00133
Figure 112014127991480-pct00134
는 각각 1차 및 2차 편도함수가 된다. 특히, 수학식 9를 TCC k' ,k" 의 편도함수에 대입하고, 이후 다음의 식을 대입한다:
Figure 112014127991480-pct00135
(수학식 26), 및
Figure 112014127991480-pct00136
(수학식 26')
Figure 112014127991480-pct00137
(수학식 26'")
Figure 112014127991480-pct00138
(수학식 26"").
리소그래피 투영 장치를 최적화하면, 공정 윈도우를 확대할 수 있다. 더 큰 공정 윈도우는 공정 설계 및 칩 설계에 더 많은 유연성을 제공한다. 공정 윈도우는 한 세트의 포커스 및 도즈 값들로서 정의될 수 있으며, 이에 대해 레지스트 이미지는 레지스트 이미지의 원하는 타겟의 특정 한계 내에 있다. 본 명세서에 설명된 모든 방법들은, 노광 도즈 및 디포커스(defocus) 이외에도, 상이한 또는 추가적인 기저 파라미터들에 의해 조성될 수 있는 일반화된 공정 윈도우 정의로 확대될 수 있음을 유의한다. 이들은 광학 세팅들, 에컨대 NA, 시그마, 수차, 편광, 또는 레지스트 층의 광학 상수들(단, 이로 제한되지 않음)을 포함할 수 있다(이미징 공정에 관한 이의 효과들은 광학 모델, 즉 TCC에 포함됨). 예를 들어, 이전에 설명된 바와 같이, PW가 상이한 마스크 바이어스로 구성된 경우, 최적화는 MEEF(Mask Error Enhancement Factor)의 최소화를 포함하며, 이는 웨이퍼 EPE와 유도된 마스크 에지 바이어스 간의 비율로서 정의된다. 포커스 및 도즈 값들로 정의된 공정 윈도우는 단지 본 명세서에서 일 예시로서 제공된다. 일 실시예에 따라 공정 윈도우를 최대화하는 방법이 아래에 설명된다.
제 1 단계에서는, 공정 윈도우의 알려진 조건(f 0,ε 0)으로부터 시작하며, f 0는 공칭 포커스이고, ε 0는 공칭 도즈이며, 부근
Figure 112014127991480-pct00139
에서의 아래의 비용 함수들 중 하나를 최소화한다:
Figure 112014127991480-pct00140
(수학식 27)
또는
Figure 112014127991480-pct00141
(수학식 27')
또는
Figure 112014127991480-pct00142
(수학식 27").
공칭 포커스(f 0) 및 공칭 도즈(ε 0)가 시프트(shift)하도록 허용되는 경우, 이들은 설계 변수들(z 1,z 2,...,z N )과 공동으로 최적화될 수 있다. 다음의 단계에서, 비용 함수가 사전설정된 한계 내에 있도록 한 세트의 값들(z 1,z 2,...,z N ,f,ε)이 찾아질 수 있는 경우,
Figure 112014127991480-pct00143
는 공정 윈도우의 일부분으로서 용인된다.
대안적으로, 포커스 및 도즈가 시프트하도록 허용되지 않는 경우, 설계 변수들(z 1,z 2,...,z N )은 공칭 포커스(f 0) 및 공칭 도즈(ε 0)에 고정된 포커스 및 도즈로 최적화된다. 대안적인 실시예에서, 비용 함수가 사전설정된 한계 내에 있도록 한 세트의 값들(z 1,z 2,...,z N )이 찾아질 수 있는 경우,
Figure 112014127991480-pct00144
는 공정 윈도우의 일부분으로서 용인된다.
본 명세서에서 이전에 설명된 방법들은 수학식들 27, 27' 또는 27"의 각각의 비용 함수들을 최소화하기 위해 사용될 수 있다. 설계 변수들이 제르니케 계수와 같은 투영 광학기의 특성들인 경우, 수학식들 27, 27' 또는 27"의 비용 함수들을 최소화하면, 투영 광학기 최적화, 즉 렌즈 최적화(LO)에 기초하여 공정 윈도우 최대화를 유도한다. 설계 변수들이 투영 광학기의 특성들에 추가하여 소스 및 마스크의 특성들인 경우, 수학식들 27, 27' 또는 27"의 비용 함수들을 최소화하면, 도 3에 예시된 바와 같은 소스-마스크-렌즈 최적화(SMLO)에 기초하여 공정 윈도우 최대화를 유도한다.
대안적으로, 리소그래피 투영 장치가 공정 윈도우의 고정된 공칭 조건(f 0,ε 0)에서 작동할 때, 실제 포커스(f) 및 도즈(ε)는 공칭 포커스(f 0) 및 공칭 도즈(ε 0)에서 벗어날 수 있다. 포커스(f) 및 도즈(ε)의 분포는 (f 0,ε 0)를 중심으로 한 분포(예를 들어, 가우시안 분포)를 따를 수 있다. 임의의 평가 지점 p에서의 레지스트 이미지(예를 들어, 레지스트 층의 레지스트의 용해도)(RI p )가 허용되는 범위 [T 1,T 2] 밖에 있을 가능성을 최소화하기 위해, 설계 변수들이 최적화될 수 있다. 자세한 사항은 출원인이 동일한 미국 특허 출원 일련번호 12/642,436에서 찾을 수 있으며, 이 내용은 본 명세서에서 전문이 인용 참조된다.
수학적으로, 이러한 최적화의 목적은,
Figure 112014127991480-pct00145
(수학식 28)
을 최소화하는 설계 변수들(z 1,z 2,...,z N )의 한 세트의 값들을 찾아내는 데 있으며, 여기서, P(f,ε)는 실제 포커스(f) 및 도즈(ε)의 확률 밀도 함수이다.
예를 들어, 포커스(f) 및 도즈(ε)가 가우시안 분포를 따르는 경우, f의 표준 편차가 σ f 이고, ε의 표준 편차가 σ ε 이면, (f,ε)의 확률 밀도 함수는 다음과 같다:
Figure 112014127991480-pct00146
(수학식 29).
여기서는, 포커스(f) 및 도즈(ε)가 독립적이라고 가정한다. 하지만, 다른 분포(심지어 비-가우시안 분포), 이에 따른 다른 확률 밀도 함수들도 가능하다.
이 예시에서, 수학식 28을 최소화하는 것은,
Figure 112014127991480-pct00147
(수학식 29')
를 최대화하는 것과 같다.
평가 지점 p에서의 레지스트 이미지(RI p )는 설계 변수들(z 1,z 2,...,z N )과 실제 포커스(f) 및 도즈(ε)의 함수이다. RI p 는 공칭 조건(f 0,ε 0)을 중심으로 전개될 수 있으며, 다음과 같다:
Figure 112014127991480-pct00148
(수학식 30).
RI와 도즈(ε) 간의 관계가 본질적으로 선형이고 도즈(ε)가 통상적으로 ε 0으로부터 10 % 이상 벗어나지 않기 때문에, 도즈(ε)의 이차 항이 생략된다. 수학식 30을 수학식 29에 대입하고
Figure 112014127991480-pct00149
를 근사화하면, (ε-ε 0)<<1임에 따라, 수학식 29'는 다음과 같이 단순화될 수 있다:
Figure 112014127991480-pct00150
(수학식 31)
본 명세서에서 이전에 설명된 방법들은 수학식 31을 최소화하기 위해 사용될 수 있다. 설계 변수들이 제르니케 계수와 같은 투영 광학기의 특성들인 경우, 수학식 31을 최소화하면, 투영 광학기 최적화에 기초하여 공정 윈도우 최대화를 유도한다.
따라서, 일 실시예에 따른 공정 윈도우를 최대화하는 방법은, 임의의 평가 지점 p에서의 레지스트 이미지(예를 들어, 레지스트 층의 레지스트의 용해도)(RI p )가 허용된 범위 밖에 있을 확률의 최대 값을 최소화하는 설계 변수들의 값을 찾는 단계를 포함한다.
도 7은 동시 SMLO 공정이 어떠한 방식으로 최적화를 위한 가우스 뉴턴 알고리즘을 사용할 수 있는지에 관한 특정 예시를 나타낸다. 단계 S702에서는, 설계 변수들의 시작 값들이 식별된다. 또한, 각각의 변수에 대한 조절 범위들이 식별될 수 있다. 단계 S704에서는, 설계 변수들을 이용하여 비용 함수가 정의된다. 단계 S706에서는, 디자인 레이아웃의 모든 평가 지점들에 대한 시작 값들을 중심으로 비용 함수가 전개된다. 선택적인 단계 S710에서는, 풀-칩 디자인 레이아웃의 모든 임계 패턴들을 포괄하도록 풀-칩 시뮬레이션이 실행된다. 단계 S714에서는 (CD 또는 EPE와 같은) 원하는 리소그래피 반응 메트릭이 얻어지며, 단계 S712에서는 이러한 양들의 예측 값과 비교된다. 단계 S716에서는, 공정 윈도우가 결정된다. 단계들 S718, S720 및 S722는 도 5를 참조하여 설명된 바와 같은 대응하는 단계들 S514, S516 및 S518과 유사하다. 이전에 언급된 바와 같이, 최종 출력은 퓨필 평면에서 파면 수차 맵일 수 있으며, 원하는 이미징 성능을 생성하도록 최적화될 수 있다. 또한, 최종 출력은 최적화된 소스 맵 및/또는 최적화된 디자인 레이아웃일 수 있다.
앞서 설명된 바와 같이, 비용 함수는 수학식 1과 같은 특정한 형태로 제한되지 않는다. 따라서, 비용 함수는
Figure 112014127991480-pct00151
(수학식 100)으로서 일반화될 수 있으며, 여기서 LM p 는 p-번째 평가 지점이다. 리소그래피 투영 시스템에서 다수의 평가 지점들은 비용 함수를 최소화하는데 있어 높은 연산 비용과 비용 함수의 복잡화를 유도할 수 있다. 최적화의 유효성을 유지하면서 평가 지점들의 수를 감소시키는 방법이 바람직하다. 이러한 방법은 2010년 10월 28일에 출원된 출원인이 동일한 미국 특허 출원 일련번호 2011/0107280에 개시되어 있으며, 이는 본 명세서에서 전문이 인용 참조된다.
각각의 평가 지점 LM p 는 설계 변수들(z 1,z 2,...,z N )의 함수이다. 마찬가지로, 설계 변수들(z 1,z 2,...,z N )은 소스, 마스크 및 투영 광학기의 특성들을 포함하는 리소그래피 투영 장치의 여하한의 특성들을 포함할 수 있다. 일 실시예에서는, 평가 지점들 중 적어도 일부가 디자인 레이아웃의 1 이상의 피처들과 연계된다. 설계 변수(z i)에 대한 평가 지점(LM p )의 구배는 설계 변수(z i)의 변화에 대한 그 평가 지점(LM p )의 민감성을 측정한다. 이 구배는
Figure 112014127991480-pct00152
(수학식 101)으로서 수학적으로 표현될 수 있다. 평가 지점(LM p )이 z i의 변화에 민감하지 않은 경우, 즉
Figure 112014127991480-pct00153
가 작은 경우, 이 평가 지점(LM p )은 최적화의 유효성에 심각한 영향을 주지 않고 비용 함수로부터 제거될 수 있다. 여러 개의 평가 지점들이 유사한 구배를 갖는 경우, 이러한 평가 지점들의 전부가 아닌 일부(예를 들어, 하나)가 비용 함수에서 유지될 수 있는 한편, 이러한 평가 지점들의 나머지는 최적화의 유효성에 심각한 영향을 주지 않고 비용 함수로부터 제거될 수 있다.
도 8에 예시된 실시예에 따르면, 단계 S801에서 복수의 평가 지점들이 식별될 수 있고; 단계 S802에서 설계 변수에 대한 복수의 평가 지점들의 각각의 구배가 계산되며; 이 구배에 기초하여, 복수의 평가 지점들로부터 선택된 평가 지점들의 서브세트가 선택된다.
일 실시예에서, 1 이상의 설계 변수들(z j ;j∈[1,2,...,N])에 대한 평가 지점의 구배들이 계산될 수 있다. 1 이상의 설계 변수들은 (z 1,z 2,...,z N )의 전부 또는 일부를 포함할 수 있다. 예를 들어, 모든 설계 변수들에 대한 평가 지점(LM p )의 구배들은 투영 광학기(예를 들어, 제르니케 설계 변수들)와 연계된다. 이러한 구배들은 벡터 형태
Figure 112014127991480-pct00154
(수학식 102)로 쓰여질 수 있다.
일 실시예에서는, 단계 S803에서 구배들로부터 특성 값(V p )이 계산될 수 있다. 이 특성 값(V p )은, 예를 들어 벡터
Figure 112014127991480-pct00155
의 크기, (z j ;j∈[1,2,...,N])의 모든 값들에 걸친
Figure 112014127991480-pct00156
의 최대 범위, 또는 (z j ;j∈[1,2,...,N])의 변화에 대한 LM p 의 최대 변화일 수 있다.
일 실시예에서는, 특정 범위 내의 특성 값(V p )을 갖는 평가 지점들이 비용 함수로부터 제거될 수 있다. 예를 들어, 임계값보다 작은 특성 값(V p )을 갖는 평가 지점들이 비용 함수로부터 제거될 수 있다.
일 실시예에서는, 단계 S803에서 평가 지점들의 구배들에 기초하여 평가 지점들이 그룹화될 수 있다. 도 9에 예시된 예시에서는, 단계 S901에서 평가 지점들의 각 쌍 사이의 상관관계가 계산된다. 평가 지점 LM p 와 평가 지점 LM q 간의 상관관계는
Figure 112014127991480-pct00157
(수학식 103)으로서 수학적으로 표현될 수 있다. 단계 S902에서, 상관관계
Figure 112014127991480-pct00158
는 특정 임계값 위에 있으며, 평가 지점 LM p 및 평가 지점 LM q 는 동일한 그룹에 배치된다. 단계 S903에서, 적어도 하나의 평가 지점이 각 그룹으로부터 선택된다. 예를 들어, 가장 큰 특성 값(V p )을 갖는 평가 지점이 각 그룹으로부터 선택된다. 각 그룹으로부터 선택된 평가 지점은 평가 지점들의 서브세트를 형성한다.
일 예시에서는, 8 개의 평가 지점들 LM1, LM2, LM3, LM4, LM5, LM6, LM7 및 LM8이 비용 함수에 있다. V1=0.43, V2=0.37, V3=0.57, V4=0.72, V5=0.40, V6=0.85, V7=0.71 및 V8=0.03. 0.1 미만의 특성 값을 갖는 모든 평가 지점들이 제거되는 경우, LM8이 제거된다. 도 10은 LM1, LM2, LM3, LM4, LM5, LM6 및 LM7간의 상관관계를 나타낸다. 적어도 0.8의 상관관계를 갖는 모든 평가 지점들이 함께 그룹화되는 경우, 3 개의 그룹들이 형성된다: {LM1, LM2}, {LM3, LM4, LM5} 및 {LM6, LM7}. 이 3 개의 그룹들 중 각각으로부터, 서브세트를 형성하기 위해 가장 큰 특성 값을 갖는 평가 지점이 선택된다. 그러므로, 서브세트는 LM1, LM4 및 LM6을 포함한다.
또 다른 예시에서는, 단계 S903에서, 실제 공간의 근접성에 기초하여 적어도 하나의 평가 지점이 각 그룹으로부터 선택된다. 도 11에 예시된 바와 같이, 3 개의 그룹들 1110, 1120 및 1130은 각각 수 개의 평가 지점들 1111, 1121 및 1131을 포함한다. 영역 1150에 들어간 3 개의 평가 지점들이 실제 공간에서 가장 가까울 수 있으며, 서브세트에 의해 포함되도록 선택될 수 있다.
도 8에 예시된 방법은 최적화로 제한되는 것이 아니며, 여타의 적합한 알고리즘들과 연계하여 사용될 수 있다.
일 실시예에서, 평가 지점들의 일부는 디자인 레이아웃의 패턴들과 연계된다. 예를 들어, 이러한 평가 지점들은 레지스트 이미지 상의 물리적 지점들일 수 있다. 물리적 지점들은 레지스트 이미지의 패턴들 에지에, 내부에 또는 외부에 있을 수 있다. 비용 함수에 효과적으로 포함될 이러한 평가 지점들 간의 선택은 SMO 또는 SMLO와 같은 하류 알고리즘에 포함될 디자인 레이아웃의 패턴들의 선택이다.
일 실시예에서, 설계 변수들은 투영 광학기와 연계될 수 있다. 예를 들어, 설계 변수들은 제르니케 계수 또는 투영 광학기의 다른 조정가능한 특성들이다. 도 8의 방법은 투영 광학기와 연계된 설계 변수들에 민감한 평가 지점들을 선택하는데 사용될 수 있다.
또한, 본 명세서에 설명된 실시예들은 기판 상으로 디자인 레이아웃 또는 이의 일부분을 이미징하기 위한 다른 타입의 장치들, 예를 들어 전자 빔 리소그래피 장치와 함께 사용될 수 있다. 기판 상으로 디자인 레이아웃 또는 이의 일부분을 이미징하도록 구성된 장치는 일반적으로 리소그래피 이미징 장치라고 칭해질 수 있다.
도 12는 본 명세서에 개시된 최적화 방법 및 플로우를 구현하는데 도움을 줄 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 메커니즘, 및 정보를 처리하기 위해 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는데 사용될 수도 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)를 위한 정적 정보 및 명령어들을 저장하는, 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함한다. 정보 및 명령어들을 저장하는 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되며 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판(flat panel) 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 형태의 사용자 입력 디바이스는 지시 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키와 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스가 평면에서의 위치들을 지정하는 2 개의 축, 제 1 축(예를 들어, x) 및 제 2 축(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스들을 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 최적화 공정의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터 판독가능한 매체로부터 주 메모리(106)로 읽어들일 수 있다. 주 메모리(106)에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106)에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 이용될 수도 있다. 대안적인 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 일 실시예를 구현하기 위해 소프트웨어 명령어들과 조합하거나 이를 대신하여 사용될 수 있다. 따라서, 일 실시예는 하드웨어 회로와 소프트웨어의 여하한의 특정 조합으로 제한되지 않는다.
본 명세서에서 사용되는 바와 같은 "컴퓨터 판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media) 및 휘발성 매체를 포함하여 다수의 형태를 취할 수 있지만, 이로 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 컴퓨터 판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크, 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지, 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스들을 프로세서(104)로 전달하는데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 기록될(borne on a magnetic disk) 수 있다. 상기 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 대한 모뎀 로컬은 전화선 상에서 데이터를 수신할 수 있으며, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 가져다 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 검색(retrieve)하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 이전 또는 이후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 바람직하게 버스(102)에 커플링된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 쌍방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 형태의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환 LAN(compatible LAN)에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 이러한 여하한의 구현에서, 통신 인터페이스(118)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기, 전자기 또는 광학 신호들을 보내고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 이에 따라, ISP(126)는, 이제 보편적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122)와 인터넷(128) 둘 모두는 디지털 데이터 스트림을 전달하는 전기, 전자기 또는 광학 신호를 사용한다. 다양한 네트워크를 통한 신호, 및 컴퓨터 시스템(100)에 디지털 데이터를 주고받는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호는 정보를 전달하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지를 보내고 데이터를 수신할 수 있다. 인터넷 예시에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 응용 프로그램에 대한 요청된 코드를 전송할 수 있다. 본 발명에 따르면, 예를 들어 이러한 다운로드된 어플리케이션은 본 실시예의 조명 최적화를 제공한다. 수신된 코드는 수신 시에 프로세서(104)에 의해 실행되고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 스토리지에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 응용 코드를 얻을 수 있다.
도 13은 본 명세서에 설명된 방법들을 이용하여 조명 소스가 최적화될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선의 투영 빔(B)을 공급하는 방사선 시스템(IL) - 이 특정한 경우, 방사선 시스템은 방사선 소스(SO)를 또한 포함함 -;
- 마스크(MA)(예를 들어, 레티클)를 지지하는 마스크 홀더가 제공되고, 아이템(PS)에 대하여 마스크를 정확히 위치시키는 제 1 위치설정 수단에 연결된 제 1 대상물 테이블(object table)(마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정 수단에 연결된 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 마스크(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭(catadioptric) 광학 시스템]을 포함한다.
본 명세서에 설명된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 마스크를 가짐). 하지만, 일반적으로 상기 장치는 예를 들어 (반사 마스크를 갖는) 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 마스크의 사용의 대안으로서 또 다른 종류의 패터닝 수단을 이용할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
상기 소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저(excimer laser)]는 방사선 빔을 생성한다. 이 빔은 곧바로 또는 예를 들어 빔 익스팬더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 빔의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성 및 세기 분포를 갖는다.
도 13과 관련하여, 소스(SO)는 [흔히 상기 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그패피 투영 장치의 하우징(housing)에 놓일 수 있지만, 이는 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 소스가 생성한 방사선 빔은 (예를 들어, 적절한 지향 거울의 도움으로) 장치 내부로 들어올 수도 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 상기 빔(PB)은 마스크 테이블(MT)에 유지되어 있는 마스크(MA)를 통과한다(intercepts). 마스크(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PL)를 통과하며, 이는 기판(W)의 타겟부(C)로 상기 빔(B)을 포커스한다. 제 2 위치설정 수단[및 간섭계 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(PB)의 경로에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 마스크 라이브러리(mask library)로부터 마스크(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 대상물 테이블(MT, WT)들의 이동은, 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 13에 명확히 도시되지는 않는다. 하지만, [스텝-앤드-스캔 툴(step-and-scan tool)과는 대조적으로] 웨이퍼 스테퍼의 경우, 마스크 테이블(MT)은 단지 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:
- 스텝 모드에서, 마스크 테이블(MT)은 본질적으로 정지 상태로 유지되며, 전체 마스크 이미지가 한번에 [즉, 단일 "플래시(flash)"로] 타겟부(C)로 투영된다. 그 후, 상이한 타겟부(C)가 상기 빔(PB)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다;
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는, 본질적으로 동일한 시나리오가 적용된다. 그 대신, 마스크 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(B)이 마스크 이미지에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 같은 방향 또는 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PL)의 배율(통상적으로, M = 1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 작은 크기의 파장들을 생성할 수 있는 첨단(emerging) 이미징 기술들과 함께 유용할 수 있다. 이미 사용중인 첨단 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외) 리소그래피를 포함한다. 또한, EUV 리소그래피는 20 내지 5 nm 범위 내의 광자를 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 이 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는데 사용되는 것들과 함께 사용될 수도 있다는 것을 이해하여야 한다.
본 발명은 다음 조항들을 이용하여 더 설명될 수 있다:
1. 리소그래피 이미징 장치를 이용하여 기판 상으로 디자인 레이아웃의 일부분을 이미징하는 리소그래피 공정 방법에서, 상기 리소그래피 공정은 복수의 설계 변수들을 갖고, 상기 방법은:
상기 설계 변수들 중 적어도 하나에 대해, 상기 리소그래피 공정의 복수의 평가 지점들 또는 패턴들의 각각의 구배를 계산하는 단계; 및
상기 구배에 기초하여, 상기 복수의 평가 지점들 또는 패턴들로부터 평가 지점들 또는 패턴들의 서브세트를 선택하는 단계를 포함한다.
2. 조항 1의 방법에서, 상기 복수의 설계 변수들 중 적어도 일부는 상기 리소그래피 이미징 장치의 조명 소스와 연계되고, 상기 복수의 설계 변수들 중 적어도 일부는 상기 리소그래피 이미징 장치의 이미징 광학기와 연계되며, 및/또는 상기 복수의 설계 변수들 중 적어도 일부는 디자인 레이아웃과 연계된다.
3. 조항 1의 방법에서, 상기 구배에 기초하여 상기 복수의 평가 지점들 또는 패턴들을 복수의 그룹들로 그룹화하는 단계를 더 포함한다.
4. 조항 1의 방법에서, 상기 구배로부터 특성 값을 계산하는 단계를 더 포함한다.
5. 조항 4의 방법에서, 상기 서브세트는 임계값보다 작은 특성 값을 갖는 임의의 평가 지점을 포함하지 않는다.
6. 조항 1의 방법에서, 상기 복수의 평가 지점들 또는 패턴들에서의 평가 지점들 또는 패턴들의 쌍의 구배들 간의 상관관계를 계산하는 단계를 더 포함한다.
7. 조항 6의 방법에서, 상기 상관관계에 기초하여 상기 복수의 평가 지점들 또는 패턴들을 복수의 그룹들로 그룹화하는 단계를 더 포함한다.
8. 조항 7의 방법에서, 임계값 위의 상관관계를 갖는 평가 지점들의 쌍은 동일한 그룹에 배치된다.
9. 조항 3 또는 7의 방법에서, 상기 서브세트는 상기 복수의 그룹들의 각각으로부터 선택된 적어도 하나의 요소(member)를 포함한다.
10. 조항 1의 방법에서,
상기 복수의 설계 변수들의 비용 함수를 정의하는 단계 - 상기 비용 함수는 평가 지점들 또는 패턴들의 서브세트를 포함함 -; 및
사전정의된 종료 조건이 만족될 때까지, 상기 설계 변수들을 조정하는 단계를 더 포함한다.
11. 조항 10의 방법에서, 상기 사전정의된 종료 조건은: 비용 함수의 최소화; 비용 함수의 최대화; 사전설정된 수의 반복에 도달; 사전설정된 임계값과 같거나 사전설정된 임계값을 넘는 비용 함수의 값에 도달; 사전정의된 연산 시간에 도달; 및 용인가능한 오차 한계 내에서 비용 함수의 값에 도달하는 것 중 1 이상을 포함한다.
12. 조항 1의 방법에서, 상기 설계 변수들을 조정하는 단계는 상기 설계 변수들 중 적어도 일부의 범위를 좌우하는 제약들로 수행된다.
13. 조항 1 또는 12의 방법에서, 상기 설계 변수들 중 적어도 일부는 상기 리소그래피 투영 장치의 하드웨어 구현에서 물리적 제한들을 나타내는 제약들 하에 있다.
14. 조항 13의 방법에서, 상기 제약들은 마스크 제조성을 지배하는(governing) 규칙, 및 상기 설계 변수들 간의 상호의존성 중 1 이상을 포함한다.
15. 조항 1의 방법에서, 상기 복수의 평가 지점들 또는 패턴들은 다음의 리소그래피 메트릭: 에지 배치 오차, 임계 치수, 레지스트 윤곽 거리, 최악의 결함 크기 및 최적의 포커스 시프트로부터 선택된다.
16. 조항 9의 방법에서, 상기 적어도 하나의 요소는 실제 공간에서 상기 적어도 하나의 요소의 근접성에 기초하여 상기 복수의 그룹들의 각각으로부터 선택된다.
17. 조항 9의 방법에서, 상기 적어도 하나의 요소는 상기 구배로부터 계산된 특성 값에 기초하여 상기 복수의 그룹들의 각각으로부터 선택된다.
18. 조항 10의 방법에서, 상기 조정하는 단계는 가우스-뉴턴 알고리즘, 레벤베르그-마르콰르츠 알고리즘, 기울기 하강 알고리즘, 시뮬레이션된 어닐링, 다항식 해결, 이차 프로그래밍 문제 해결, 및 유전 알고리즘으로 구성된 그룹으로 선택된 방법에 의해 수행된다.
19. 조항 1의 방법에서, 상기 복수의 설계 변수들은 제르니케 계수를 포함한다.
20. 명령어들이 기록된 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품에서, 상기 명령어들은 컴퓨터에 의해 수행될 때 상기의 조항들 중 어느 하나의 방법을 구현한다.
앞선 설명들은 예시를 위한 것이며, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에서 설명되는 청구항들의 범위를 벗어나지 않고 설명된 본 발명에 대한 변형예들이 수행될 수 있다는 것을 이해할 것이다.

Claims (15)

  1. 리소그래피 이미징 장치를 이용하여 기판 상으로 디자인 레이아웃의 일부분을 이미징하는 리소그래피 공정 방법에 있어서,
    상기 리소그래피 공정은 복수의 설계 변수들(design variables)을 갖고, 상기 복수의 설계 변수들 중 적어도 일부는 상기 리소그래피 이미징 장치의 조사 빔(irradiation beam)의 파면 및 세기 분포 중 하나 또는 둘 모두의 형상을 조정하는 데 사용되는 투영 광학기의 조정가능한 구성요소들을 포함하고, 상기 방법은 컴퓨터에 의해 구현되며,
    상기 컴퓨터에 의해, 상기 설계 변수들 중 적어도 하나에 대해, 상기 디자인 레이아웃의 일부분에서 복수의 평가 지점들 또는 패턴들의 각각의 구배(gradient)를 계산하는 단계 - 상기 적어도 하나의 설계 변수는 상기 투영 광학기의 상기 조정가능한 구성요소들 중 적어도 하나를 포함함 -;
    상기 구배에 기초하여, 상기 복수의 평가 지점들 또는 패턴들로부터 평가 지점들 또는 패턴들의 서브세트를 선택하는 단계; 및
    평가 지점들의 상기 선택된 서브세트에서 시뮬레이션된 이미지를 측정함에 의해 상기 리소그래피 이미징 장치의 조명 소스, 상기 디자인 레이아웃, 및 상기 리소그래피 이미징 장치의 상기 투영 광학기 중 1 이상을 조정하는 단계;
    를 포함하는 리소그래피 공정 방법.
  2. 제 1 항에 있어서,
    상기 복수의 설계 변수들 중 적어도 다른 일부는 상기 리소그래피 이미징 장치의 상기 조명 소스와 연계되거나, 상기 복수의 설계 변수들 중 적어도 다른 일부는 상기 디자인 레이아웃과 연계되는 리소그래피 공정 방법.
  3. 제 1 항에 있어서,
    상기 구배에 기초하여, 상기 복수의 평가 지점들 또는 패턴들을 복수의 그룹들로 그룹화하는 단계를 더 포함하는 리소그래피 공정 방법.
  4. 제 1 항에 있어서,
    상기 구배로부터 특성 값을 계산하는 단계를 더 포함하는 리소그래피 공정 방법.
  5. 제 1 항에 있어서,
    상기 복수의 평가 지점들 또는 패턴들에서의 평가 지점들 또는 패턴들의 쌍의 구배들 간의 상관관계(correlation)를 계산하는 단계를 더 포함하는 리소그래피 공정 방법.
  6. 제 5 항에 있어서,
    상기 상관관계에 기초하여, 상기 복수의 평가 지점들 또는 패턴들을 복수의 그룹들로 그룹화하는 단계를 더 포함하는 리소그래피 공정 방법.
  7. 제 6 항에 있어서,
    임계값 위의 상관관계를 갖는 평가 지점들의 쌍은 동일한 그룹에 배치되는 리소그래피 공정 방법.
  8. 제 3 항 또는 제 6 항에 있어서,
    상기 서브세트는 상기 복수의 그룹들의 각각으로부터 선택된 적어도 하나의 요소(member)를 포함하는 리소그래피 공정 방법.
  9. 제 1 항에 있어서,
    상기 복수의 설계 변수들의 비용 함수를 정의하는 단계 - 상기 비용 함수는 상기 복수의 설계 변수들의 함수로서 상기 평가 지점들 또는 패턴들의 서브세트에서의 상기 시뮬레이션된 이미지 측정을 포함함 -; 를 더 포함하고,
    상기 조정하는 단계는 상기 비용 함수와 연계된 사전정의된 종료 조건이 만족될 때까지, 상기 설계 변수들을 조정하는 단계를 포함하는 리소그래피 공정 방법.
  10. 제 9 항에 있어서,
    상기 설계 변수들을 조정하는 단계는 상기 설계 변수들 중 적어도 일부의 범위를 좌우하는 제약들로 수행되는 리소그래피 공정 방법.
  11. 제 1 항에 있어서,
    상기 측정은 평가 지점들의 상기 선택된 서브세트에서, 리소그래피 메트릭(lithographic metrics)인 에지 배치 오차(edge placement error), 임계 치수, 레지스트 윤곽 거리(resist contour distance), 최악의 결함 크기(worst defect size) 및 최적의 포커스 시프트(best focus shift) 중 1 이상을 결정하는 단계를 포함하는 리소그래피 공정 방법.
  12. 제 8 항에 있어서,
    상기 적어도 하나의 요소는 실제 공간에서 상기 적어도 하나의 요소의 근접성에 기초하여 상기 복수의 그룹들의 각각으로부터 선택되는 리소그래피 공정 방법.
  13. 제 8 항에 있어서,
    상기 적어도 하나의 요소는, 상기 구배로부터 계산된 특성 값에 기초하여, 상기 복수의 그룹들의 각각으로부터 선택되는 리소그래피 공정 방법.
  14. 리소그래피 이미징 장치를 이용하여 기판 상으로 디자인 레이아웃의 일부분을 이미징하는 리소그래피 공정 방법에 있어서,
    상기 리소그래피 공정은 복수의 설계 변수들을 갖고,
    상기 설계 변수들 중 적어도 하나에 대해, 상기 리소그래피 공정의 복수의 평가 지점들 또는 패턴들의 각각의 구배를 계산하는 단계;
    상기 구배에 기초하여, 상기 복수의 평가 지점들 또는 패턴들로부터 평가 지점들 또는 패턴들의 서브세트를 선택하는 단계; 및
    상기 구배에 기초하여, 상기 복수의 평가 지점들 또는 패턴들을 복수의 그룹들로 그룹화하는 단계;
    를 포함하는 리소그래피 공정 방법..
  15. 명령어들이 기록된 컴퓨터 판독가능한 기록 매체에 있어서,
    상기 명령어들은, 컴퓨터에 의해 수행될 때, 제 1 항 내지 제 7 항, 제 9 항 내지 제 11 항 및 제 14 항 중 어느 한 항의 방법을 구현하는 컴퓨터 판독가능한 기록 매체.
KR1020147037010A 2012-05-31 2013-05-13 구배-기반 패턴 및 평가 지점 선택 KR101757780B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261653790P 2012-05-31 2012-05-31
US61/653,790 2012-05-31
PCT/EP2013/059834 WO2013178459A1 (en) 2012-05-31 2013-05-13 Gradient-based pattern and evaluation point selection

Publications (2)

Publication Number Publication Date
KR20150024871A KR20150024871A (ko) 2015-03-09
KR101757780B1 true KR101757780B1 (ko) 2017-07-14

Family

ID=48446313

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147037010A KR101757780B1 (ko) 2012-05-31 2013-05-13 구배-기반 패턴 및 평가 지점 선택

Country Status (6)

Country Link
US (1) US8898599B2 (ko)
KR (1) KR101757780B1 (ko)
CN (1) CN104395828B (ko)
NL (1) NL2010792A (ko)
TW (1) TWI519902B (ko)
WO (1) WO2013178459A1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US9489479B2 (en) * 2012-05-04 2016-11-08 Asml Netherlands B.V. Rule and lithographic process co-optimization
KR101939313B1 (ko) 2014-06-25 2019-01-16 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
US10915689B2 (en) 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10691863B2 (en) 2015-10-19 2020-06-23 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067757A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067748A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to reduce effects of nonlinear behavior
KR20180072768A (ko) * 2015-10-19 2018-06-29 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 오차를 보정하는 장치 및 방법
TWI647528B (zh) 2016-07-12 2019-01-11 荷蘭商Asml荷蘭公司 用於視覺化設計佈局之計算分析之效能度量的方法及系統
CN109891319B (zh) * 2016-10-24 2023-11-10 Asml荷兰有限公司 用于优化图案化装置图案的方法
US10671052B2 (en) 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Synchronized parallel tile computation for large area lithography simulation
KR102440337B1 (ko) 2017-12-22 2022-09-05 에이에스엠엘 네델란즈 비.브이. 결함 확률에 기초한 프로세스 윈도우
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
US11397840B1 (en) * 2018-03-14 2022-07-26 Synopsys, Inc. Edge placement errors for optical lithography
US11119404B2 (en) * 2019-10-10 2021-09-14 Kla Corporation System and method for reducing printable defects on extreme ultraviolet pattern masks
CN113296356A (zh) * 2020-02-24 2021-08-24 中芯国际集成电路制造(上海)有限公司 修正掩膜图案的方法
TWI743807B (zh) * 2020-05-27 2021-10-21 力晶積成電子製造股份有限公司 用於光學鄰近修正的重定位方法
CN111813117B (zh) * 2020-07-09 2023-09-01 北京布科思科技有限公司 一种机器人巡线优先导航方法、装置和设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070050749A1 (en) * 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
WO2011051249A1 (en) 2009-10-28 2011-05-05 Asml Netherlands B.V. Method of pattern selection for source and mask optimization
US20120117522A1 (en) 2010-11-10 2012-05-10 Asml Netherlands B.V. Optimization of Source, Mask and Projection Optics

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US6335130B1 (en) 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
EP1164432A1 (en) 2000-06-13 2001-12-19 ASML Masktools Netherlands B.V. Optical proximity correction method utilizing serifs having variable dimensions
US7233887B2 (en) * 2002-01-18 2007-06-19 Smith Bruce W Method of photomask correction and its optimization using localized frequency analysis
KR100760037B1 (ko) 2003-03-31 2007-09-20 에이에스엠엘 마스크툴즈 비.브이. 소스 및 마스크 최적화 방법
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7342646B2 (en) 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
WO2006115438A1 (en) * 2005-04-25 2006-11-02 Micronic Laser Systems Ab A method for measuring the position of a mark in a micro lithographic deflector system
US7743359B2 (en) * 2005-05-02 2010-06-22 Cadence Design Systems, Inc. Apparatus and method for photomask design
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7953582B2 (en) 2006-11-21 2011-05-31 Cadence Design Systems, Inc. Method and system for lithography simulation and measurement of critical dimensions
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL2003143A1 (nl) 2008-07-07 2010-01-11 Brion Tech Inc Illumination optimization.
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
CN102455247B (zh) * 2010-11-03 2014-02-19 上海微电子装备有限公司 投影物镜最佳焦面检测装置及方法
US8667427B2 (en) 2011-02-24 2014-03-04 International Business Machines Corporation Method of optimization of a manufacturing process of an integrated circuit layout

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070050749A1 (en) * 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
WO2011051249A1 (en) 2009-10-28 2011-05-05 Asml Netherlands B.V. Method of pattern selection for source and mask optimization
US20120117522A1 (en) 2010-11-10 2012-05-10 Asml Netherlands B.V. Optimization of Source, Mask and Projection Optics

Also Published As

Publication number Publication date
KR20150024871A (ko) 2015-03-09
TW201405252A (zh) 2014-02-01
CN104395828A (zh) 2015-03-04
CN104395828B (zh) 2018-02-02
NL2010792A (en) 2013-12-04
US8898599B2 (en) 2014-11-25
WO2013178459A1 (en) 2013-12-05
TWI519902B (zh) 2016-02-01
US20130326437A1 (en) 2013-12-05

Similar Documents

Publication Publication Date Title
KR101757780B1 (ko) 구배-기반 패턴 및 평가 지점 선택
US9619603B2 (en) Optimization of source, mask and projection optics
US10712653B2 (en) Etch variation tolerant optimization
US9378309B2 (en) Pattern-independent and hybrid matching/tuning including light manipulation by projection optics
US8806394B2 (en) Pattern-dependent proximity matching/tuning including light manipulation by projection optics
KR101279462B1 (ko) 소스, 마스크 및 투영 광학기의 최적화 플로우
US9940427B2 (en) Lens heating aware source mask optimization for advanced lithography
WO2020169303A1 (en) Method for training machine learning model to determine optical proximity correction for mask
US11054750B2 (en) Profile aware source-mask optimization
EP3650940A1 (en) A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
KR20200085883A (ko) 광학 수차를 포함하는 패터닝 공정 개선
EP4042244A1 (en) Method for determining a field-of-view setting
EP3822703A1 (en) Method for determining a field-of-view setting

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant