KR20180072768A - 패터닝 공정 오차를 보정하는 장치 및 방법 - Google Patents

패터닝 공정 오차를 보정하는 장치 및 방법 Download PDF

Info

Publication number
KR20180072768A
KR20180072768A KR1020187014221A KR20187014221A KR20180072768A KR 20180072768 A KR20180072768 A KR 20180072768A KR 1020187014221 A KR1020187014221 A KR 1020187014221A KR 20187014221 A KR20187014221 A KR 20187014221A KR 20180072768 A KR20180072768 A KR 20180072768A
Authority
KR
South Korea
Prior art keywords
patterning
error
patterning device
information
correction
Prior art date
Application number
KR1020187014221A
Other languages
English (en)
Inventor
피터 텐 베르그
요하네스 카타리누스 후베르투스 멀켄스
베르나르도 카스트럽
리차드 요하네스 프란치스코스 반 하렌
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20180072768A publication Critical patent/KR20180072768A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Abstract

패터닝 시스템에서의 패터닝 디바이스에 관한 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 기판의 영역이 핫 스폿(710)을 포함함을 식별하는 단계, 핫 스폿에서의 제 1 오차 정보(720)를 결정하는 단계, 및 컴퓨터 시스템에 의하여, 수정된 패터닝 디바이스를 얻기 위해 제 1 오차 정보에 기초하여 패터닝 디바이스를 수정하는 제 1 수정 정보(상기 정보는 740에서 패터닝 디바이스와 함께 패터닝 수정 툴로 전달됨)를 생성하는 단계(730)를 포함하는 방법이 개시된다.

Description

패터닝 공정 오차를 보정하는 장치 및 방법
본 출원은 2015년 10월 19일에 출원된 US 출원 62/243,573의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은, 예를 들어 1 이상의 패터닝 디바이스를 수정함으로써 패터닝 공정 오차들을 보정하는 장치 및 방법에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC) 또는 기능적이도록 디자인되는 다른 디바이스들의 제조 시에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 기능적이도록 디자인되는 디바이스의 개별층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 다이의 부분, 한 개 또는 수 개의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 알려진 리소그래피 장치는, 한 번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 소위 스테퍼, 및 방사선 빔을 통해 주어진 방향("스캐닝"-방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향(같은 방향으로 평행한 방향) 또는 역-평행 방향(반대 방향으로 평행한 방향)으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는 소위 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 디바이스로부터 기판으로 패턴을 전사할 수도 있다.
반도체 디바이스와 같은 디바이스들의 제조는 전형적으로 디바이스들의 다양한 피처(feature)들 및 다층들을 형성하기 위해 다수의 제작 공정들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 단계를 수반한다. 이러한 층들 및 피처들은 전형적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 사용하여 제조되고 처리된다. 다수 디바이스들이 기판 상의 복수의 다이들에 제작된 후 개개의 디바이스들로 분리될 수 있다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 리소그래피 장치를 사용하여 기판 상에 패턴을 제공하는 광학 및/또는 나노임프린트(nanoimprint) 리소그래피와 같은 패터닝 단계를 수반하며, 전형적이지만 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치를 이용한 패턴을 이용한 에칭 등과 같은 1 이상의 관련된 패턴 처리 단계를 수반한다. 또한, 패터닝 공정 시 1 이상의 메트롤로지 공정이 수반된다.
메트롤로지 공정들은 패터닝 공정 동안 다양한 단계들에서 사용되어, 공정을 모니터링하고 제어한다. 예를 들어, 메트롤로지 공정들은 패터닝 공정 동안 기판 상에 형성되는 피처들의 상대 위치[예를 들어, 정합(registration), 오버레이, 정렬 등] 또는 치수[예를 들어, 선폭(line width), 임계 치수(CD), 두께 등]와 같은 기판의 1 이상의 특성을 측정하는 데 사용되어, 예를 들어 패터닝 공정의 성능이 1 이상의 특성으로부터 결정될 수 있도록 한다. 1 이상의 특성이 허용가능하지 않은 경우[예를 들어, 특성(들)에 대한 사전설정된 범위를 벗어나는 경우], 1 이상의 특성의 측정들은 패터닝 공정에 의해 제조되는 추가 기판들이 허용가능한 특성(들)을 갖도록 패터닝 공정의 1 이상의 파라미터를 변경하는 데 사용될 수 있다.
리소그래피 및 다른 패터닝 공정 기술들의 발전에 따라, 기능 요소들의 치수는 계속해서 감소된 한편, 디바이스 당 트랜지스터와 같은 기능 요소들의 양은 수십 년 동안 꾸준히 증가되어 왔다. 그러는 동안, 오버레이, 임계 치수(CD) 등에 관한 정확성의 요건은 점점 더 엄격해졌다. 오버레이 오차, CD 오차 등과 같은 오차들은 패터닝 공정에서 필연적으로 생성될 것이다. 예를 들어, 이미징 오차들이 광학 수차, 패터닝 디바이스 가열, 패터닝 디바이스 오차들, 및/또는 기판 가열로부터 생성될 수 있으며, 예를 들어 오버레이 오차, CD 오차 등에 관하여 특징지어질 수 있다. 추가적으로 또는 대안적으로, 오차들은 에칭, 현상, 베이크 등과 같은 패터닝 공정의 다른 부분들에서 도입될 수 있으며, 유사하게 예를 들어 오버레이 오차, CD 오차 등에 관하여 특징지어질 수 있다. 오차들은 디바이스가 기능하지 못하는 것 또는 기능하는 디바이스의 1 이상의 전기적 문제를 포함하는, 디바이스의 기능에 관한 문제를 직접 야기할 수 있다.
패터닝 공정에서 사용되는 1 이상의 장치가 (예를 들어, 전체가 아니라면, 적어도 부분적으로) 오차들 중 1 이상을 보정하는 데 사용될 수 있다. 예를 들어, 리소그래피 장치는 리소그래피 장치 내의 1 이상의 액추에이터를 조정함으로써 오차들의 일부분을 보정할 수 있다. 하지만, 남은 오차는 리소그래피 장치 내의 1 이상의 액추에이터에 의해 보정가능하지 않을 수 있다. 그러므로, 패터닝 공정에서 오차들을 더 보정하거나 더 우수하게 보정할 수 있는 장치 및/또는 방법을 제공하는 것이 바람직하다.
일 실시예에서, 패터닝 시스템 내의 패터닝 디바이스에 관한 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 기판의 영역이 핫 스폿(hot spot)을 포함함을 식별하는 단계; 핫 스폿에서의 제 1 오차 정보를 결정하는 단계; 및 수정된 패터닝 디바이스를 얻기 위해 제 1 오차 정보에 기초하여 패터닝 디바이스를 수정하는 제 1 수정 정보를 생성하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적(non-transitory) 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 시스템 내의 패터닝 디바이스에 관한 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 기판의 영역이 핫 스폿을 포함함을 식별하고; 핫 스폿에서의 제 1 오차 정보를 결정하며; 및 수정된 패터닝 디바이스를 얻기 위해 제 1 오차 정보에 기초하여 패터닝 디바이스를 수정하는 제 1 수정 정보를 생성하게 한다.
일 실시예에서, 패터닝 디바이스를 수반하는 패터닝 공정에 대한 패터닝 오차 정보를 얻는 단계; 및 패터닝 오차 정보 및 수정 장치에 관한 정보에 기초하여, 패터닝 공정의 수정 장치에 대한 패터닝 오차 오프셋을 결정하는 단계를 포함하는 방법이 제공되고, 패터닝 오차 오프셋 및 패터닝 오차의 조합은 수정 장치의 수정 범위 내에서 수정가능하다.
일 실시예에서, 패터닝 시스템의 에칭 툴에 의해 처리된 후 패턴의 측정 및/또는 시뮬레이션 결과를 얻는 단계; 측정 및/또는 시뮬레이션 결과에 기초하여 에칭 로딩 효과(etch loading effect)로 인한 패터닝 오차를 결정하는 단계; 및 패터닝 오차에 기초하여 에칭 툴로부터 패터닝 시스템의 상류에 있는 수정 장치를 조정하는 및/또는 패터닝 디바이스를 수정하는 수정 정보를 생성하는 단계를 포함하는 방법이 제공되고, 패터닝 오차는 패터닝 디바이스가 수정 정보에 따라 수정되고 및/또는 수정 장치가 수정 정보에 따라 조정되는 경우에 보정가능한 오차로 전환되고 및/또는 소정 범위로 감소된다.
일 실시예에서, 패터닝 디바이스 정합 오차에 추가하여 또는 이 이외에 오차에 관한 정보를 얻는 단계 -오차의 부분은 패터닝 시스템의 수정 장치에 의해 보정가능하지 않음- ; 및 오차 정보에 기초하여 패터닝 디바이스를 수정하는 수정 정보를 생성하는 단계를 포함하는 방법이 제공되고, 수정 정보는 패터닝 디바이스가 수정 정보에 따라 수정되는 경우에 수정 장치에 대한 보정가능한 오차로 오차의 부분을 변환한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 디바이스를 수반하는 패터닝 공정에 대한 패터닝 오차 정보를 얻고; 및 패터닝 오차 정보 및 수정 장치에 관한 정보에 기초하여, 패터닝 공정의 수정 장치에 대한 패터닝 오차 오프셋을 결정하게 하며, 패터닝 오차 오프셋 및 패터닝 오차의 조합은 수정 장치의 수정 범위 내에서 수정가능하다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 시스템의 에칭 툴에 의해 처리된 후 패턴의 측정 및/또는 시뮬레이션 결과를 얻고; 측정 및/또는 시뮬레이션 결과에 기초하여 에칭 로딩 효과로 인한 패터닝 오차를 결정하며; 및 패터닝 오차에 기초하여 에칭 툴로부터 패터닝 시스템의 상류에 있는 수정 장치를 조정하는 및/또는 패터닝 디바이스를 수정하는 수정 정보를 생성하게 하고, 패터닝 오차는 패터닝 디바이스가 수정 정보에 따라 수정되고 및/또는 수정 장치가 수정 정보에 따라 조정되는 경우에 보정가능한 오차로 전환되고 및/또는 소정 범위로 감소된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 디바이스 정합 오차에 추가하여 또는 이 이외에 오차에 관한 정보를 얻고 -오차의 부분은 패터닝 시스템의 수정 장치에 의해 보정가능하지 않음- ; 및 오차 정보에 기초하여 패터닝 디바이스를 수정하는 수정 정보를 생성하게 하며, 수정 정보는 패터닝 디바이스가 수정 정보에 따라 수정되는 경우에 수정 장치에 대한 보정가능한 오차로 오차의 부분을 변환한다.
일 실시예에서, 기판의 영역에 제공된 패턴의 측정 결과, 및/또는 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 얻는 단계 -패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용함으로써 제공된 또는 제공될 것임- ; 패턴과 타겟 패턴 간의 오차를 결정하는 단계; 및 오차에 기초하여 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하는 방법이 제공되고, 오차는 패터닝 디바이스가 수정 정보에 따라 수정되는 경우에 보정가능한 오차로 전환되고 및/또는 소정 범위로 감소된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 기판의 영역에 제공된 패턴의 측정 결과, 및/또는 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 얻고 -패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용함으로써 제공된 또는 제공될 것임- ; 패턴과 타겟 패턴 간의 오차를 결정하며; 및 오차에 기초하여 패터닝 디바이스에 대한 수정 정보를 생성하게 하고, 오차는 패터닝 디바이스가 수정 정보에 따라 수정되는 경우에 보정가능한 오차로 전환되고 및/또는 소정 범위로 감소된다.
일 실시예에서, 패터닝 공정을 위한 패터닝 디바이스에 패턴 수정 툴에 의해 이루어진 또는 이루어질 수정을 설명하는 정보를 얻는 단계; 패터닝 디바이스의 온도 및/또는 변형의 공간 분포를 얻는 단계; 및 패터닝 디바이스의 수정 정보 및 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 크래킹 거동(cracking behavior)을 예측하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 패터닝 시스템에서 사용하기 위한 패터닝 디바이스의 온도 및/또는 변형의 공간 분포를 얻는 단계; 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 크래킹 거동에 대한 예측을 얻는 단계; 및 패터닝 디바이스가 크래킹되었거나 크래킹될 것을 나타내는 예측에 응답하여 패터닝 시스템 내의 패터닝 디바이스의 사용을 방지하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 공정을 위한 패터닝 디바이스에 패턴 수정 툴에 의해 이루어진 또는 이루어질 수정을 설명하는 정보를 얻고; 패터닝 디바이스의 온도 및/또는 변형의 공간 분포를 얻으며; 및 패터닝 디바이스의 수정 정보 및 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 크래킹 거동을 예측하게 한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 시스템에서 사용하기 위한 패터닝 디바이스의 온도 및/또는 변형의 공간 분포를 얻고; 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 크래킹 거동에 대한 예측을 얻으며; 및 패터닝 디바이스가 크래킹되었거나 크래킹될 것을 나타내는 예측에 응답하여 패터닝 시스템 내의 패터닝 디바이스의 사용을 방지하게 한다.
일 실시예에서, 패터닝 시스템 내의 제 1 패터닝 디바이스에 관한 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하는 단계; 패터닝 시스템 내의 제 2 패터닝 디바이스에 관한 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하는 단계; 제 1 오차 정보와 제 2 오차 정보 간의 차이를 결정하는 단계; 및 제 1 오차 정보와 제 2 오차 정보 간의 차이에 기초하여 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하는 방법이 제공되고, 제 1 오차 정보와 제 2 오차 정보 간의 차이는 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 후, 소정 범위 내로 감소된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 시스템 내의 제 1 패터닝 디바이스에 관한 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하고; 패터닝 시스템 내의 제 2 패터닝 디바이스에 관한 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하며; 제 1 오차 정보와 제 2 오차 정보 간의 차이를 결정하고; 및 제 1 오차 정보와 제 2 오차 정보 간의 차이에 기초하여 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하게 하며, 제 1 오차 정보와 제 2 오차 정보 간의 차이는 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 후, 사전설정된 범위 내로 감소된다.
일 실시예에서, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 관한 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하는 단계; 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 관한 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하는 단계; 제 1 오차 정보와 제 2 오차 정보 간의 차이를 결정하는 단계; 및 제 1 오차 정보와 제 2 오차 정보 간의 차이에 기초하여 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하는 방법이 제공되고, 제 1 오차 정보와 제 2 오차 정보 간의 차이는 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 후, 소정 범위 내로 감소된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 관한 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하고; 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 관한 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하며; 제 1 오차 정보와 제 2 오차 정보 간의 차이를 결정하고; 및 제 1 오차 정보와 제 2 오차 정보 간의 차이에 기초하여 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하게 하며, 제 1 오차 정보와 제 2 오차 정보 간의 차이는 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 후, 사전설정된 범위 내로 감소된다.
일 실시예에서, 컴퓨터 시스템에 의해, 오차 수학 모델을 이용하여 패터닝 시스템 내의 패터닝 디바이스를 수반하는 패터닝 공정의 고분해능(high resolution) 패터닝 오차 정보를 모델링하는 단계; 컴퓨터 시스템에 의해, 보정 수학 모델을 이용하여 패터닝 디바이스 수정 툴에 의해 이루어질 수 있는 패터닝 오차의 보정을 모델링하는 단계 -보정 수학 모델은 오차 수학 모델과 실질적으로 동일한 분해능을 가짐- ; 및 컴퓨터 시스템에 의해, 오차 수학 모델에 의해 모델링된 패터닝 오차 정보에 보정 수학 모델을 적용함으로써 패터닝 디바이스 수정 툴을 사용하여 패터닝 디바이스를 수정하는 수정 정보를 결정하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 컴퓨터 시스템에 의해, 오차 수학 모델을 이용하여 패터닝 시스템 내의 패터닝 디바이스를 수반하는 패터닝 공정의 고분해능 패터닝 오차 정보를 모델링하고; 컴퓨터 시스템에 의해, 보정 수학 모델을 이용하여 패터닝 디바이스 수정 툴에 의해 이루어질 수 있는 패터닝 오차의 보정을 모델링하며 -보정 수학 모델은 오차 수학 모델과 실질적으로 동일한 분해능을 가짐- ; 및 컴퓨터 시스템에 의해, 오차 수학 모델에 의해 모델링된 패터닝 오차 정보에 보정 수학 모델을 적용함으로써 패터닝 디바이스 수정 툴을 사용하여 패터닝 디바이스를 수정하는 수정 정보를 결정하게 한다.
일 실시형태에서, 프로세서 시스템이 본 명세서에서 설명되는 방법의 수행을 야기하게 하는 기계-판독가능한 명령어들을 포함하는 비-일시적 컴퓨터 프로그램 제품이 제공된다.
이제 첨부된 도면들을 참조하여, 단지 예시의 방식으로만 실시예들을 설명할 것이다:
도 1은 리소그래피 장치의 일 실시예를 개략적으로 도시하는 도면;
도 2는 리소그래피 셀(lithographic cell) 또는 클러스터(cluster)의 일 실시예를 개략적으로 도시하는 도면;
도 3은 리소그래피 처리, 메트롤로지 및 패터닝 디바이스 수정 시스템의 일 실시예를 개략적으로 도시하는 도면;
도 4는 패터닝 디바이스 수정 툴의 일 실시예를 개략적으로 도시하는 도면;
도 5는 패터닝 디바이스 수정 툴에 의한 패터닝 디바이스 수정 방법의 일 실시예의 개략적인 흐름도;
도 6은 패터닝 오차 수정 방법의 일 실시예의 개략적인 흐름도;
도 7은 핫 스폿 제어 방법의 일 실시예의 개략적인 흐름도;
도 8은 오차 오프셋을 조합하기 전에 적용된 오차 보정의 개략적인 그래프;
도 9는 오차 오프셋을 조합한 후 오차 보정의 개략적인 그래프;
도 10은 오차 오프셋을 사용함에 의한 오차 보정 방법의 일 실시예의 개략적인 흐름도;
도 11은 패터닝 디바이스 크래킹 방지 방법의 일 실시예의 개략적인 흐름도;
도 12는 패터닝 디바이스 크래킹 방지 방법의 일 실시예의 개략적인 흐름도;
도 13은 패터닝 디바이스 대 패터닝 디바이스 매칭 방법의 일 실시예의 개략적인 흐름도;
도 14는 패터닝 디바이스 대 패터닝 디바이스 매칭 방법의 일 실시예의 개략적인 흐름도;
도 15는 패턴 수정 방법의 일 실시예의 개략적인 흐름도;
도 16은 에칭 로딩 효과를 보정하기 위한 패터닝 디바이스 수정 방법의 일 실시예의 개략적인 흐름도; 및
도 17은 본 발명의 실시예들을 구현할 수 있는 컴퓨터 시스템을 개략적으로 도시하는 도면이다.
실시예들을 상세히 설명하기에 앞서, 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함하고, 투영 시스템은 기준 프레임(reference frame: RF)에 지지된다.
조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
지지 구조체는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 지지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 일 실시예에서, 패터닝 디바이스는 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스이다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입들, 및 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향들로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 포괄하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
투영 시스템(PS)은 비-균일할 수 있는 광학 전달 함수를 갖고, 이는 기판(W) 상에 이미징되는 패턴에 영향을 줄 수 있다. 편광되지 않은 방사선에 대해서는, 이러한 효과들이 2 개의 스칼라 맵(scalar map)들에 의해 상당히 잘 설명될 수 있으며, 이는 그 퓨필 평면 내의 위치의 함수로서 투영 시스템(PS)을 나가는 방사선의 투과[아포다이제이션(apodization)] 및 상대 위상(수차)을 설명한다. 투과 맵 및 상대 위상 맵이라 할 수 있는 이 스칼라 맵들은 기저 함수들의 전체 세트(complete set)의 선형 조합으로서 표현될 수 있다. 특히 편리한 세트는 제르니케 다항식(Zernike polynomials)이며, 이는 단위 원(unit circle) 상에 정의되는 직교 다항식들의 세트를 형성한다. 각각의 스칼라 맵의 결정이 이러한 전개식(expansion)에서 계수들을 결정하는 단계를 수반할 수 있다. 제르니케 다항식들이 단위 원 상에서 직교이기 때문에, 제르니케 계수들은 차례로 각각의 제르니케 다항식과 측정된 스칼라 맵의 내적(inner product)을 계산하고 이를 그 제르니케 다항식의 놈(norm)의 제곱으로 나눔으로써 결정될 수 있다.
투과 맵 및 상대 위상 맵은 필드 및 시스템 의존적이다. 즉, 일반적으로 각각의 투영 시스템(PS)이 각각의 필드 지점(즉, 그 이미지 평면 내의 각각의 공간 위치)에 대해 상이한 제르니케 전개식을 가질 것이다. 그 퓨필 평면 내의 투영 시스템(PS)의 상대 위상은, 예를 들어 투영 시스템(PS)의 대상물 평면(object plane)[즉, 패터닝 디바이스(MA)의 평면]에서의 점-형 소스(point-like source)로부터 투영 시스템(PS)을 통해 방사선을 투영하고 시어링 간섭계(shearing interferometer)를 이용하여 파면(즉, 동일한 위상을 갖는 지점들의 자취)을 측정함으로써 결정될 수 있다. 시어링 간섭계는 공통 광로 간섭계(common path interferometer)이며, 이에 따라 유리하게는 파면을 측정하기 위해 이차 기준 빔이 필요하지 않다. 시어링 간섭계는 투영 시스템의 이미지 평면[즉, 기판 테이블(WT)] 내의 회절 격자, 예를 들어 2 차원 그리드, 및 투영 시스템(PS)의 퓨필 평면에 켤레인 평면에서 간섭 패턴을 검출하도록 배치되는 검출기를 포함할 수 있다. 간섭 패턴은 시어링 방향으로의 퓨필 평면의 좌표에 대한 방사선의 위상의 미분계수(derivative)와 관련된다. 검출기는, 예를 들어 전하 결합 소자(charge coupled device: CCD)와 같은 감지 요소들의 어레이를 포함할 수 있다.
회절 격자는, 투영 시스템(PS)의 좌표계의 축선들(x 및 y)과 일치할 수 있거나 이 축선들에 대해 45 도와 같은 각도를 가질 수 있는 2 개의 수직 방향들로 연속하여 스캐닝될 수 있다. 스캐닝은 정수의(an integer number of) 격자 주기들, 예를 들어 1의 격자 주기에 걸쳐 수행될 수 있다. 스캐닝은 한 방향으로의 위상 변동을 평균하여, 다른 방향으로의 위상 변동이 재구성되게 한다. 이는 파면으로 하여금 두 방향들의 함수로서 결정되게 한다.
리소그래피 장치의 투영 시스템(PS)은 가시적 프린지(visible fringe)들을 생성하지 않을 수 있고, 이에 따라 파면의 결정의 정확성이 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑 기술(phase stepping technique)들을 이용하여 향상될 수 있다. 스테핑은 측정의 스캐닝 방향에 수직인 방향으로, 및 회절 격자의 평면에서 수행될 수 있다. 스테핑 범위는 1의 격자 주기일 수 있고, 적어도 3 개의 (균일하게 분포된) 위상 스텝들이 사용될 수 있다. 따라서, 예를 들어 3 개의 스캐닝 측정들이 y-방향으로 수행될 수 있고, 각각의 스캐닝 측정은 x-방향에서 상이한 위치에 대해 수행된다. 회절 격자의 이 스테핑은 위상 변동들을 세기 변동들로 효과적으로 변환하여, 위상 정보가 결정되게 한다. 격자는 회절 격자에 수직인 방향(z 방향)에서 스테핑되어 검출기를 캘리브레이션할 수 있다.
그 퓨필 평면 내의 투영 시스템(PS)의 투과(아포다이제이션)는, 예를 들어 투영 시스템(PS)의 대상물 평면[즉, 패터닝 디바이스(MA)의 평면]에서의 점-형 소스로부터 투영 시스템(PS)을 통해 방사선을 투영하고, 검출기를 이용하여 투영 시스템(PS)의 퓨필 평면에 켤레인 평면에서 방사선의 세기를 측정함으로써 결정될 수 있다. 수차들을 결정하기 위해 파면을 측정하는 데 사용되는 것과 동일한 검출기가 사용될 수 있다.
투영 시스템(PS)은 복수의 광학(예를 들어, 렌즈) 요소들을 포함할 수 있고, 수차들(필드 도처에서의 퓨필 평면에 걸친 위상 변동들)을 보정하기 위해 광학 요소들 중 1 이상을 조정하도록 구성되는 조정 메카니즘(AM)을 더 포함할 수 있다. 이를 달성하기 위해, 조정 메카니즘은 1 이상의 상이한 방식으로 투영 시스템(PS) 내의 1 이상의 광학(예를 들어, 렌즈) 요소를 조작하도록 작동가능할 수 있다. 투영 시스템은 그 광학 축선이 z 방향으로 연장되는 좌표계를 가질 수 있다. 조정 메카니즘은 다음: 즉, 1 이상의 광학 요소를 변위시키는 것; 1 이상의 광학 요소를 기울이는 것; 및/또는 1 이상의 광학 요소를 변형시키는 것의 여하한의 조합을 행하도록 작동가능할 수 있다. 광학 요소의 변위는 여하한의 방향(x, y, z 또는 이들의 조합)으로 이루어질 수 있다. 광학 요소의 기울임은 통상적으로 x 및/또는 y 방향들의 축선을 중심으로 회전함으로써 광학 축선에 수직인 평면을 벗어나지만, z 축선을 중심으로 한 회전이 비-회전 대칭인 비구면 광학 요소에 대해 사용될 수 있다. 광학 요소의 변형은 저주파수 형상(low frequency shape)[예를 들어, 비점수차(astigmatic)] 및/또는 고주파수 형상(high frequency shape)[예를 들어, 프리폼 비구면(free form aspheres)]을 포함할 수 있다. 광학 요소의 변형은, 예를 들어 광학 요소의 1 이상의 측면에 힘을 가하도록 1 이상의 액추에이터를 이용함으로써, 및/또는 광학 요소의 1 이상의 선택된 구역을 가열하도록 1 이상의 가열 요소를 이용함으로써 수행될 수 있다. 일반적으로, 아포다이제이션(퓨필 평면에 걸친 투과 변동)을 보정하기 위해 투영 시스템(PS)을 조정하는 것은 가능하지 않을 수 있다. 투영 시스템(PS)의 투과 맵은 리소그래피 장치(LA)에 대한 패터닝 디바이스(예를 들어, 마스크)(MA)를 디자인하는 경우에 사용될 수 있다. 연산적 리소그래피 기술(computational lithography technique)을 이용하여, 패터닝 디바이스(MA)가 아포다이제이션을 적어도 부분적으로 보정하도록 디자인될 수 있다.
본 명세서에 도시된 바와 같이, 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 테이블들[예를 들어, 2 이상의 기판 테이블들(WTa, WTb), 2 이상의 패터닝 디바이스 테이블들, 기판 테이블(WTa)과 예를 들어 측정 및/또는 세정 등을 용이하게 하도록 지정되는 기판이 없는 투영 시스템 아래의 테이블(WTb)]을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가적인 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다. 예를 들어, 정렬 센서(AS)를 이용한 정렬 측정들 및/또는 레벨 센서(LS)를 이용한 레벨(높이, 기울기 등) 측정들이 수행될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 전체 또는 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 패터닝 디바이스와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 바와 같은 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 담그어져야 함을 의미하는 것이라기보다는, 단지 액체가 노광 시 투영 시스템과 기판 사이에 놓인다는 것을 의미한다.
도 1을 참조하면, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 소스는 리소그래피 장치의 통합부일 수 있다. 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 칭해질 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하도록 구성되는 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(IN) 및 콘덴서(CO)와 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 지지 구조체(MT)는 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(MA) 상에 1보다 많은 다이가 제공되는 상황들에서, 패터닝 디바이스 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한 번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 지지 구조체(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성할 수 있고, 이는 이는 기판 상에 전-노광(pre-exposure) 및 후-노광(post-exposure) 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 1 이상의 레지스트 층을 증착시키는 1 이상의 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 1 이상의 디벨로퍼(developer: DE), 1 이상의 칠 플레이트(chill plate: CH) 및/또는 1 이상의 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 1 이상의 기판을 집어올리고, 이들을 상이한 공정 장치들 사이에서 이동시킨 후, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이러한 장치들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치들이 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 올바르고 일관성있게(consistently) 노광되기 위해서는, 후속한 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD), 포커스 오프셋, 재료 속성 등과 같은 1 이상의 속성을 측정하도록 노광된 기판을 검사하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치되는 제조 시설은 통상적으로 리소셀에서 처리된 기판(W)들 중 일부 또는 전체를 수용하는 메트롤로지 시스템(MET)도 포함한다. 메트롤로지 시스템(MET)은 리소셀(LC)의 일부분일 수 있고, 예를 들어 이는 리소그래피 장치(LA)의 일부분일 수 있다.
메트롤로지 결과들은 감독 제어 시스템(SCS)에 간접적으로 또는 직접적으로 제공될 수 있다. 오차가 검출되는 경우, [특히 검사가 뱃치(batch)의 1 이상의 다른 기판이 여전히 노광되도록 충분히 빠르게 행해질 수 있다면] 후속한 기판의 노광에 대해, 및/또는 노광된 기판의 후속한 노광에 대해 조정이 수행될 수 있다. 또한, 이미 노광된 기판은 수율을 개선하도록 벗겨져서(strip) 재작업(rework)되거나, 버려져서 결점이 있다고 알려진 기판에 또 다른 처리를 수행하는 것을 회피할 수 있다. 기판의 몇몇 타겟부들에만 결점이 있는 경우, 양호한 타겟부들 상에만 또 다른 노광들이 수행될 수 있다.
메트롤로지 시스템(MET) 내에서, 검사 장치는 기판의 1 이상의 속성을 결정하는 데 사용되며, 특히 상이한 기판들 또는 동일한 기판의 상이한 층들의 1 이상의 속성이 층마다 어떻게 변하는지를 결정하는 데 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수 있으며, 또는 독립형 디바이스(stand-alone device)일 수 있다. 신속한 측정을 가능하게 하기 위해, 검사 장치는 노광 직후에 노광된 레지스트 층에서 1 이상의 속성을 측정하는 것이 바람직하다. 하지만, 레지스트 내의 잠상(latent image)은 낮은 콘트라스트(contrast)를 갖고 - 방사선에 노광된 레지스트의 부분과 노광되지 않은 레지스트의 부분 사이에 굴절률에 있어서 매우 작은 차이만 존재하고 - 모든 검사 장치가 잠상의 유용한 측정들을 수행하기에 충분한 감도를 갖는 것은 아니다. 그러므로, 측정들은 통상적으로 노광된 기판 상에서 수행되는 제 1 단계이고 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 노광-후 베이크 단계(PEB) 이후에 수행될 수 있다. 이 단계에서, 레지스트 내의 이미지는 반-잠상(semi-latent)이라고 칭해질 수 있다. 또한, 현상된 레지스트 이미지 - 이때, 레지스트의 노광된 부분 또는 노광되지 않은 부분 중 하나는 제거되었음 - 의 측정들을 수행하는 것이 가능하고, 또는 에칭과 같은 패턴 전사 단계 이후에 수행하는 것이 가능하다. 후자의 가능성은 결점이 있는 기판들의 재작업에 대한 가능성을 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
적어도 하나의 패터닝 단계(예를 들어, 광학 리소그래피 단계)를 포함하는 패터닝 공정(예를 들어, 디바이스 제조 공정)을 모니터링하기 위해, 패터닝된 기판이 검사되고 패터닝된 기판의 1 이상의 파라미터가 측정된다. 1 이상의 파라미터는, 예를 들어 패터닝된 기판 내에 또는 기판 상에 형성된 연속 층들 간의 오버레이 오차, 예를 들어 패터닝된 기판 내에 또는 기판 상에 형성된 피처들의 임계 치수(CD)(예를 들어, 임계 선폭), 광학 리소그래피 단계의 포커스 또는 포커스 오차, 광학 리소그래피 단계의 도즈 또는 도즈 오차, 광학 리소그래피 단계의 광학 수차들 등을 포함할 수 있다. 이 측정은 제품 기판(product substrate) 자체의 타겟 및/또는 기판 상에 제공되는 지정된 메트롤로지 타겟에서 수행될 수 있다. 패터닝 공정 시 형성된 구조체들의 측정을 수행하기 위해, 스캐닝 전자 현미경, 이미지-기반 측정 또는 검사 툴들 및/또는 다양한 특수 툴들의 사용을 포함하는 다양한 기술들이 존재한다. 고속 및 비-침습(non-invasive) 형태의 특수 메트롤로지 및/또는 검사 툴은, 기판 표면의 타겟 상으로 방사선 빔이 지향되고 산란된(회절된/반사된) 빔의 속성들이 측정되는 것이다. 빔이 기판에 의해 산란된 전후에 상기 빔의 1 이상의 속성을 비교함으로써, 기판의 1 이상의 속성이 결정될 수 있다. 이는 회절-기반 메트롤로지 또는 검사라고 칭해질 수 있다. 이 회절-기반 메트롤로지 또는 검사의 특정 적용은 주기적 타겟 내에서의 피처 비대칭의 측정에서이다. 이는 예를 들어 오버레이 오차의 척도로서 사용될 수 있으며, 다른 적용들도 알려져 있다. 예를 들어, 비대칭은 회절 스펙트럼의 반대 부분들을 비교함으로써(예를 들어, 주기적 격자의 회절 스펙트럼에서 -1차 및 +1차를 비교함으로써) 측정될 수 있다. 이는, 예를 들어 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US2006-066855에 설명된 바와 같이 간단히 행해질 수 있다.
패터닝 공정을 가능하게 하는 중요한 측면들은 공정 자체를 개발하고, 모니터링 및 제어를 위해 이를 설정한 후, 실제로 공정 자체를 모니터링 및 제어하는 것을 포함한다. [패터닝 디바이스 패턴(들), 레지스트 타입(들), 리소그래피-후 공정 단계들(예컨대, 현상, 에칭 등) 등과 같은] 패터닝 공정의 기본 구성을 가정하여, 기판 상으로 패턴을 전사하는 리소그래피 장치를 설정하고, 공정을 모니터링하도록 1 이상의 메트롤로지 타겟을 개발하며, 메트롤로지 타겟들을 측정하도록 메트롤로지 공정을 설정한 후, 측정들에 기초하여 공정을 모니터링하고 제어하는 공정을 구현하는 것이 바람직하다. 본 출원의 기재내용은 기판 상에 형성되는 디바이스의 1 이상의 층들 간의 오버레이를 측정하도록 디자인되는 메트롤로지 공정 및 메트롤로지 타겟의 실시예를 고려할 것이지만, 본 명세서의 실시예들은 (예를 들어, 패터닝 디바이스와 기판 간의) 정렬을 측정하는 공정 및 타겟들, 임계 치수를 측정하는 공정 및 타겟들 등과 같은 다른 메트롤로지 공정들 및 타겟들에 균등하게 적용가능하다. 따라서, 본 명세서에서 오버레이 메트롤로지 타겟들, 오버레이 데이터 등에 대한 언급들은 다른 종류의 메트롤로지 공정들 및 타겟들을 가능하게 하도록 적절히 변형되는 것으로 간주되어야 한다.
도 3을 참조하면, 리소그래피 처리, 메트롤로지, 및 패터닝 디바이스 수정 시스템이 도시된다. 상기 시스템은 패터닝 시스템(예를 들어, 나노임프린트 리소그래피 툴, 도 1에 관하여 설명된 바와 같은 광학 리소그래피 장치, 도 2에 관하여 설명된 바와 같은 트랙 툴, 에칭 툴, 패터닝 공정에서의 또 다른 장치, 또는 이로부터 선택되는 여하한의 조합)(300), 메트롤로지 장치(310), 패터닝 디바이스 수정 툴(320), 및 소프트웨어 어플리케이션(330)을 포함한다. 패터닝 시스템(300), 메트롤로지 장치(310) 및 패터닝 디바이스 수정 툴(320) 중 일부 또는 전부가 소프트웨어 어플리케이션(330)과 통신하여, 패터닝 시스템(300), 메트롤로지 장치(310) 및/또는 패터닝 디바이스 수정 툴(320)의 결과들, 디자인들, 데이터 등이 동시에 또는 서로 다른 시간에 소프트웨어 어플리케이션(330)에 의해 저장되고 분석될 수 있도록 한다.
앞서 언급된 바와 같이, 패터닝 시스템(300)은 도 1의 리소그래피 장치(LA)로서 구성될 수 있다. 패터닝 시스템(300)은 패터닝 공정의 패터닝 측면을 실행하기 위해 설정될 수 있고, 선택적으로 패터닝 시스템(300) 내에서 또는 패터닝 공정에서의 1 이상의 다른 공정 또는 장치에서 발생하는 편차들을 보정하도록 구성될 수 있다. 일 실시예에서, 패터닝 시스템(300)은 패터닝 시스템(300)의 1 이상의 수정 장치를 조정함으로써 오차(예를 들어, 이미징 오차, 포커스 오차, 도즈 오차 등)의 보정을 적용할 수 있다. 즉, 일 실시예에서, 보정은 패터닝 오차를 의도적으로 수정할 수 있는 패터닝 시스템 내의 여하한의 제조 처리 툴에 의해 이루어질 수 있다.
예를 들어, 패터닝 시스템(300)이 광학 리소그래피 장치를 포함하는 경우, 리소그래피 장치의 1 이상의 수정 장치를 조정함으로써, 예를 들어 광학 수차를 보정하거나 적용하도록 조정 메카니즘(AM)을 채택함으로써, 조명 세기 분포를 보정하거나 수정하도록 조정기(AD)를 채택함으로써, 패터닝 디바이스 지지 구조체(MT) 및/또는 기판 테이블(WT) 각각의 위치를 보정하거나 수정하도록 패터닝 디바이스 지지 구조체(MT)의 위치설정기(PM) 및/또는 기판 테이블(WT)의 위치설정기(PW)를 채택함으로써, 등에 의해 오차의 보정이 이루어질 수 있다. 예를 들어, 패터닝 시스템(300)이 트랙 툴을 포함하는 경우, 트랙 툴의 1 이상의 수정 장치를 조정함으로써, 예를 들어 트랙의 베이크 툴의 베이크 온도를 수정함으로써, 트랙의 현상 툴의 현상 파라미터를 수정함으로써, 등에 의해 오차의 보정이 이루어질 수 있다. 이와 유사하게, 예를 들어 패터닝 시스템(300)이 에칭 툴을 포함하는 경우, 에칭 툴의 1 이상의 수정 장치를 조정함으로써, 예를 들어 에천트(etchant) 타입, 에천트 속도 등과 같은 에칭 파라미터를 수정함으로써 오차의 보정이 이루어질 수 있다. 이와 유사하게, 예를 들어 패터닝 시스템(300)이 평탄화 툴(planarization tool)을 포함하는 경우, 평탄화 툴의 1 이상의 수정 장치를 조정함으로써, 예를 들어 평탄화 파라미터를 수정함으로써 오차의 보정이 이루어질 수 있다. 이와 유사하게, 예를 들어 패터닝 시스템(300)이 증착 툴을 포함하는 경우, 증착 툴의 1 이상의 수정 장치를 조정함으로써, 예를 들어 증착 파라미터를 수정함으로써 오차의 보정이 이루어질 수 있다.
일 실시예에서, 패터닝 시스템(300)의 1 이상의 수정 장치는 오차들(예를 들어, 이미징 오차, 포커스 오차, 도즈 오차 등)의 3차 다항식 보정까지 적용할 수 있다.
메트롤로지 장치(310)는 패터닝 시스템(300)에 의해 패턴들로 프린트되는 기판에 관련된 측정들을 얻도록 구성된다. 일 실시예에서, 메트롤로지 장치(310)는 패터닝 시스템(300)에 의해 프린트되는 패턴들의 1 이상의 파라미터(예를 들어, 오버레이 오차, 도즈, 포커스, CD 등)를 측정하거나 결정하도록 구성된다. 일 실시예에서, 메트롤로지 장치(310)는 예를 들어 오버레이, 임계 치수 및/또는 다른 파라미터들을 측정할 수 있는 회절-기반 오버레이 메트롤로지 툴이다. 일 실시예에서, 메트롤로지 장치(310)는 패터닝 디바이스와 기판 사이와 같은 2 개의 대상물들 간의 상대 위치를 측정하는 데 사용되는 정렬 장치이다. 일 실시예에서, 메트롤로지 장치(310)는 표면의 위치, 예를 들어 기판 표면의 높이 및/또는 회전 위치를 측정하는 레벨 센서이다.
일 실시예에서, 메트롤로지 장치(310)는 패터닝 공정에서의 오차와 연계된 1 이상의 파라미터(예를 들어, 오버레이 오차, CD, 포커스, 도즈 등)의 1 이상의 값을 측정 및/또는 결정한다. 메트롤로지 장치(310)가 측정 또는 결정을 완료한 후, 소프트웨어 어플리케이션(330)은 측정 데이터(예를 들어, 오버레이 오차, CD, 포커스, 도즈 등)에 기초하여 수정 정보를 생성한다. 일 실시예에서, 소프트웨어 어플리케이션(330)은 1 이상의 파라미터의 1 이상의 값을 평가하여, 이들이 공차 범위 내에 있는지를 결정한다. 그렇지 않은 경우, 소프트웨어 어플리케이션(330)은 공차를 벗어난 1 이상의 파라미터의 1 이상의 값에 의해 반영되는 오차를 보정하기 위한 수정 정보를 결정한다. 일 실시예에서, 소프트웨어 어플리케이션(330)은 패터닝 시스템(300)의 1 이상의 수정 장치에 의해 보정가능한 오차를 결정하고 패터닝 시스템(300)의 1 이상의 수정 장치의 1 이상의 파라미터에 대한 정보(예를 들어, 수정 정보)를 제공하기 위해 1 이상의 수학 모델을 사용하며, 1 이상의 파라미터는 패터닝 시스템(300)의 1 이상의 수정 장치의 구성이 오차를 보정(예를 들어, 공차 범위 내로 감소하거나 제거)할 수 있게 한다. 일 실시예에서, 수학 모델들 중 1 이상은 일단 파라미터화된 데이터를 피팅(fit)하는 기저 함수들의 세트를 정의한다. 일 실시예에서, 1 이상의 수학 모델은 패터닝 시스템(300)에 대해 보정가능한 오차를 시뮬레이션하도록 구성되는 모델을 포함한다. 일 실시예에서, 모델은 패터닝 시스템(300)의 수정 장치들 중 1 이상이 구성할 수 있는 수정들의 범위를 명시하고, 범위 내에서 보정가능한 오차를 결정한다. 즉, 범위는 패터닝 시스템(300)의 특정 수정 장치가 구성할 수 있는 수정의 양에 대한 상한, 하한 및/또는 둘 모두를 명시할 수 있다.
일 실시예에서, 소프트웨어 어플리케이션(330)은 패터닝 디바이스 수정 툴(320)에 의해 보정가능한 오차를 결정하고 패터닝 디바이스 수정 툴(320)의 1 이상의 파라미터에 대한 정보(예를 들어, 수정 정보)를 제공하기 위해 1 이상의 수학 모델을 사용하며, 이 1 이상의 파라미터는 패터닝 디바이스 수정 툴(320)의 구성이 오차를 보정(예를 들어, 공차 범위 내로 감소하거나 제거)할 수 있게 한다. 일 실시예에서, 수학 모델들 중 1 이상은 일단 파라미터화된 데이터를 피팅하는 기저 함수들의 세트를 정의한다. 일 실시예에서, 1 이상의 수학 모델은 패터닝 디바이스 수정 툴(320)에 대해 보정가능한 오차를 시뮬레이션하도록 구성되는 모델을 포함한다. 일 실시예에서, 모델은 패터닝 디바이스 수정 툴(320)이 구성할 수 있는 수정들의 범위를 명시하고, 범위 내에서 보정가능한 오차를 결정한다. 즉, 범위는 패터닝 디바이스 수정 툴(320)이 구성할 수 있는 수정의 양에 대한 상한, 하한 및/또는 둘 모두를 명시할 수 있다.
일 실시예에서, 패터닝 시스템(300)의 1 이상의 수정 장치에 의해 각각 보정가능하고 패터닝 디바이스 수정 툴(320)에 의해 보정가능한 오차의 결정의 동시-최적화(co-optimization)가 제공된다. 일 실시예에서, 패터닝 시스템(300)의 복수의 수정 장치들에 의해 보정가능한 오차의 결정의 동시-최적화가 제공된다. 일 실시예에서, 패터닝 디바이스 수정 툴(320)에 의해 보정가능한 오차를 결정하는 1 이상의 수학 모델 및/또는 패터닝 시스템(300)의 1 이상의 수정 장치에 의해 보정가능한 오차를 결정하는 1 이상의 수학 모델은 동시-최적화를 가능하게 하도록 사용되고 및/또는 조합된다. 일 실시예에서, 동시-최적화는 패터닝 시스템(300)의 수정 장치에 의한 보정가능하지 않은 오차의, 패터닝 시스템(300)의 1 이상의 다른 수정 장치에 의한, 및/또는 패터닝 디바이스 수정 툴(320)에 의한 패터닝 디바이스의 수정에 의한 보정가능한 오차로의 변환을 초래한다. 이러한 변환의 일 예시로서, 패터닝 시스템(300)의 수정 장치에 대한 보정가능하지 않은 공간 분해능을 갖는 오차는, 전체 오차가 패터닝 시스템(300)의 수정 장치에 의해 보정가능한 공간 분해능을 갖도록 또 다른 오차를 추가함으로써 보정이 가능해질 수 있다. 일 실시예에서, 추가된 오차는 패터닝 시스템(300)의 복수의 다른 수정 장치들 사이에서 나누어지거나, 패터닝 시스템(300)의 1 이상의 다른 수정 장치 및 패터닝 디바이스 수정 툴(320) 사이에서 나누어진다.
일 실시예에서, 동시-최적화는 상이한 타입들의 오차에 대해 개별적으로 또는 조합되어 수행되며, 예를 들어 오버레이 오차, 포커스 오차, 도즈 오차 등에 대해 개별적으로 또는 조합되어 수행된다. 일 실시예에서, 패터닝 시스템(300)의 소정 수정 장치들은 소정 타입들의 오차를 더 우수하게 보정할 수 있으므로, 오차 보정은 패터닝 시스템(300)의 적절한 상이한 수정 장치들 사이에서 적절히 가중되거나 배분될 수 있다.
일 실시예에서, 사용자는 그 수학 모델이 적합한 것으로 결정되든지 그렇지 않든지, 복수의 수학 모델들의 집합(collection)으로부터 1 이상의 수학 모델을 지정할 수 있다. 예를 들어, (그래픽 사용자 인터페이스와 같은) 인터페이스가 사용자로 하여금 고려를 위한 수학적 데이터 모델을 지정하게 할 수 있다. 일 실시예에서, 복수의 측정 수학적 데이터 모델들이 결정되거나 지정된다. 일 실시예에서, 1 이상의 수학 모델은 최적 잡음 억제를 위해 튜닝될 수 있다[예를 들어, 중복 차수들(redundant orders)을 제거하거나 고차의 사용을 감소시킴].
예를 들어, 일 실시예에서, 좌표(x,y)의 x 방향에서의 보정가능한 오차(Δx)는 다음과 같이 모델링된다:
Δx = k1+k3x+k5y+k7x2+k9xy+k11y2+k13x3+k15x2y+k17xy2+k19y3 (1)
이때, k1은 (상수일 수 있는) 파라미터이고, k3, k5, k7, k9, k11, k13, k15, k17 및 k19는 x, y, x2, xy, y2, x3, x2y, xy2 및 y3 항들 각각에 대한 (상수일 수 있는) 파라미터들이다. k1, k3, k5, k7, k9, k11, k13, k15, k17 및 k19 중 1 이상은 0일 수 있다.
이와 관련하여, 일 실시예에서, 좌표(x,y)의 y 방향에서의 보정가능한 오차(Δy)는 다음과 같이 모델링된다:
Δy = k2+k4y+k6x+k8y2+k10yx+k12x2+k14y3+k16y2x+k18yx2+k20x3 (2)
이때, k2는 (상수일 수 있는) 파라미터이고, k4, k6, k8, k10, k12, k14, k16, k18 및 k20은 y, x, y2, yx, x2, y3, y2x, yx2 및 x3 항들 각각에 대한 (상수일 수 있는) 파라미터들이다. k2, k4, k6, k8, k10, k12, k14, k16, k18 및 k20 중 1 이상은 0일 수 있다.
일 실시예에서, 보정가능한 오차의 적어도 일부는 패터닝 시스템(300)의 수정 장치들 중 1 이상을 조정함으로써 패터닝 시스템(300)에 의해 보정된다. 따라서, 일 실시예에서, 수학 모델을 피팅하는 오차의 일부분이 패터닝 시스템(300)의 1 이상의 수정 장치를 조정함으로써 패터닝 시스템(300)에 의해 보정가능하다.
패터닝 공정에서 처리된 소정 기판들에 대한 최소 잔류 시스템적 변동(minimum remaining systematic variation)이 기판들의 처리 시 사용된 특정 서브-공정들 또는 디바이스들에 대해 특정적일 수 있다. 최소 잔류 시스템적 변동은 때로는 핑거프린트(fingerprint)라고 칭해진다. 핑거프린트는 패터닝 시스템(300)의 1 이상의 수정 장치에 의해 보정가능하지 않을 수 있다. 일 실시예에서, 핑거프린트는 패터닝 디바이스 수정 툴(320)을 사용하여 패터닝 디바이스를 수정함으로써 보정된다. 일 실시예에서, 측정 데이터와 모델(1) 및 모델(2)를 사용하여 계산된 대응하는 데이터 간의 잔류 시스템적 변동은 파라미터들(예를 들어, k1 내지 k20 중 1 이상)을 최적화함으로써 최소화된다.
일 실시예에서, 소프트웨어 어플리케이션(330)은 패터닝 디바이스 수정 툴(320)에 의해 패터닝 디바이스를 수정하는 제 1 수정 정보를 생성하고, 패터닝 디바이스 수정 툴(320)에 제 1 수정 정보를 전송한다. 일 실시예에서, 제 1 수정 정보는 제 1 수정 정보에 기초한 패터닝 디바이스에 의한 수정 시 패터닝 시스템(300)에 의한 보정가능하지 않은 오차를 패터닝 시스템(300)에 대한 보정가능한 오차로 효과적으로 변환한다. 일 실시예에서, 패터닝 디바이스를 수정한 후, 소프트웨어 어플리케이션(330)은 패터닝 디바이스 수정 툴(320)에, 예를 들어 생산 시 사용하기 위한 패터닝 시스템(300)으로 수정된 패터닝 디바이스를 전달할 것을 명령한다. 일 실시예에서, 수정된 패터닝 디바이스의 추가 오차 보정 및/또는 검증이 아래에서 설명되는 바와 같이 수행된다.
일 실시예에서, 소프트웨어 어플리케이션(330)은 패터닝 시스템(300)의 1 이상의 수정 장치에 대한 제 2 수정 정보를 더 생성하고, 패터닝 시스템(300)에 제 2 수정 정보를 전송한다. 일 실시예에서, 제 2 수정 정보는 패터닝 시스템(300)의 1 이상의 수정 장치에 의한 패터닝 공정의 제 2 수정 정보에 기초한 조정 및 패터닝 시스템(300)에서의 수정된 패터닝 디바이스의 사용 시 패터닝 시스템(300)의 1 이상의 수정 장치에 의한 패터닝 공정의 보정가능한 오차의 보정을 가능하게 한다. 즉, 일 실시예에서, 패터닝 시스템(300)의 1 이상의 수정 장치는 제 1 수정 정보에 기초하여 수정된 패터닝 디바이스에 의해 생성된 보정가능한 오차를 보정하도록 구성된다. 일 실시예에서, 추가적으로 또는 대안적으로, 제 2 수정 정보는 제 1 수정 정보에 기초한 패터닝 디바이스의 수정 후 남은 잔류 패터닝 오차를 보정한다.
일 실시예에서, 수정된 패터닝 디바이스 및/또는 조정된 패터닝 공정으로 패터닝 시스템(300)에서 처리된 기판은 측정을 위해 메트롤로지 장치(310)로 전달된다. 메트롤로지 장치(310)는 오차가 공차 범위 내에 있는지를 평가하기 위해 앞서 설명된 것과 유사한 방식으로 [예를 들어, 메트롤로지 장치(310)에 의해 측정되거나 결정된 기판의 1 이상의 파라미터(예를 들어, 오버레이 오차, CD, 포커스, 도즈 등)의 1 이상의 값을 평가함으로써] 측정을 수행한다. 오차가 공차 내에 있지 않은 경우, 일 실시예에서, 패터닝 디바이스 수정 툴(320)에 의한 패터닝 디바이스의 추가적인 수정 및/또는 패터닝 시스템(300)의 1 이상의 수정 장치의 1 이상의 파라미터의 조정이 본 명세서에 유사하게 설명된 바와 같이 수행된다.
도 4는 패터닝 디바이스(예를 들어, 포토리소그래피 마스크, 나노임프린트 리소그래피를 위한 임프린트 템플릿 등)의 기판을 수정하도록 구성되는 예시적인 패터닝 디바이스 수정 툴(320)의 블록 다이어그램을 개략적으로 도시한다. 패터닝 디바이스 수정 툴(320)은 최대 6 차원에서 이동가능할 수 있는 테이블(420)을 포함한다. 패터닝 디바이스(410)는, 예를 들어 클램핑을 사용함으로써 테이블(420)에 의해 유지될 수 있다.
패터닝 디바이스 수정 툴(320)은 방사선 빔(435)(예를 들어, 방사선의 펄스들)을 생성하도록 구성되는 방사선 소스(예를 들어, 펄스 레이저 소스)(430)를 포함한다. 소스(430)는 변동가능한 지속 시간(variable duration)의 방사선 펄스들을 생성한다. 통상적으로, 소스는 패터닝 디바이스(410)의 기판의 밴드 갭(band gap)보다 작은 광자 에너지를 갖도록 구성되고, 펨토초 범위의 지속 시간들을 갖는 펄스들을 생성할 수 있다.
소스(430)(예를 들어, 레이저 시스템)로부터의 펨토초 또는 초단파의 방사선 펄스들은, 예를 들어 기판의 재료 속성을 변경함으로써 패터닝 디바이스의 기판에 국부적인 밀도 및/또는 투과 변동들의 일 구성을 기록할 수 있다. 국부적인 밀도 변동은 패터닝 디바이스의 표면 상의 1 이상의 패턴 요소를 사전설정된 위치로 시프트할 수 있다. 따라서, 기판의 유도된 밀도 변동이 예를 들어 패터닝 디바이스의 표면 상의 패턴 배치를 수정하거나 보정할 수 있다. 추가적으로 또는 대안적으로, 국부적인 투과 변동들의 일 구성이 패터닝 디바이스의 기판에 기록될 수 있고, 이는 패터닝 디바이스를 통과하는 방사선의 광학적 투과를 수정하거나 보정한다. 이 방식으로, 수정들 또는 보정들이 패터닝 디바이스의 기판 표면 상에서의 1 이상의 패턴 요소의 시프트를 유도하지 않고 구현될 수 있다. 패턴 배치 및 광학적 투과를 수정하거나 보정하는 국부적인 밀도 및 투과 변동들의 일 구성이 정의되고 기록될 수 있다. 일 실시예에서, 국부적인 밀도 및/또는 투과 변동들은 기판의 중심 또는 내측 부분에 도입될 수 있다. 기판의 중심 또는 내측 부분에서의 국부적인 밀도 및/또는 투과 변동들은, 패터닝 디바이스로 패터닝되는 기판 상에 추가 오차를 유도하는 결함들을 도입할 수 있는 기판의 일부분의 휨(bending)을 회피할 수 있다.
조향 거울(steering mirror: 490)은 빔(435)을 포커싱 대물렌즈(focusing objective: 440)로 지향한다. 대물렌즈(440)는 빔(435)을 패터닝 디바이스(410) 상에 포커스한다. 또한, 패터닝 디바이스 수정 툴(320)은 제어기(480) 및 컴퓨터 시스템(460)을 포함하며, 이는 빔에 일반적으로 수직인 평면(x 및/또는 y 방향들)에서의 테이블(420)의 위치설정 스테이지의 병진(translation)들 및/또는 평면에 평행한 축선에 대한(x 및/또는 y 방향에 대한) 병진들을 관리한다. 제어기(480) 및 컴퓨터 시스템(460)은 평면에 수직인 방향(z 방향)에서의 테이블(420)의 병진 및/또는 그 방향(z 방향)을 중심으로 한 회전을 제어할 수 있다. 추가적으로 또는 대안적으로, 제어기(480) 및 컴퓨터 시스템(460)은 대물렌즈(440)가 고정되는 위치설정 스테이지(450)를 통해 대물렌즈(440)의 병진 및/또는 회전들을 제어할 수 있다. 일 실시예에서, 대물렌즈는 고정되고, 모든 동작들은 테이블(420)을 이용하여 수행된다. 일 실시예에서, 패터닝 디바이스 수정 툴(320)은 테이블(420) 및/또는 대물렌즈(440)와 같은 구성요소들의 위치들을 검출하고, 포커싱/레벨링을 결정하는 등을 위해 1 이상의 센서(단지 편의를 위해 도시되지 않음)를 포함할 수 있다.
또한, 패터닝 디바이스 수정 툴(320)은 CCD(전하 결합 소자) 카메라(465)를 포함한 뷰잉 시스템(viewing system)을 제공할 수 있고, 이는 광학 요소(445)를 통해 테이블(420)에 배치된 조명 소스로부터 방사선을 수용한다. 뷰잉 시스템은 타겟 위치로의 패터닝 디바이스(410)의 항해(navigation)를 용이하게 한다. 또한, 뷰잉 시스템은 소스(430)의 빔(435)에 의한 패터닝 디바이스(410)의 기판 재료 상의 수정된 영역의 형성을 관찰하는 데 사용될 수도 있다.
컴퓨터 시스템(460)은 마이크로프로세서, 범용 프로세서, 특수 목적 프로세서, CPU(중앙 처리 유닛), GPU(그래픽 처리 유닛) 등일 수 있다. 이는 제어기(480) 내에 배치될 수 있거나, 또는 PC(개인용 컴퓨터), 워크스테이션, 메인프레임 등과 같은 별도 유닛일 수 있다. 컴퓨터(460)는 키보드, 터치패드, 마우스, 비디오/그래픽 디스플레이, 프린터 등과 같은 I/O(입력/출력) 유닛들을 더 포함할 수 있다. 또한, 컴퓨터 시스템(460)은 휘발성 및/또는 비-휘발성 메모리를 포함할 수도 있다. 컴퓨터 시스템(460)은 하드웨어, 소프트웨어, 펌웨어, 또는 여하한의 그 조합으로 실현될 수 있다. 또한, 컴퓨터 시스템(460)은 소스(430)를 제어할 수 있다. 컴퓨터 시스템(460)은 하드웨어, 소프트웨어 또는 둘 모두에서 실현되는 1 이상의 알고리즘을 포함할 수 있고, 이는 수신된 데이터, 예를 들어 실험 데이터로부터 패터닝 디바이스 수정 툴(320)에 대한 제어 신호들의 생성을 허용한다. 제어 신호들은, 예를 들어 수신된 데이터에 따라 패턴 배치 또는 광학적 투과를 보정하기 위해 패터닝 디바이스(410)의 기판에서의 국부적인 밀도 및/또는 투과 변동들의 일 구성의 기록을 제어할 수 있다. 특히, 컴퓨터 시스템(460)은 소스(430) 및/또는 테이블(420) 위치설정 및/또는 대물렌즈(440) 위치설정 또는 광학적 파라미터들 및/또는 CCD 카메라(465)를 제어할 수 있다.
일 실시예에서, 국부적인 밀도 및/또는 투과 변동들의 효과들은 빔에 의해 야기되는 변형을 나타내는 물리적 수학 모델에 의해 설명될 수 있다. 변형의 방향은 상이한 변형 속성들을 갖는 기판에서 상이한 국부적인 밀도 및/또는 투과 변동들을 적용함으로써 제어된다. 크기 및 방향과 같은, 주어진 국부적인 밀도 및/또는 투과 변동의 변형 속성들이 특정한 모드를 나타낸다. 예를 들어, "X 모드"는 X 축을 따른 변형을 나타내고, "X 모드" 변형 속성들에 의해 설명된다. 제어 신호들이 계산되는 경우, 1 이상의 알고리즘은 어디에서 및 어떤 밀도로 각각의 타입의 국부적인 밀도 및/또는 투과 변동들이 기록되어야 하는지를 연산한다. 예를 들어, X 방향에서의 정합 오차는 X 모드 타입의 국부적인 밀도 및/또는 투과 변동들에 의해 보정될 수 있다. 모델은 특정한 문제에 대해 가능한 최선의 해결책을 최적화하기 위해 수 개의 모드들을 사용할 수 있다. 통상적으로, 서로 직교하는 X 및 Y 모드들이 사용될 것이지만, 필요에 따라 45 ° 및 135 °와 같은 다른 모드들이 사용될 수도 있다.
따라서, 예시적인 패터닝 디바이스 생산 공정 시, 흡수 요소들의 패턴이 패턴 발생기로 패터닝 디바이스의 기판 상의 흡수층 상에 기록된다. 후속한 에칭 공정에서, 흡수 패턴 요소들은 흡수 재료로부터 형성된다. 패터닝 디바이스 상의 흡수층에 흔히 사용되는 재료는 크롬 또는 텅스텐이다.
예시적인 패터닝 디바이스 수정 공정 시, 발생된 흡수 패턴 요소들의 위치들이 정합 메트롤로지 시스템으로 결정되어, 예를 들어 패턴 기록 공정이 성공적인지, 즉 패턴 요소들이 그 사전설정된 크기 및 형태를 갖고 원하는 위치들에 있는지가 결정될 수 있다. 추가적으로 또는 대안적으로, 본 명세서에 설명된 바와 같이, 1 이상의 패터닝 오차가 (예를 들어, 측정 및/또는 시뮬레이션에 의해) 결정될 수 있다. 결정된 오차들이 사전설정된 레벨 내에 있지 않은 경우, 국부적인 밀도 및/또는 투과 변동들의 일 구성이 예를 들어 도 4의 패터닝 디바이스 수정 툴(320)을 사용하여 패터닝 디바이스의 기판으로 기록된다. 국부적인 밀도 변동들은 패터닝 디바이스 내의 또는 그 위의 1 이상의 패턴 요소의 위치를 사전설정된 위치로 시프트할 수 있고, 국부적인 투과 변동들은 1 이상의 패턴 요소가 빔에 패턴을 부여하는 관점에서 상이하게 거동하도록 할 수 있다. 그 후, 패터닝 디바이스의 수정이 성공적이었는지가 측정될 수 있다. 예를 들어, 측정된 위치설정 오차가 이제 사전설정된 임계치 이하인 경우, 패터닝 디바이스는 더 처리되거나(예를 들어, 펠리클의 추가), 생산에 직접 사용될 수 있다.
일 실시예에서, 패터닝 디바이스 수정 툴(320)은 패터닝 디바이스의 패턴을 기록하는 툴을 포함한다. 예를 들어, e-빔 라이터(writer)가 패터닝 디바이스의 패턴을 생성하는 데 사용될 수 있다. 본 명세서에서 설명되는 수정 정보는 패터닝 디바이스의 생성을 수정하기 위해 이러한 툴에 제공될 수 있다. 이러한 경우, 수정 정보는 패터닝 디바이스의 다른 복제품(copy)들을 사용하거나 유사한 패터닝 디바이스들을 사용한 측정 및/또는 시뮬레이션 결과들에 기초하여 결정될 수 있다. 이러한 데이터는 생성되고 있는 패터닝 디바이스의 측정된 데이터(예를 들어, 패터닝 디바이스의 생성 당시에 얻어진 측정들)에 의해 보충될 수 있다.
도 5를 참조하면, 패터닝 디바이스 수정 방법의 일 실시예의 흐름도가 도시된다. 도 5의 흐름도에서 수행되는 방법은 소프트웨어 어플리케이션(330)에 의해 수행될 수 있다.
500에서, 패터닝 시스템에서 사용하기 위한 패터닝 디바이스에 대해 패터닝에서의 오차에 관한 정보가 얻어진다. 일 실시예에서, 패터닝 오차는 패터닝 디바이스 정합 오차에 추가되는, 또는 이 이외의 오차이다. 일 실시예에서, 오차의 일부분은 패터닝 시스템[예를 들어, 패터닝 시스템(300)]의 수정 장치에 의해 보정가능하지 않다. 일 실시예에서, 패터닝 오차 정보는 측정 및/또는 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 패터닝 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다.
510에서, 오차 정보에 기초하여 패터닝 디바이스를 수정하는 수정 정보가 생성된다. 일 실시예에서, 수정 정보는 패터닝 디바이스가 수정 정보에 따라 수정되는 경우에 패터닝 시스템의 수정 장치에 대한 보정가능한 오차로 오차의 부분을 변환한다. 일 실시예에서, 수정 정보는 패터닝 시스템의 수정 장치의 수정 범위에 기초하여 생성된다. 일 실시예에서, 수정 정보는 (도 4와 관련하여 설명된 시스템과 동일하거나 유사한 시스템과 같은) 패터닝 디바이스 수정 툴(320)에 의해 사용된다.
일 실시예에서, 510에서, 패터닝 시스템의 수정 장치에 대한 수정 정보는 패터닝 디바이스를 수정하는 수정 정보 및 오차 정보에 기초하여 생성되며, 패터닝 시스템의 수정 장치에 대한 수정 정보는 수정된 패터닝 디바이스에 의해 생성되는 보정가능한 오차에 관한 정보를 포함한다. 일 실시예에서, 패터닝 디바이스를 수정하는 수정 정보 및 패터닝 시스템의 수정 장치를 조정하는 수정 정보는 동시-최적화된다.
일 실시예에서, 510에서, 수정 정보는 패터닝 디바이스의 기판 내의 1 이상의 유도된 국부적인 밀도 및/또는 투과 변동들을 패터닝 디바이스에 걸쳐 공간적으로 분포시키는 레시피로 전환된다(520). 공간적으로 분포된 1 이상의 유도된 국부적인 밀도 및/또는 투과 변동들은 패터닝 오차의 부분을 패터닝 시스템[예를 들어, 패터닝 시스템(300)]에 대한 보정가능한 오차로 변환한다. 530에서, 1 이상의 유도된 국부적인 밀도 및/또는 투과 변동들이 패터닝 디바이스의 기판 내에 생성된다. 일 실시예에서, 유도된 국부적인 밀도 및/또는 투과 변동을 생성하는 단계는 도 4에 대해 앞서 설명된 바와 같이 기판의 재료 속성을 변화시키기 위해 레이저 펄스들을 사용함으로써 유도된 국부적인 밀도 및/또는 투과 변동을 생성하는 단계를 포함한다. 그 후, 방법이 종료된다.
도 6을 참조하면, 패터닝 오차 수정 방법의 일 실시예의 흐름도가 도시된다. 도 6의 흐름도에서 수행되는 방법은 소프트웨어 어플리케이션(330)에 의해 수행될 수 있다.
600에서, 제 1 패터닝 오차 정보가 패터닝 디바이스에 관하여 얻어진다. 일 실시예에서, 제 1 패터닝 오차 정보는 측정을 통해 메트롤로지 장치(310)로부터 얻어진다. 일 실시예에서, 제 1 패터닝 오차 정보는 시뮬레이션을 통해 얻어진다. 제 1 패터닝 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보 및/또는 도즈 정보로부터 선택되는 1 이상을 포함할 수 있다.
610에서, 제 1 패터닝 오차 정보가 소정 공차 범위 내에 있는지가 결정된다. 제 1 패터닝 오차 정보가 공차 범위 내에 있는 경우, 상기 방법은 종료된다. 그렇지 않은 경우, 상기 방법은 620으로 진행한다.
620에서, 제 1 패터닝 오차 정보에 기초한 패터닝 디바이스에 대한 제 1 수정 정보가 생성된다. 제 1 수정 정보는 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]이 패터닝 디바이스의 수정(예를 들어, 변형 수정)을 구현하도록 명령하거나 이를 가능하게 한다. 630에서, 제 1 수정 정보가 패터닝 디바이스 수정 툴로 전송된다.
640에서, 선택적으로, 제 1 패터닝 오차 정보 및 제 1 수정 정보에 기초한 패터닝 시스템[예를 들어, 패터닝 시스템(300)]에 대한 제 2 수정 정보가 생성된다. 제 2 수정 정보는 패터닝 시스템이 패터닝 시스템의 1 이상의 수정 장치를 조정함으로써 패터닝 공정의 조정(예를 들어, 왜곡 보정)을 구현하도록 명령하거나 이를 가능하게 한다. 650에서, 제 2 수정 정보가 패터닝 시스템으로 전송된다.
상기 방법은 600으로 되돌아가고, 여기서 제 1 수정 정보에 따라 수정된 패터닝 디바이스 및 제 2 수정 정보에 따라 조정된 패터닝 시스템에 대해 제 2 패터닝 오차 정보가 얻어진다. 그 다음, 610에서, 제 2 패터닝 오차 정보가 공차 범위 내에 있는지가 결정된다. 제 2 패터닝 오차 정보가 공차 내에 있지 않은 경우, 상기 방법은 620으로 진행하고, 여기서 제 2 패터닝 오차 정보에 기초하여 수정된 패터닝 디바이스에 대해 제 3 수정 정보가 생성된다. 제 3 수정 정보는 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]이 수정된 패터닝 디바이스의 수정(예를 들어, 변형 수정)을 구현하도록 명령하거나 이를 가능하게 한다. 630에서, 제 3 수정 정보가 패터닝 디바이스 수정 툴로 전송된다. 이와 유사하게, 제 2 패터닝 오차 정보 및 제 3 수정 정보에 기초한 패터닝 시스템[예를 들어, 패터닝 시스템(300)]의 1 이상의 수정 장치에 대한 제 4 수정 정보가 생성되고, 패터닝 시스템으로 전송될 수 있다. 패터닝 디바이스 및/또는 패터닝 시스템의 이러한 반복적인 수정은 패터닝 오차 정보가 공차 내에 있을 때까지 계속될 수 있다.
일 실시예에서, 패터닝 디바이스 수정은 증분(increment)들로 이루어진다. 즉, 보정가능하지 않은 오차를 패터닝 시스템(300)에 의해 보정가능한 오차로 100 %, 98 % 이상, 95 % 이상 또는 90 % 이상의 제 1 레벨에 의해 변환하고, 및/또는 100 %, 98 % 이상, 95 % 이상 또는 90 % 이상의 제 1 레벨에 의해 오차를 감소시키는 수정 정보가 생성된다. 그 후, 그 수정 정보는 수정 정보가 제 1 레벨 미만의 제 2 레벨로, 예를 들어 제 1 레벨의 95 % 이하, 제 1 레벨의 90 % 이하, 또는 제 1 레벨의 85 % 이하로 보정되도록 재구성된다. 그 후, 패터닝 디바이스는 패터닝 디바이스는 제 2 레벨에 대한 수정 정보에 따라 수정되어, 오차의 일부만이 보정된다. 그 후, 수정된 패터닝 디바이스는 패터닝 시스템에 관하여 추가 시뮬레이션 및/또는 측정 결과를 이용하여 평가되어, 제 1 및 제 2 레벨들 간의 차이를 감소시키도록 제 3 레벨에서의 추가 수정에 도달한다. 이 방식으로, 예를 들어 과잉 보정(overcorrection)이 회피될 수 있다. 예를 들어, 제 1 보정에서 적절히 설명되지 않았을 수 있는 추가 보정(들)에서 설명될 수 있는 패터닝 시스템에서의 장기간 드리프트(long term drift) 및/또는 패터닝 시스템의 수정 장치의 설정값들과 수정 장치의 실제 성능 간의 델타(deltas)가 존재할 수 있다.
핫 스폿은 결함이 생성되거나 생성될 가능성이 있는 1 이상의 패턴 피처를 포함하는 영역 또는 위치를 칭한다. 예를 들어, 핫 스폿은 인접한 패턴 라인들이 서로 가깝게, 하지만 이격되도록 디자인되지만, 함께 결합하거나 결합할 가능성이 있는 영역 또는 위치일 수 있다. 핫 스폿(예를 들어, 결합된 패턴 라인들)에 의해 생성되는 결함은 디바이스의 고장 또는 중대한 전기적 문제들을 야기할 수 있다. 핫 스폿의 근본 원인은 포커스 시프트, 도즈 시프트, 조명 변화, 광학 수차로 인한 파면 변화 등을 포함할 수 있다. 예를 들어, 리소그래피 이미징 시스템에서 핫 스폿을 고정하는 해결책은 리소그래피 이미징 시스템의 도즈 및/또는 포커스를 조정하는 것일 수 있다. 하지만, 이러한 해결책(또는 다른 해결책들)은 패터닝 시스템의 수정 장치의 제한된 공간 주파수 분해능으로 인해 핫 스폿과 연계된 오차를 정확하게 또는 완전히 보정하지 않을 수 있다.
따라서, 도 7을 참조하면, 핫 스폿 제어 방법의 일 실시예의 흐름도가 도시된다. 도 7의 흐름도에서 수행되는 방법은 소프트웨어 어플리케이션(330)에 의해 수행되어, 핫 스폿과 연계된 오차를 감소시키거나 제거할 수 있다. 700에서, 제 1 기판의 영역에 제공된 제 1 패턴의 측정 결과 및/또는 제 1 기판의 영역에 제공될 제 1 패턴에 대한 시뮬레이션 결과가 얻어진다. 제 1 패턴은 패터닝 시스템[예를 들어, 패터닝 시스템(300)] 내의 패터닝 디바이스를 사용함으로써 제공되거나 제공될 것이다. 일 실시예에서, 제 1 기판의 영역 상의 제 1 패턴의 측정 결과는 메트롤로지 장치(310)로부터 얻어진다.
710에서, 제 1 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 기판의 영역이 핫 스폿을 포함하는지가 결정된다. 일 실시예에서, 핫 스폿은 패터닝 공정에서 패턴(또는 그 부분)의 공정 윈도우를 제한하는 역할을 하는 패턴(또는 그 부분)의 1 이상의 패턴 피처를 식별함으로써 패터닝 공정 수학적 시뮬레이션에 의해 식별된다. 패턴(또는 그 부분) 내의 피처들은 상이한 공정 윈도우들[즉, 피처가 사양 내에서 생성될 처리 파라미터들(예를 들어, 도즈 및 포커스)의 공간]을 가질 수 있다. 잠재적인 시스템적 결함들에 관련되는 사양들의 예시들은 네킹(necking), 라인 풀백(line pull back), 라인 시닝(line thinning), CD, 에지 배치, 오버래핑(overlapping), 레지스트 최상부 손실(resist top loss), 레지스트 언더컷(undercut) 및/또는 브리징(bridging)에 대한 체크들을 포함한다. 패턴(또는 그 부분) 내의 모든 피처들의 공정 윈도우는 각각의 개별적인 피처의 공정 윈도우들을 병합(예를 들어, 오버랩)함으로써 얻어질 수 있다. 모든 피처들의 공정 윈도우의 경계는 개별적인 피처들 중 일부의 공정 윈도우들의 경계들을 포함한다. 모든 피처들의 공정 윈도우의 경계를 정의하는 이 개별적인 피처들은 모든 피처들의 공정 윈도우를 제한한다; 이 피처들이 "핫 스폿들"로서 식별될 수 있다. 제 1 기판의 영역이 핫 스폿을 포함하는 것으로 결정되는 경우, 상기 방법은 720으로 진행한다. 그렇지 않은 경우, 상기 방법은 종료된다.
720에서, 핫 스폿에서의 제 1 오차 정보가 결정된다. 일 실시예에서, 제 1 오차 정보는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템 내의 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다.
730에서, 제 1 오차 정보에 기초한 패터닝 디바이스에 대한 제 1 수정 정보가 생성되어 수정된 패터닝 디바이스를 얻는다. 일 실시예에서, 제 1 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다. 일 실시예에서, 제 1 오차는 패터닝 시스템에 의한 제 1 보정가능하지 않은 오차를 포함한다.
740에서, 제 1 수정 정보에 기초하여 패터닝 디바이스를 수정하기 위해 수정 정보 및 패터닝 디바이스가 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]로 전송된다. 일 실시예에서, 제 1 보정가능하지 않은 오차는 제 1 수정 정보에 따라 패터닝 디바이스를 수정함으로써, 패터닝 시스템의 1 이상의 수정 장치에 의한 보정가능한 오차로 변환된다. 일 실시예에서, 패터닝 시스템 수정 정보가 수정된 패터닝 디바이스의 보정가능한 오차를 보정하도록 패터닝 시스템의 1 이상의 수정 장치에 대해 생성되고, 패터닝 시스템 수정 정보에 의해 표현된 보정을 구현하도록 패터닝 시스템에 제공된다. 그 후, 수정된 패터닝 디바이스는 생산 시 사용될 수 있다.
선택적으로, 상기 방법은 700으로 되돌아가고, 여기서 제 2 기판의 영역에 제공된 제 2 패턴의 측정 결과 및/또는 제 2 기판의 영역에 제공될 제 2 패턴에 대한 시뮬레이션 결과가 얻어진다. 제 2 패턴은 패터닝 시스템[예를 들어, 패터닝 시스템(300)] 내의 수정된 패터닝 디바이스를 사용함으로써 제공되거나 제공될 것이다. 일 실시예에서, 제 2 기판의 영역 상의 제 2 패턴의 측정은 메트롤로지 장치(310)로부터 얻어진다. 일 실시예에서, 제 2 기판은 재작업 이후의 제 1 기판이다. 일 실시예에서, 제 2 기판은 상이한 기판이다.
710에서, 제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 기판의 영역이 핫 스폿을 포함하는지가 결정된다. 제 2 기판의 영역이 핫 스폿을 포함하는 것으로 식별되는 경우, 상기 방법은 720으로 진행한다. 그렇지 않은 경우, 상기 방법은 종료된다.
720에서, 핫 스폿이 존재하는 제 2 기판의 영역에서의 제 2 오차 정보가 결정된다. 일 실시예에서, 제 2 오차 정보는 패터닝 시스템 내의 수정된 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템 내의 수정된 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 의한 제 2 보정가능한 오차를 포함한다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 의한 제 2 보정가능하지 않은 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다.
730에서, 제 2 오차 정보에 기초하여 수정된 패터닝 디바이스에 대한 제 2 수정 정보가 생성된다. 일 실시예에서, 740에서, 제 2 수정 정보 및 수정된 패터닝 디바이스는 제 2 수정 정보에 따라 보정된 패터닝 디바이스를 수정하기 위해 패터닝 디바이스 수정 툴로 전송된다. 일 실시예에서, 제 2 보정가능하지 않은 오차는 제 1 수정 정보에 따라 패터닝 디바이스를 수정함으로써, 패터닝 시스템의 1 이상의 수정 장치에 의한 보정가능한 오차로 변환된다. 일 실시예에서, 패터닝 시스템 수정 정보가 수정된 패터닝 디바이스의 보정가능한 오차를 보정하도록 패터닝 시스템의 1 이상의 수정 장치에 대해 생성되고, 패터닝 시스템 수정 정보에 의해 표현된 보정을 구현하도록 패터닝 시스템에 제공된다. 그 후, 상기 방법은 선택적으로 700으로 되돌아간다. 이러한 반복적인 수정은 1 이상의 핫 스폿과 연계된 오차가 공차 범위 내에 있을 때까지 계속된다.
일 실시예에서, 패터닝 디바이스 수정은 패터닝 디바이스를 통과하는 방사선을 제어하고 이에 따라 도즈를 제어하기 위해 패터닝 디바이스 기판에 음영(shading)/산란 요소들을 추가하는 것을 포함한다. 일 실시예에서, 패터닝 디바이스 수정은 패터닝 디바이스를 통과하는 방사선의 포커스에 대한 패터닝 디바이스 기판에 대한 Z 변형을 포함한다.
일 실시예에서, 패터닝 디바이스 수정은 조명 퓨필을 변화시키는 것을 포함한다. 즉, 패터닝 디바이스 기판에 대한 Z 변형의 정도에 따라, 조명 퓨필에서 블러(blur)가 야기될 수 있고, 이는 예를 들어 투영 시스템에서의 수차를 보상할 수 있다.
도 8을 참조하면, 패터닝 시스템의 수정 장치에 의한 패터닝 공정에 대한 수정의 예시적인 그래프가 도시된다. 수평축은 시간을 나타내고, 수직축은 수정의 파라미터를 나타낸다. 일 실시예에서, 파라미터는 패터닝 공정에 적용되는 수정(예를 들어, 오차 보정)을 정의하는 패터닝 시스템의 수정 장치의 파라미터이다. 예를 들어, 파라미터들은 모델(1) 또는 모델(2)의 파라미터일 수 있다. 따라서, 일 실시예에서, 그래프는 패터닝 시스템의 수정 장치에 의한 시간에 따른 예시적인 수정 또는 오차 보정(810)을 도시한다. 도 8에 나타낸 바와 같이, 패터닝 시스템[예를 들어, 패터닝 시스템(300)]의 수정 장치의 수정 범위는 수정 하한(840)과 수정 상한(820) 사이이다. 오차 보정(810)은 투영 시스템 가열 및/또는 패터닝 디바이스 가열과 같은 시변 효과(time-varying effect)로 인해 시간에 걸쳐 증가한다. 수정(810)은 t0 시간까지 수정 범위에 머문다. t0 시간 이후, 수정은 이 경우에는 패터닝 시스템의 수정 장치의 수정 상한(820)을 초과한다. 결과로서, 잔류 보정 오차(830)가 도입된다. 잔류 보정 오차는 t0 시간 이후에 생성되는 수정 상한(820)과 수정(810) 간의 차이일 수 있다. 일 실시예에서, 잔류 보정 오차(830)는 패터닝 시스템의 1 이상의 수정 장치를 조정함으로써 보정될 수 없고, 시간이 지남에 따라 계속 증가할 수 있다. 잔류 보정 오차(830)는 패터닝 공정의 파라미터의 오차이거나, 이를 나타낼 수 있다. 예를 들어, 잔류 보정 오차(830)는 오버레이 오차 패널티(overlay error penalty)이거나, 이를 나타낼 수 있다. 즉, 일 실시예에서, 오차 보정(810)은 오버레이 오차의 상당 부분을 보정하지만, "클리핑(clipping)"[즉, 원하는 보정(810)이 패터닝 시스템의 수정 장치의 수정 한계를 넘음]으로 인해 오버레이 오차의 일부분이 보정되지 않는다, 즉 오버레이 패널티.
일 실시예에서, 패터닝 시스템의 수정 장치의 잔류 보정 오차(830)를 - 제거하지 않는 경우 - 감소시키기 위해, 적절한 오차 오프셋이 적용되어 오차 오프셋 및 오차 보정(810)의 조합이 패터닝 시스템의 수정 장치의 오차 보정 범위 내에 있거나, 또는 적어도 오차 오프셋이 없는 경우보다 긴 시간 동안 오차 보정 범위 내에 남아 있도록 한다.
도 9를 참조하면, 오차 오프셋과 조합된 오차 보정의 예시적인 그래프가 도시된다. 이 예시에서는, 네거티브 오차 오프셋(930)이 적용된다. 네거티브 오차 오프셋(930)을 적용한 후, 오차 보정(810)(즉, 오차 오프셋 없음) 및 네거티브 오차 오프셋(930)의 조합이 결과적인 오차 보정(910)에 의해 도시된다. 도 9에 나타낸 바와 같이, 결과적인 오차 보정(910)은 연장된 시간 주기(즉, 적어도 오차 오프셋이 없는 것보다 긴 시간 주기)에 걸쳐 패터닝 시스템의 수정 장치의 오차 보정 범위 내에 머문다. 일 실시예에서, 시간 주기는 적어도 패터닝 디바이스가 단일 기판 상에 패턴들을 프린트하는 시간 주기만큼 길다. 일 실시예에서, 결과적인 오차 보정(910)은 오차 보정 범위를 "클리핑"하지 않는다. 결과적인 오차 보정(910)이 시간에 따라 변화하기 때문에, 보정은 동적 보정이라고 칭해질 수 있다(또한, 동적 오차를 보정하는 데 사용된다). 도 8 및 도 9는 비교적 연속적이고 비교적 매끄러운 오차 보정들(810, 910)을 도시하지만, 오차 보정은 그러할 필요가 없고 불연속적일 수 있다(예를 들어, 복수의 불연속들을 포함하는 계단식 오차 보정).
동적 보정을 위한 [네거티브 오차 오프셋(930)과 같은] 오차 오프셋을 도입하기 위해 다양한 방법들이 수행될 수 있다. 예를 들어, 일 실시예에서, 오차 오프셋은 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]을 사용하여 패터닝 디바이스를 수정함으로써 도입된다. 일 실시예에서, 추가적으로 또는 대안적으로, 오차 오프셋은 예를 들어 오차 보정(810)을 적용하는 하류 수정 장치와 함께 사용하는 패터닝 시스템 내의 또 다른 수정 장치, 예컨대 조정 메카니즘(AM), 트랙 수정 장치 등에 의해 도입된다.
일 실시예에서, 오차 보정(810)은 처음에 오차 보정 범위 밖에[예를 들어, 수정 상한(820)을 넘어서 또는 수정 하한(840) 아래에] 있다. 이는 정적 오차로 칭해질 수 있다. 이 경우, 적절한 오차 오프셋이 도입되어 패터닝 시스템의 수정 장치의 오차 보정 범위 내에 오차 보정을 놓을 수 있다. 동적 오차와 마찬가지로, 일 실시예에서, 오차 오프셋은 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]을 사용하여 패터닝 디바이스를 수정함으로써, 및/또는 예를 들어 오차 보정(810)을 적용하는 하류 수정 장치와 함께 사용하는 패터닝 시스템 내의 또 다른 수정 장치, 예컨대 조정 메카니즘(AM), 트랙 수정 장치 등에 의해 도입된다. 일 실시예에서, 정적 오차가 동적 오차와 조합되고, 이에 따라 오차 오프셋은 동적 오차의 전부는 아니더라도 적어도 일부와 정적 오차를 고려하여야 한다.
도 10을 참조하면, 오차 오프셋을 조합함에 의한 오차 보정 방법의 일 실시예의 흐름도가 도시된다. 도 10의 흐름도에서 수행되는 방법은 소프트웨어 어플리케이션(330)에 의해 수행될 수 있다. 1000에서, 패터닝 디바이스를 수반하는 패터닝 공정에 대해 패터닝 오차 정보가 얻어진다. 일 실시예에서, 패터닝 오차 정보는 측정 및/또는 시뮬레이션에 의해 얻어진다. 일 실시예에서, 패터닝 오차 정보는 오버레이 오차 및/또는 패터닝 디바이스 정합 오차를 포함한다.
1010에서, 패터닝 오차 정보에 기초하여, 패터닝 오차가 지정된 시간 주기 동안(예를 들어, 처음에, 소정 유한 시간에 걸쳐, 또는 항상) 패터닝 시스템[예를 들어, 패터닝 시스템(300)]의 수정 장치의 소정 수정 범위 내에서[예를 들어, 수정 상한(820)과 수정 하한(840) 사이에서] 보정가능한지가 결정된다. 패터닝 오차가 지정된 주기 동안 오차 보정 범위 내에서 보정가능하지 않은 것으로 결정되는 경우, 방법은 1020으로 진행한다. 그렇지 않은 경우, 상기 방법은 종료된다.
1020에서, 패터닝 오차 정보에 기초하여 패터닝 시스템의 수정 장치에 대한 패터닝 오차 오프셋이 결정된다. 패터닝 오차 오프셋은 패터닝 오차 오프셋과 패터닝 오차의 조합이 적어도 지정된 시간 주기 동안 패터닝 시스템의 수정 장치의 수정 범위 내에서 보정가능하도록 선택된다.
일 실시예에서, 1030에서 패터닝 오차 오프셋에 기초하여 패터닝 디바이스에 대한 제 1 수정 정보가 생성된다. 패터닝 오차 오프셋의 적어도 일부는 제 1 수정 정보에 따라 보정된 패터닝 디바이스가 패터닝 시스템에서 사용된 후의 패터닝 오차와 조합된다.
일 실시예에서, 제 1 수정 정보에 추가적으로 또는 대안적으로, 1030에서 패터닝 오차 오프셋에 기초하여 패터닝 시스템 내의 1 이상의 수정 장치에 대한 제 2 수정 정보가 생성된다. 패터닝 오차 오프셋의 적어도 일부는 제 2 수정 정보에 따라 조정된 패터닝 시스템의 1 이상의 수정 장치가 패터닝 시스템에서 사용된 후의 패터닝 오차와 조합된다. 일 실시예에서, 1 이상의 수정 장치는 조정기(AD), 조정 메카니즘(AM) 및/또는 트랙 내의 수정 장치를 포함한다. 일 실시예에서, 제 2 수정 정보는 패터닝 시스템의 복수의 수정 장치들에 대해 생성되며, 이들이 함께 패터닝 오차 오프셋의 일부 또는 전부를 제공한다.
따라서, 일 실시예에서, 패터닝 시스템의 1 이상의 수정 장치의 전체 범위를 개선하기 위해 패터닝 오차 오프셋이 제공될 수 있다. 특히, 일 실시예에서, 패터닝 시스템의 수정 장치의 이용가능한 범위가 동적 패터닝 오차를 겪는 경우에(예를 들어, 리소그래피 장치에서의 생산 중 투영 시스템 및/또는 패터닝 디바이스의 가열 동안) 사용될 수 있도록 패터닝 디바이스 보정(또는 또 다른 수정 장치에 의해 이루어지는 보정)이 구현될 수 있다. 일 예시로서, 패터닝 디바이스 오프셋은 모델(1) 및/또는 (2)의 특정한 k-파라미터에 대한 오프셋으로서 새로운 상이한 설정값에 도입되어, 패터닝 오차가 그 설정값 주위의 수정 장치 범위 내에 남아 있도록 할 수 있다. 이 수정 정보는 1 이상의 패터닝 공정 파라미터(예를 들어, 오버레이) 및 패터닝 오차들을 보정할 수 있는 패터닝 시스템의 연계된 1 이상의 수정 장치에 대한 알려진 효과들의 정보로 도출될 수 있다[예를 들어, 오차가 투영 시스템 가열로부터 도출되는 경우, 조정 메카니즘(AM)이 사용될 수 있음].
일 실시예에서, 추가적으로 또는 대안적으로, 패터닝 디바이스에 대한 수정 정보는 안정적/정적인 것으로 알려져 있는 패터닝 시스템의 1 이상의 수정 장치에 의해 보정가능한 오차를 제거하는 데 사용된다. 따라서, 패터닝 시스템의 1 이상의 수정 장치는 동적 변화들/변동들을 보정하는 데 사용될 수 있다.
일 실시예에서, 수정 정보는 패터닝 시스템의 수정 장치에 의해 보정가능하지 않은 필드-내(intra-field) 잔류 오차들을 효과적으로 감소시키고, 및/또는 패터닝 시스템 내의 수정 장치에 의해 보정가능한 필드-내 오차 핑거프린트를 유도할 수 있다. 이 수정 정보는 패터닝 디바이스 및/또는 패터닝 시스템의 1 이상의 다른 수정 장치에 대한 수정일 수 있다. 일 실시예에서, 필드-내 오차 핑거프린트에 대응하는 패터닝 시스템의 1 이상의 수정 장치에 대한 수정 정보가 제공된다.
일 실시예에서, 패터닝 오차의 보정의 부분(fraction)이 패터닝 시스템의 수정 장치들 사이에서, 또는 패터닝 디바이스 수정과 패터닝 시스템의 1 이상의 수정 장치 사이에서 시프트될 수 있다. 예를 들어, 패터닝 시스템의 수정 장치에 의해 보정가능한 오차의 적어도 일부가 패터닝 디바이스 수정에 의해 보정되도록 시프트될 수 있다. 예를 들어, 패터닝 시스템의 수정 장치에 의해 보정가능하지 않은 오차의 적어도 일부가 패터닝 디바이스 수정에 의해 보정되고 보정가능한 나머지를 남기도록 시프트될 수 있다. 또 다른 예시로서, 특정 수정 장치에 의해 보정가능한 오차의 적어도 일부가 또 다른 수정 장치에 의해 보정되도록 시프트될 수 있다(오차의 적어도 일부를 패터닝 디바이스 수정으로 시프팅하는 것을 포함함). 또 다른 예시로서, 수정 장치에 의해 보정가능하지 않은 오차의 적어도 일부가 패터닝 디바이스 수정 및/또는 또 다른 수정 장치에 의해 보정되도록 변환될 수 있다. 일 예시로서, 모델(1) 또는 (2)의 특정 k 항의 일부 보정은, 모델(1) 또는 (2)의 또 다른 k 항이 패터닝 시스템의 수정 장치에 의해 보정될 수 있도록 패터닝 디바이스 수정에 의해 이루어질 수 있다.
일 실시예에서, 최적화는 최저 필드-내 잔류(예를 들어, 최저 오버레이 오차 잔류)를 목표로 한다. 일 실시예에서, 최적화는 패터닝 디바이스 수정 툴을 이용한 패터닝 디바이스의 수정에 의해 이용가능한 공간 주파수 보정의 범위 및/또는 패터닝 시스템의 1 이상의 수정 장치에 의해 이용가능한 공간 주파수 보정의 범위를 명시하는 정보를 사용한다(예를 들어, 정보는 모든 수정 장치에 대해, 또는 개별적인 수정 장치들 또는 수정 장치들의 그룹들에 대해 명시될 수 있다). 일 실시예에서, 공간 주파수 정보는 상이한 방향들(예를 들어, x 방향, y 방향 등)에 대해 명시된다.
패터닝 디바이스가 클램핑, 가열, 및 패터닝 시스템에서 패터닝 디바이스에 적용되는 다른 조건들을 고려하여 균열될 수 있음이 밝혀졌다. 예를 들어, 패터닝 디바이스 또는 패터닝 공정에서의 오차를 보정하기 위해 본 명세서에 설명된 바와 같이 패터닝 디바이스에 대해 수정이 이루어질 수 있다. 일 실시예에서, 이러한 수정은 패터닝 디바이스에서의 재료 속성 변화(예를 들어, 패터닝 디바이스의 변형을 수반할 수 있는 국부적인 밀도 및/또는 투과 변동)를 유도하는 단계를 수반한다. 하지만, 이러한 수정은 패터닝 디바이스에서 균열을 초래하지 않을 수 있지만, 패터닝 시스템에서 패터닝 디바이스에 적용되는 추가 조건들(예컨대, 클램핑, 가열 등)이 패터닝 디바이스의 균열을 초래할 수 있음이 인지되었다. 따라서, 본 명세서에 설명된 바와 같은 패터닝 디바이스의 수정이 그러한 것을 모르고 더 높은 크래킹 위험을 초래할 수 있다. 이는 값비싼 손상, 예를 들어 고가인 패터닝 디바이스 자체, 패터닝 시스템의 오염, 다운타임 및 수리/교체 시간 등을 초래할 수 있다.
따라서, 일 실시예에서, 실제 또는 의도된 패터닝 디바이스 수정과 함께 패터닝 시스템 거동 지식 및/또는 패터닝 시스템 모델이 패터닝 디바이스의 실제 또는 예측된 크래킹의 표시에 도달하는 데 사용된다. 일 실시예에서, 패터닝 시스템 거동 지식은 패터닝 시스템에서의 패터닝 디바이스의 온도 및/또는 변형 측정들을 포함한다. 일 실시예에서, 패터닝 시스템 모델은 패터닝 시스템에서의 패터닝 디바이스의 예상 온도 및/또는 변형의 모델을 포함한다. 일 실시예에서, 모델은 경험적 측정들에 기초하고, 및/또는 제 1 원리에 기초하여 계산된다(예를 들어, 패터닝 디바이스 상의 방사선의 공간 분포, 방사선의 에너지, 슬릿 프로파일 등에 기초하여 계산되고, 및/또는 클램핑 압력에 기초하여 계산되고, 및/또는 패터닝 시스템에서의 진동들에 기초하여 계산되고, 및/또는 펠리클로부터의 응력에 기초하여 계산됨). 패터닝 시스템 정보는 사용 동안의(또는 다운타임으로부터의) 측정들, 패터닝 시스템 설정들, 패터닝 시스템 캘리브레이션 등으로부터 얻어질 수 있다. 일 실시예에서, 실제 또는 의도된 패터닝 디바이스 수정은 패터닝 디바이스의 재료 속성 변화들의 공간 위치 정보를 포함한다.
일 실시예에서, 예를 들어, 패터닝 디바이스 수정들로부터 발생하는 왜곡 프로파일이 패터닝 시스템으로 인한 패터닝 디바이스의 왜곡 프로파일과 조합(예를 들어, 합산)되어, 조합된 왜곡 프로파일이 얻어질 수 있다. 예를 들어, 실제 또는 의도된 패터닝 디바이스 수정과 함께 패터닝 시스템 거동 지식 및/또는 패터닝 시스템 모델은 패터닝 디바이스에서의 변형(strain) 또는 응력의 공간 분포에 도달하는 데 사용될 수 있다. 공간 분포 또는 프로파일은 2-차원 또는 3-차원일 수 있다. 또한, 공간 분포 또는 프로파일은 시변적일 수 있다.
그 후, 왜곡 프로파일을 평가함으로써(예를 들어, 변형 또는 응력의 공간 분포를 평가함으로써) 크래킹의 측정치(measure)가 결정될 수 있다. 예를 들어, 크래킹은 변형 또는 응력이 특정 임계치를 초과하는 경우에 발생할 수 있다. 일 실시예에서, 패터닝 시스템 거동 지식 및/또는 패터닝 시스템 모델은 크래킹의 시간이 예측될 수 있도록 온도 및/또는 변형의 공간 분포에 대한 시간 정보를 포함한다.
크래킹이 예측되는 경우, 1 이상의 조치가 취해질 수 있다. 일 실시예에서, 패터닝 공정 내의 1 이상의 단계가 패터닝 디바이스의 응력 또는 변형을 감소시키도록 변경된다. 일 예시로서, 냉각 주기가 도입되거나 연장되고, 및/또는 방사선의 세기가 변화될 수 있다. 또 다른 예시로서, 클램핑 압력이 일정 시간 동안 해제되거나 감소될 수 있다. 일 실시예에서, 패터닝 디바이스의 수정은 패터닝 디바이스로의 적용에 앞서 변경되거나, 패터닝 디바이스의 추가 수정이 이루어진다. 일 실시예에서, 패터닝 시스템의 수정 장치에 의해 이루어지는 수정이 패터닝 디바이스 수정 툴을 이용한 패터닝 디바이스에 대한 수정과 동시-최적화되어, 크래킹의 위험이 감소되거나 제거되도록 한다. 일 실시예에서, 비-수정 장치 조정(예를 들어, 냉각 주기 추가)이 패터닝 시스템의 수정 장치에 의해 이루어지는 수정 및 패터닝 디바이스 수정 툴을 이용한 패터닝 디바이스에 대한 수정과 동시-최적화된다. 일 실시예에서, 동시-최적화는 지정된 시간 주기(예를 들어, 한정된 시간량, 항상 등)에 걸친 총 패터닝 디바이스 변형이 패터닝 디바이스 크래킹 임계치 내에 머무르도록 이루어진다.
따라서, 일 실시예에서, 패터닝 디바이스 수정 툴에 의해 이루어진 패터닝 디바이스 수정들에 관한 정보와 패터닝 공정 시 패터닝 디바이스 변형에 관한 정보의 조합이 크래킹 거동의 예측을 가능하게 한다. 또한, 일 실시예에서, 패터닝 공정에서의 1 이상의 변화, 패터닝 디바이스의 수정, 및/또는 패터닝 디바이스의 수정 장치에 의한 조정이 사용되어, 패터닝 시스템에서의 총 패터닝 디바이스 변형이 크래킹 임계치 내에 머무르도록 한다.
앞서 명시된 바와 같이, 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]에 의한 수정 이후, 패터닝 디바이스는 패터닝 시스템[예를 들어, 패터닝 시스템(300)]에서의 사용 동안 더 높은 크래킹 위험을 갖는다. 따라서, 도 11을 참조하면, 패터닝 디바이스 크래킹 방지 방법의 일 실시예의 흐름도가 도시된다. 도 11의 흐름도에서 수행되는 방법은 소프트웨어 어플리케이션(330)에 의해 수행될 수 있다.
1100에서, 패터닝 디바이스의 수정 정보가 얻어진다. 일 실시예에서, 수정 정보는 수정의 공간 분포 정보를 포함한다. 일 실시예에서, 수정 정보는 패터닝 공정를 위해 패터닝 디바이스에 패턴 수정 툴에 의해 만들어진 또는 만들어질 수정을 설명한다.
1110에서, 패터닝 시스템에서 발생하는 패터닝 디바이스의 온도 및/또는 변형 공간 분포가 얻어진다. 일 실시예에서, 패터닝 디바이스의 온도 및/또는 분포는 모델로부터(예를 들어, 시뮬레이션을 통해) 및/또는 측정에 의해 얻어진다.
1120에서, 패터닝 디바이스의 수정 정보 및 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 크래킹 거동이 예측된다. 일 실시예에서, 단계 1120은 단계 1124 및 단계 1128을 포함할 수 있다. 1124에서, 패터닝 디바이스의 수정 정보 및 패터닝 공정 시 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 응력 또는 변형 맵이 결정된다. 1128에서, 패터닝 디바이스의 응력 또는 변형 맵에 기초하여 크래킹의 측정치가 결정된다.
1130에서, 패터닝 디바이스 크래킹 임계치를 지나는 크래킹의 측정치에 응답하여 패터닝 디바이스의 크래킹이 예측되는 것으로 결정된다. 일 실시예에서, 크래킹의 측정치는 패터닝 디바이스 크래킹 임계치를 지나는지 여부에 대해 평가되는 크래킹 수(cracking number)를 포함한다. 패터닝 디바이스가 균열될 것으로 예측되는 경우, 방법은 1140으로 진행한다. 그렇지 않은 경우, 패터닝 디바이스는 균열되지 않을 것으로 예측되고, 상기 방법은 종료된다.
1140에서, 크래킹의 위험을 - 제거하지 않는 경우 - 감소시키기 위해, 1 이상의 조치가 취해진다. 일 실시예에서, 패터닝 공정 내의 1 이상의 단계가 패터닝 디바이스의 응력 또는 변형을 감소시키도록 변경된다. 일 예시로서, 냉각 주기가 도입되거나 연장될 수 있다. 또 다른 예시로서, 클램핑 압력이 일정 시간 동안 해제되거나 감소될 수 있다. 일 실시예에서, 패터닝 디바이스의 수정은 패터닝 디바이스로의 적용에 앞서 변경되거나, 패터닝 디바이스의 추가 수정이 이루어진다. 일 실시예에서, 패터닝 시스템의 수정 장치에 의해 이루어지는 수정이 패터닝 디바이스 수정 툴을 이용한 패터닝 디바이스에 대한 수정과 동시-최적화되어, 크래킹의 위험이 감소되거나 제거되도록 한다. 일 실시예에서, 비-수정 장치 조정(예를 들어, 냉각 주기 추가)이 패터닝 시스템의 수정 장치에 의해 이루어지는 수정 및 패터닝 디바이스 수정 툴을 이용한 패터닝 디바이스에 대한 수정과 동시-최적화된다. 일 실시예에서, 동시-최적화는 지정된 시간 주기(예를 들어, 한정된 시간량, 항상 등)에 걸친 총 패터닝 디바이스 변형이 패터닝 디바이스 크래킹 임계치 내에 머무르도록 이루어진다.
일 실시예에서, 단계 1140은 패터닝 디바이스 크래킹 임계치로 크래킹의 위험을 유지하도록 패터닝 디바이스의 수정을 구현할 것을 패터닝 디바이스 수정 툴에 명령하는 제 1 수정 정보를 생성하는 단계를 포함한다. 일 실시예에서, 제 1 수정 정보는 동시-최적화에 기초한다. 일 실시예에서, 제 1 수정 정보는 패터닝 디바이스 수정 툴에 전송된다. 일 실시예에서, 단계 1140은 추가적으로 또는 대안적으로 패터닝 시스템의 1 이상의 수정 장치에 의한 조정을 구현할 것을 패터닝 시스템에 명령하는 제 2 수정 정보를 생성하는 단계를 더 포함한다. 일 실시예에서, 제 2 수정 정보는 동시-최적화에 기초한다. 일 실시예에서, 제 2 수정 정보는 패터닝 시스템의 1 이상의 수정 장치로 전송된다.
그 후, 상기 방법 1120으로 되돌아간다. 반복적인 수정 방법은 크래킹의 측정치가 패터닝 디바이스 크래킹 임계치 내에 있을 때까지 계속될 수 있다.
도 12를 참조하면, 패터닝 디바이스 크래킹 방지 방법의 일 실시예의 흐름도가 도시된다. 도 12의 흐름도에서 수행되는 방법은 패터닝 디바이스 크래킹 방지를 위해 노광 동안 패터닝 시스템(300)에 의해 수행될 수 있다. 1210에서, 패터닝 시스템에서의 패터닝 디바이스의 공간 온도 및/또는 변형 분포가 결정된다. 일 실시예에서, 패터닝 디바이스의 공간 온도 및/또는 변형 분포는 패터닝 시스템[예를 들어, 패터닝 시스템(300)] 내의 온도 및/또는 변형 센서에 의해 결정된다. 일 실시예에서, 패터닝 디바이스의 공간 온도 및/또는 변형 분포는 패터닝 디바이스의 표면 상이나 그 부근의 복수의 위치들에서의 온도 및/또는 변형의 측정들에 기초하여 도출된다. 일 실시예에서, 패터닝 디바이스는 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]에 의해 보정되었다.
1220에서, 온도 및/또는 변형 분포에 기초하여 패터닝 디바이스의 크래킹 거동에 대한 예측이 얻어진다. 일 실시예에서, 패터닝 시스템은 패터닝 디바이스의 온도 및/또는 변형 분포를 소프트웨어 어플리케이션(330)에 전송한다. 또한, 패터닝 시스템은 소프트웨어 어플리케이션(330)으로부터 패터닝 디바이스에 대한 수정 정보 및 패터닝 디바이스의 온도 및/또는 변형 분포에 기초한 패터닝 디바이스의 크랙킹 거동의 예측을 얻는다.
1230에서, 패터닝 디바이스가 균열되었거나 균열될 것이라는 표시에 응답하여 패터닝 시스템에서의 패터닝 디바이스의 사용이 방지된다. 선택적으로, 1240에서, 패터닝 디바이스는 패터닝 시스템에서의 패터닝 디바이스의 사용을 방지한 후 수정을 위해 패터닝 디바이스 수정 툴로 보내진다.
패터닝 시스템[예를 들어, 패터닝 시스템(300)] 및 패터닝 디바이스는 둘 다 패터닝 시스템 및 패터닝 디바이스로 기판들을 생성함에 있어서 오차에 기여할 수 있다. 패터닝 시스템 및 패터닝 디바이스 조합의 선택은, 예를 들어 패터닝 시스템에 대한 보정가능한 및 보정가능하지 않은 오차의 크기를 결정한다. 그러므로, 패터닝 시스템들 및 패터닝 디바이스들의 최적 조합들을 제공하는 방법이 제공된다.
도 13을 참조하면, 패터닝 디바이스 대 패터닝 디바이스 매칭 방법의 일 실시예의 흐름도가 도시된다. 일 실시예에서, 패터닝 디바이스 대 패터닝 디바이스 매칭은 동일한 패터닝 시스템을 사용하는 상이한 패터닝 디바이스들의 자격부여(qualification)를 수반한다. 도 13의 흐름도에서 수행되는 방법은 소프트웨어 어플리케이션(330)에 의해 수행될 수 있다.
1300에서, 패터닝 시스템 내의 제 1 패터닝 디바이스에 의해 제공된 제 1 패턴의 측정 결과, 및/또는 이에 의해 제공될 제 1 패턴에 대한 시뮬레이션 결과가 얻어진다. 1310에서, 제 1 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보가 도출된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 정합 오차 및/또는 제 1 오버레이 오차를 포함한다. 일 실시예에서, 제 1 오차 정보는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다.
1320에서, 패터닝 시스템 내의 제 2 패터닝 디바이스에 의해 제공된 제 2 패턴의 측정 결과, 및/또는 이에 의해 제공될 제 2 패턴에 대한 시뮬레이션 결과가 얻어진다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 상이한 기판에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층들에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제품들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
1330에서, 제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보가 결정된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 정합 오차 및/또는 제 2 오버레이 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다.
1340에서, 제 1 오차 정보와 제 2 오차 정보 간의 차이가 결정된다. 1350에서, 제 1 오차 정보와 제 2 오차 정보 간의 차이가 공차 임계치 내에 있는지가 결정된다. 공차 임계치를 넘지 않는 제 1 오차 정보와 제 2 오차 정보 간의 차이에 응답하여, 상기 방법이 종료된다. 그렇지 않은 경우, 상기 방법은 1360으로 진행한다.
1360에서, 제 1 오차 정보와 제 2 오차 정보 간의 차이에 기초하여 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보가 생성된다. 일 실시예에서, 제 1 오차 정보와 제 2 오차 정보 간의 차이는 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 후에 소정 범위 내에서 감소된다. 따라서, 일 실시예에서, 제 1 및/또는 제 2 패터닝 디바이스는 제 1 및 제 2 패터닝 디바이스들 간의 오차 차이가 감소된 것을 제외하고는 여전히 남은 오차를 갖는다. 일 실시예에서, 수정은 제 1 및 제 2 패터닝 디바이스들 사이에 배분된다.
그 후, 상기 방법은 수정 정보가 생성되는 패터닝 디바이스(들)에 따라 1300, 1320 또는 둘 모두로 되돌아갈 수 있다. 이 반복적인 수정 방법은 제 1 오차 정보와 제 2 오차 정보 간의 차이가 범위 내에 있을 때까지 계속될 수 있다.
도 13의 흐름도에서 수행되는 방법은 상이한 사용 사례들에 대해 수행될 수 있다. 제 1 사용 사례에서, 다수의 상이한 패터닝 디바이스들이 동일한 패터닝 시스템에 의해 동일한 층을 처리하는 데 사용된다. 예를 들어, 제 1 사용 사례는 이중 패터닝 적용들에 대한 것일 수 있다. 그러므로, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 이 경우에 상이한 패터닝 디바이스들이다. 상기 방법을 구현한 후, 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]로 제 1 패터닝 디바이스, 제 2 패터닝 디바이스, 또는 둘 모두를 보정함으로써, 제 1 패턴, 제 2 패턴 또는 둘 모두와 연계된 오차가 감소될 수 있다. 이 사용 사례는 "층내 플릿 매칭(intralayer fleet matching)"이라고 칭해질 수 있다.
제 2 사용 사례에서, 동일한 패터닝 디바이스의 다수 복제품이 동일한 패터닝 시스템에 의해 동일한 층을 처리하는 데 사용된다. 그러므로, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 이 경우에 동일한 패터닝 디바이스의 상이한 복제품들이다. 동일한 패터닝 디바이스의 다수 복제품들은, 예를 들어 패터닝 디바이스 가열로 인한 오버레이 오차를 제어하는 데 사용될 수 있다; 패터닝 디바이스의 제 1 복제품이 패터닝 디바이스의 제 2 복제품으로 교체될 수 있다. 이 제 2 사용 사례에 대한 방법의 적용은 패터닝 공정을 균일하게 유지하도록 도움으로써 이러한 교체를 가능하게 할 수 있다. 또한, 이 사용 사례는 손상되고, 오염되는 등의 패터닝 디바이스의 제 1 복제품에 응답하여 패터닝 디바이스의 제 1 복제품을 패터닝 디바이스의 제 2 복제품으로 교체하는 것에 적용가능할 수 있다. 상기 방법의 이 사용 사례는 "필드내 플릿 매칭"이라고 칭해질 수 있다.
제 3 사용 사례에서, 다수의 상이한 패터닝 디바이스들이 동일한 패터닝 시스템에 의해 상이한 층들을 처리하는 데 사용된다. 그러므로, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 이 경우에 상이한 패터닝 디바이스들이다. 상기 방법을 구현한 후, 제 1 패터닝 디바이스에 의한 제 1 패턴과 제 2 패터닝 디바이스에 의한 제 2 패턴 간의 오차 차이(예를 들어, 오버레이 오차)는 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]로 제 1 패터닝 디바이스, 제 2 패터닝 디바이스, 또는 둘 모두를 보정함으로써 감소된다. 상기 방법의 이 사용 사례는 "스택 플릿 매칭"이라고 칭해질 수 있다.
도 14를 참조하면, 패터닝 디바이스 대 패터닝 디바이스 매칭 방법의 일 실시예의 흐름도가 도시된다. 패터닝 디바이스 대 패터닝 디바이스 매칭은 상이한 패터닝 시스템들을 사용하는 동일한 패터닝 디바이스 또는 상이한 패터닝 디바이스들의 자격부여를 수반한다. 도 14의 흐름도에서 수행되는 방법은 소프트웨어 어플리케이션(330)에 의해 수행될 수 있다.
1400에서, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 의해 제공된 제 1 패턴의 측정 결과, 및/또는 이에 의해 제공될 제 1 패턴에 대한 시뮬레이션 결과가 얻어진다. 1410에서, 제 1 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보가 결정된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 시스템에서 제 1 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 제 1 패터닝 시스템에서 제 1 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 정합 오차 및/또는 제 1 오버레이 오차를 포함한다.
1420에서, 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 의해 제공된 제 2 패턴의 측정 결과, 및/또는 이에 의해 제공될 제 2 패턴에 대한 시뮬레이션 결과가 얻어진다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 상이한 기판에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층들에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제품들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
1430에서, 제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보가 결정된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 제 2 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 정합 오차 및/또는 제 2 오버레이 오차를 포함한다.
1440에서, 제 1 오차 정보와 제 2 오차 정보 간의 차이가 결정된다. 1450에서, 제 1 오차 정보와 제 2 오차 정보 간의 차이가 소정 공차 범위 내에 있는지가 결정된다. 공차 범위 내에 있는 제 1 오차 정보와 제 2 오차 정보 간의 차이에 응답하여, 상기 방법이 종료된다. 그렇지 않은 경우, 상기 방법은 1460으로 진행한다.
1460에서, 제 1 오차 정보와 제 2 오차 정보 간의 차이에 기초하여 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보가 생성된다. 일 실시예에서, 제 1 오차 정보와 제 2 오차 정보 간의 차이는 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 후에 소정 범위 내로 감소된다. 따라서, 일 실시예에서, 제 1 및/또는 제 2 패터닝 디바이스는 제 1 및 제 2 패터닝 디바이스들 간의 오차 차이가 감소된 것을 제외하고는 여전히 남은 오차를 갖는다. 일 실시예에서, 수정은 차이의 전부 또는 일부를 보정하는 각 패터닝 시스템들의 능력에 기초하여 제 1 및 제 2 패터닝 디바이스들 사이에 배분된다. 예를 들어, 제 1 패터닝 시스템이 제 2 패터닝 시스템보다 차이 내에서의 소정 공간 분해능의 오차들을 다루는 데 더 우수할 수 있다.
일 실시예에서, 제 1 패터닝 시스템의 수정 장치 및/또는 제 2 패터닝 시스템의 수정 장치에 대한 수정 정보가 생성된다. 일 실시예에서, 제 1 및 제 2 패터닝 시스템들 및 제 1 및 제 2 패터닝 디바이스들 사이에서 보정들의 최적 조합을 결정하기 위해 동시-최적화가 수행된다.
그 후, 상기 방법은 수정 정보가 생성되는 패터닝 디바이스(들)에 따라 1400, 1420 또는 둘 모두로 되돌아갈 수 있다. 이 반복적인 수정 방법은 제 1 오차 정보와 제 2 오차 정보 간의 차이가 소정 범위 내에 있을 때까지 계속될 수 있다.
도 14의 흐름도에서 수행되는 방법은 상이한 사용 사례들에서 수행될 수 있다. 제 1 사용 사례에서, 다수의 상이한 패터닝 디바이스들이 상이한 패터닝 시스템들에 의해 동일한 층을 처리하는 데 사용된다. 예를 들어, 제 1 사용 사례는 이중 패터닝 적용들에 대한 것일 수 있다. 그러므로, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 이 경우에 상이한 패터닝 디바이스들이다. 상기 방법을 구현한 후, 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]로 제 1 패터닝 디바이스, 제 2 패터닝 디바이스, 또는 둘 모두를 보정함으로써, 제 1 패턴, 제 2 패턴 또는 둘 모두와 연계된 오차가 감소될 수 있다. 이 사용 사례는 "층내 플릿 매칭"이라고 칭해질 수 있다.
제 2 사용 사례에서, 동일한 패터닝 디바이스의 다수 복제품들이 상이한 패터닝 시스템들에 의해, 예를 들어 동일한 기판 또는 상이한 기판들의 동일한 층을 처리하는 데 사용된다. 그러므로, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 이 경우에 동일한 패터닝 디바이스의 상이한 복제품들이다. 동일한 패터닝 디바이스의 다수 복제품들은 다수 패터닝 시스템들에 걸쳐 대량 생산을 가능하게 할 수 있다. 이 제 2 사용 사례에 대한 방법의 적용은 다수 패터닝 시스템들에 걸쳐 패터닝 공정을 균일하게 유지할 수 있게 한다. 상기 방법의 이 사용 사례는 "필드내 플릿 매칭"이라고 칭해질 수 있다.
제 3 사용 사례에서, 다수의 상이한 패터닝 디바이스들이 상이한 패터닝 시스템들에 의해 상이한 층들을 처리하는 데 사용된다. 그러므로, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 이 경우에 상이한 패터닝 디바이스들이다. 상기 방법을 구현한 후, 제 1 패터닝 디바이스에 의한 제 1 패턴과 제 2 패터닝 디바이스에 의한 제 2 패턴 간의 오차 차이(예를 들어, 오버레이 오차)는 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]로 제 1 패터닝 디바이스, 제 2 패터닝 디바이스, 또는 둘 모두를 보정함으로써 감소된다. 이 사용 사례에서, 패터닝 시스템들 각각은 동일한 타입으로 이루어질 수 있다. 상기 방법의 이 사용 사례는 "스택 플릿 매칭"이라고 칭해질 수 있다.
제 4 사용 사례에서, 다수의 상이한 패터닝 디바이스들이 상이한 패터닝 시스템에 의해 상이한 층들을 처리하는 데 사용된다. 그러므로, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 이 경우에 상이한 패터닝 디바이스들이다. 상기 방법을 구현한 후, 제 1 패터닝 디바이스에 의한 제 1 패턴과 제 2 패터닝 디바이스에 의한 제 2 패턴 간의 오차 차이(예를 들어, 오버레이 오차)는 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]로 제 1 패터닝 디바이스, 제 2 패터닝 디바이스, 또는 둘 모두를 보정함으로써 감소된다. 이 사용 사례에서, 패터닝 시스템들 각각은 상이한 타입으로 이루어질 수 있다. 따라서, 일 실시예에서, 패터닝 시스템의 상이한 타입들 사이에서 오차가 가장 잘 최소화될 수 있는 방식에 따라 특정 패터닝 디바이스에 대한 보정들이 이루어진다. 예를 들어, 한 타입의 패터닝 시스템은 EUV 리소그래피 시스템일 수 있는 한편, 다른 타입의 패터닝 시스템은 DUV(예를 들어, 침지 DUV) 리소그래피 시스템일 수 있다.
일 실시예에서, 패터닝 디바이스 대 패터닝 디바이스 매칭은 패터닝 시스템 대 패터닝 시스템 매칭을 가능하게 한다. 즉, 각 패터닝 시스템들의 1 이상의 수정 장치의 수정 정보가 매칭에 포함될 수 있다. 예를 들어, 한 패터닝 시스템의 1 이상의 수정 장치의 수정 정보가 또 다른 패터닝 시스템의 성능에 관하여, 및/또는 다른 패터닝 시스템의 1 이상의 수정 장치의 수정 정보에 관하여 변동될 수 있다. 따라서, 1 이상의 패터닝 공정 파라미터(예를 들어, 포커스, 도즈, 오버레이 오차 등)에 관한 성능의 차이가 패터닝 디바이스 수정(들)의 최적화된 조합 및/또는 패터닝 시스템(들)의 1 이상의 수정 장치의 조정들에 의해 패터닝 시스템들 사이에서 감소될 수 있다.
일 실시예에서, 패터닝 디바이스 대 패터닝 디바이스 매칭은 패터닝 시스템 관련 효과들이 분석으로부터 제거되도록 수행된다. 이 방식으로, 매칭된 패터닝 디바이스가 상이한 패터닝 시스템들에서 사용될 수 있다. 따라서, 패터닝 시스템 특정적 효과들은 최적화를 벗어나 있을 수 있다. 예를 들어, 상이한 패터닝 시스템의 광학 리소그래피 장치들 간의 투영 시스템 대 투영 시스템 변동이 배제(factor out)될 수 있다. 유사하게, 리소그래피 장치들 간의 그리드 변동(예를 들어, 상이한 리소그래피 장치들의 기판 테이블들의 이동 변동)이 배제될 수 있다. 일 실시예에서, 이는 예를 들어 패터닝 디바이스 핑거프린트를 제거하여 패터닝 시스템 관련 효과들을 식별하고, 그 패터닝 시스템 관련 효과들을 제거함으로써 행해질 수 있다. 이는 또 다른 패터닝 시스템에서 기준 패터닝 디바이스 또는 동일한 패터닝 디바이스의 다른 복제품을 사용하는 것을 수반할 수 있다. 일 실시예에서, 이는 패터닝 시스템들에서 패터닝 디바이스들을 사용하고 패터닝 시스템들의 효과들을 측정함으로써 행해질 수 있다.
일 실시예에서, 보정가능하지 않은 오차에 대한 남은 보정가능한 오차, 및 연속 층들을 산정(assess)하는 경우에는 결과적인 필드내 오버레이의 연산적 산정이: 주어진 패터닝 시스템 - 패터닝 디바이스 조합에 대한 패터닝 시스템 장치 핑거프린트 및 패터닝 디바이스 핑거프린트의 정보에 기초하여 결정될 수 있다. 산정은 필드내 보정가능하지 않은 오차들을 감소시키기 위해 볼륨 램핑(volume ramping)(다수 패터닝 시스템들/패터닝 디바이스 복제품들) 동안 뿐만 아니라, 층/스택의 설정 동안에도 이루어질 수 있다. 설정 외에, 분석은 패터닝 공정의 모니터링(및 이에 따른 패터닝 공정의 제어)을 위해 생산 동안에 사용될 수도 있다.
매칭을 통한 패터닝 시스템의 수정 장치(들) 및/또는 패터닝 디바이스(들)로 수정 정보를 배분하는 최적 조합들이 다양한 사용 사례들에 대해 행해질 수 있다. 하나의 사용 사례에서, 이중 패터닝 적용마다[예를 들어, n*(리소-에칭)] 하나의 층 내에서의 다수의 상이한 패터닝 디바이스들 - 패터닝 시스템 조합("층내 플릿 매칭")이 매칭을 위해 평가될 수 있다. 또 다른 사용 사례에서, 패터닝 디바이스들의 다수 복제품들 - 표준 노광 적용을 위한 하나의 층 내에서의 패터닝 시스템들("필드내 플릿 매칭")이 매칭을 위해 평가될 수 있다. 또 다른 사용 사례에서, 2 개(또는 그 이상)의 패터닝 디바이스 - 패터닝 시스템 조합들이 동일한 타입의 패터닝 시스템들의 표준 단일 노광 조합들에 대해 오버레이 오차에 기여하는 기판 스택을 통한 다수의 상이한 패터닝 디바이스들("스택 플릿 매칭")이 매칭을 위해 평가될 수 있다. 또 다른 사용 시, 2 개(또는 그 이상)의 패터닝 디바이스 - 패터닝 시스템 조합들이 상이한 타입의 패터닝 시스템들(예를 들어, EUV 시스템 및 침지 시스템)의 표준 단일 노광 조합들에 대해 오버레이 오차에 기여하는 기판 스택을 통한 다수의 상이한 패터닝 디바이스들("플랫폼 플릿 매칭")이 매칭을 위해 평가될 수 있다. 또 다른 사용 사례에서, 플랫폼 플릿 매칭과 연계되어, 연산적 산정은 어떠한 패터닝 디바이스/패터닝 시스템 핑거프린트 보정들이 어느 타입의 패터닝 시스템에 대해 최적으로 이루어질 수 있는지(예를 들어, 침지 시스템에 대한 소정 보정 및 EUV 시스템에 대한 또 다른 보정)를 결정하는 것을 포함할 수 있다. 또 다른 사용 사례에서, 앞서 최적화된 패터닝 디바이스 - 패터닝 시스템의 조합에 속하는 (예를 들어, 손상된, 마모된, 등) 패터닝 디바이스의 교체의 경우에 최적 보정들의 연산적 산정이 이루어질 수 있다.
일 실시예에서, 최적화는 예를 들어 스루풋/사이클 시간을 설명하는 비용 함수를 수반할 수 있다.
도 15를 참조하면, 패턴 수정 방법의 일 실시예의 흐름도가 도시된다. 도 15의 흐름도에서 수행되는 방법은 소프트웨어 어플리케이션(330)에 의해 수행될 수 있다. 1500에서, 패터닝 시스템[예를 들어, 패터닝 시스템(300)]에서 패터닝 디바이스에 의해 제공된 패턴의 측정 결과, 및/또는 이에 의해 제공될 패턴에 대한 시뮬레이션 결과가 얻어진다. 일 실시예에서, 패터닝 시스템에서 패터닝 디바이스를 사용함으로써 생성된 패턴의 측정은 메트롤로지 장치(310)로부터 얻어진다.
1510에서, 패턴과 타겟 패턴 간의 오차가 결정된다. 일 실시예에서, 오차는 임계 치수 오차이다. 일 실시예에서, 오차는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다.
1520에서, 오차가 소정 공차 범위 내에 있는지가 결정된다. 공차 범위 내에 있는 오차에 응답하여, 상기 방법이 종료된다. 그렇지 않은 경우, 상기 방법은 1530으로 진행한다.
1530에서, 패터닝 디바이스에 대한 수정 정보가 오차에 기초하여 생성된다. 일 실시예에서, 패터닝 디바이스가 수정 정보에 따라 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]에 의해 수정되는 경우, 오차 중 적어도 일부가 패터닝 시스템의 1 이상의 수정 장치에 의한 보정가능한 오차로 전환된다. 일 실시예에서, 추가적으로 또는 대안적으로, 패터닝 디바이스가 수정 정보에 따라 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]에 의해 수정되는 경우, 오차 중 적어도 일부가 감소된다. 그 후, 상기 방법은 1500으로 되돌아간다. 반복적인 수정은 오차가 공차 범위 내에 있을 때까지 계속될 수 있다.
도 16을 참조하면, 에칭-로딩 효과를 보정하기 위한 패터닝 디바이스 수정 방법의 일 실시예의 흐름도가 도시된다. 에칭-로딩 효과는 패터닝 오차(예를 들어, 오버레이 오차)에 기여하는 인자이다. 예를 들어, 에칭-로딩 효과는 3-차원(3D) NAND 플래시 메모리 제품들의 제작에 상당한 영향을 미칠 수 있다. 에칭-로딩 효과는 에칭 속도(etch rate)가 에칭될 재료의 양(quantity)에 의존함을 나타낸다. 다시 말하면, 에칭 속도는 기판 상의 패턴들의 상이한 밀도에 대해 변동한다. 상이한 에칭 속도들은 상이한 패터닝 오차(예를 들어, CD의 오차)를 유도할 수 있다. 도 16의 흐름도에서 수행되는 방법은 소프트웨어 어플리케이션(330)에 의해 수행될 수 있다.
1600에서, 패터닝 시스템[예를 들어, 패터닝 시스템(300)]에서 패터닝 디바이스에 의해 제공된 패턴의 측정 결과, 및/또는 이에 의해 제공될 패턴에 대한 시뮬레이션 결과가 얻어진다. 일 실시예에서, 측정 또는 시뮬레이션 결과는 패터닝 시스템의 에칭 툴에 의한 처리 이후의 패턴으로 이루어진다. 일 실시예에서, 에칭 툴 이후의 패턴의 측정은 메트롤로지 장치(310)로부터 얻어진다. 일 실시예에서, 측정 또는 시뮬레이션 결과는 패터닝 시스템의 에칭 툴에 의한 처리 전의 패턴의 측정 또는 시뮬레이션 정보를 포함하여, 예를 들어 에칭-로딩 효과의 식별을 가능하게 하고, 및/또는 에칭 툴의 상류에서 도입되는 오차를 설명한다.
1610에서, 측정 및/또는 시뮬레이션 결과에 기초한 패터닝 오차 정보가 결정된다. 일 실시예에서, 패터닝 오차 정보는 에칭 로딩 효과로 인한 오차를 포함한다.
1620에서, 패터닝 오차 정보가 소정 공차 범위 내에 있는지가 결정된다. 공차 범위 내에 있는 패터닝 오차 정보에 응답하여, 상기 방법이 종료된다. 그렇지 않은 경우, 상기 방법은 1630으로 진행한다.
1630에서, 패터닝 디바이스를 수정하기 위한, 및/또는 에칭 툴로부터 패터닝 시스템에서 상류의 수정 장치를 조정하기 위한 수정 정보가 패터닝 오차에 기초하여 생성된다. 일 실시예에서, 패터닝 디바이스가 패터닝 디바이스 수정 정보에 따라 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]에 의해 수정되는 경우, 및/또는 패터닝 시스템의 수정 장치가 수정 장치 수정 정보에 의해 조정되는 경우, 오차 중 적어도 일부가 패터닝 시스템의 1 이상의 수정 장치에 의한 보정가능한 오차로 전환된다. 일 실시예에서, 추가적으로 또는 대안적으로, 패터닝 디바이스가 패터닝 디바이스 수정 정보에 따라 패터닝 디바이스 수정 툴[예를 들어, 패터닝 디바이스 수정 툴(320)]에 의해 수정되는 경우, 및/또는 패터닝 시스템의 수정 장치가 수정 장치 수정 정보에 의해 조정되는 경우, 오차 중 적어도 일부가 감소된다. 일 실시예에서, 패터닝 디바이스를 수정하는 수정 정보 및 수정 장치를 조정하는 수정 정보가 동시-최적화되어, 예를 들어 수정 장치에 의해 보정가능한 패터닝 오차의 부분의 수정 장치에 의한 최대 보정 및 패터닝 디바이스 수정에 의한 잔류 오차의 보정을 가능하게 한다.
그 후, 상기 방법은 1600으로 되돌아간다. 반복적인 수정은 패터닝 오차가 공차 범위 내에 있을 때까지 계속될 수 있다.
앞서 설명된 바와 같이, 패터닝 시스템이 오차를 겪을 수 있고, 오차 중 일부가 패터닝 시스템의 1 이상의 수정 장치에 의해(통상적으로는 오차의 공간 분해능으로 인해) 보정가능하지 않을 수 있다. 앞서 설명된 바와 같이, 일 실시예에서, 1 이상의 수정 장치에 의해 보정가능하지 않은 오차는 (예를 들어, 오차 보정에 대해 더 높은 공간 분해능을 갖는) 1 이상의 다른 수정 장치에 의해, 및/또는 패터닝 디바이스의 수정(예를 들어, 높은 공간 분해능 보정)에 의해 적어도 부분적으로 보정될 수 있다. 이 오차 보정을 가능하게 하기 위해, 측정 결과들이 오차(예를 들어, 그 공간 분포를 포함함)를 결정하는 데 사용될 수 있다. 메트롤로지 장치(310)[예를 들어, 메트롤로지 시스템(MET)]는 이러한 측정들을 가능하게 하고, 오버레이 오차, 도즈, 포커스, 임계 치수 등과 같은 오차 정보를 결정할 수 있다.
앞서 설명된 바와 같이, 이러한 측정들을 이용하고 수정 정보의 생성을 가능하게 하기 위해, 1 이상의 수학 모델이 사용될 수 있다. 일 실시예에서, 소프트웨어 어플리케이션(330)은 모델링 및 모델링의 사용이 수정 정보에 도달할 수 있게 한다.
일 실시예에서, 패터닝 시스템에서 패터닝 디바이스를 사용하는 패터닝 공정의 패터닝 오차 정보(예를 들어, 핑거프린트)를 모델링하기 위해 오차 수학 모델이 제공된다. 일 실시예에서, 오차 수학 모델은 패터닝 시스템에서 패터닝 디바이스를 사용하여 패터닝 공정에서 패터닝된 기판들의 패터닝 오차 정보의 패터닝 오차 정보를 모델링한다. 일 실시예에서, 오차 수학 모델은 고분해능 오차의 1 이상의 타입들에 대해 튜닝된다. 고분해능 오차의 타입의 예시들은 에칭-로딩 효과로 인한 오차들, (예를 들어, 투영 방사선으로부터의) 투영 시스템 가열로 인한 오차들, (예를 들어, 조명 방사선으로부터의) 패터닝 디바이스 가열로 인한 오차들, (예를 들어, 투영된 방사선으로부터의) 기판 가열로 인한 오차들, (예를 들어, 리소그래피 장치의 투영 시스템의) 조명 수차 민감도로부터 발생하는 오차들, 패터닝 시스템 대 패터닝 시스템 매칭(예를 들어, 리소그래피 장치 대 리소그래피 장치 매칭)에서의 오차들, 및 패터닝 디바이스 대 패터닝 디바이스 매칭에서의 오차들을 포함한다.
일 실시예에서, 패터닝 시스템의 1 이상의 수정 장치 및/또는 패터닝 디바이스 수정 툴[예를 들어, 도 4를 참조하여 설명된 툴과 같은 패터닝 디바이스 수정 툴(320)]에 의해 이루어질 수 있는 패터닝 오차의 보정을 모델링하기 위해 보정 수학 모델이 제공된다. 일 실시예에서, 패터닝 시스템의 1 이상의 수정 장치에 의해 이루어질 수 있는 패터닝 오차의 보정을 모델링하기 위한 보정 수학 모델이 제공된다. 일 실시예에서, 패터닝 디바이스 수정 툴[예를 들어, 도 4를 참조하여 설명된 툴과 같은 패터닝 디바이스 수정 툴(320)]에 의해 이루어질 수 있는 패터닝 오차의 보정을 모델링하기 위한 보정 수학 모델이 제공된다. 일 실시예에서, 패터닝 디바이스 수정 툴에 대한 보정 수학 모델은 1 이상의 수정 장치에 대한 보정 수학 모델보다 높은 분해능을 갖는다. 일 실시예에서, 오차 수학 모델은 패터닝 디바이스 수정 툴에 대한 보정 수학 모델과 동일하거나 그에 상응하는 분해능을 갖는다. 일 실시예에서, 고분해능은 1 mm 이하의 기판 상의 공간 주파수들을 포함한다.
따라서, 일 실시예에서, 1 이상의 수정 장치 및/또는 패터닝 디바이스 수정 툴에 대한 수정 정보가 1 이상의 적용가능한 보정 수학 모델을 오차 수학 모델에 의해 모델링된 패터닝 오차에 적용함으로써 얻어질 수 있다.
일 실시예에서, 오차 수학 모델을 파라미터화하기 위해, 메트롤로지 장치(310)는 패터닝 오차 정보를 측정하고 결정한다. 일 실시예에서, 패터닝 오차 정보는 오버레이 오차, 포커스, 도즈 및/또는 임계 치수를 포함한다. 측정들을 수행하기 위해, 메트롤로지 장치(310)는 기판들 상의 1 이상의 메트롤로지 타겟(예를 들어, 격자들과 같은 회절 주기적 구조체들, 또는 디바이스 패턴 자체의 구조체들)을 사용할 수 있다. 바람직하게는, 1 이상의 메트롤로지 타겟이 패터닝 오차를 정확히 나타내며, 충분한 양 및 위치의 메트롤로지 타겟들이 측정되어, 기판 전체에 걸쳐 패터닝 오차를 적절히 특징짓는다.
따라서, 일 실시예에서, 소프트웨어 어플리케이션(330)은 측정을 위한 1 이상의 메트롤로지 타겟을 식별하고 1 이상의 메트롤로지 타겟에 대한 메트롤로지 레시피를 개발하도록 구성된다. 메트롤로지 레시피는 1 이상의 메트롤로지 타겟을 측정하는 데 사용되는 메트롤로지 장치(310) 자체 및/또는 측정 공정과 연계된 1 이상의 파라미터(및 1 이상의 연계된 값), 예컨대 측정 빔의 1 이상의 파장, 측정 빔의 편광의 1 이상의 타입, 측정 빔의 1 이상의 도즈 값, 측정 빔의 1 이상의 대역폭, 측정 빔과 사용되는 검사 장치의 1 이상의 어퍼처 설정, 타겟 상에 측정 빔을 위치시키는 데 사용되는 정렬 마크들, 사용되는 정렬 방식, 샘플링 방식, 메트롤로지 타겟들의 레이아웃, 및 타겟들 및/또는 타겟의 관심 지점들을 측정하는 이동 방식 등이다. 일 실시예에서, 메트롤로지 레시피는 오차 수학 모델에 기초하여 선택된다.
일 실시예에서, 1 이상의 메트롤로지 타겟은 패터닝 공정를 위해 디자인되고 자격부여될 수 있다. 예를 들어, 복수의 메트롤로지 타겟 디자인들이 잔류 변동(residual variation)(시스템적 및/또는 랜덤)을 최소화하는 1 이상의 메트롤로지 타겟을 식별하도록 평가될 수 있다. 일 실시예에서, 복수의 메트롤로지 타겟 디자인들은 그 성능이 디바이스와 매칭하는 1 이상의 메트롤로지 타겟을 식별하도록, 예를 들어 오버레이 오차의 측정치가 디바이스의 오버레이 오차와 매칭하는 메트롤로지 타겟을 식별하도록 평가될 수 있다. 메트롤로지 타겟은, 예를 들어 오버레이, 포커스, 임계 치수(CD), 정렬, 타겟 내의 비대칭 등, 또는 이로부터 선택되는 여하한의 조합의 측정을 위해 디자인될 수 있다.
일 실시예에서, 메트롤로지 장치(310)는 메트롤로지 공정에 대한 1 이상의 샘플링 방식을 적용할 수 있다. 일 실시예에서, 샘플링 방식은: 기판 당 샘플 포인트들의 수, 샘플링되는 로트 당 기판들의 수; 샘플링되는 로트 당 또는 로트에서의 기판(들)의 수 지정; 샘플링되는 필드들의 수; 기판 상의 샘플링된 필드들의 레이아웃/위치들; 각각의 필드에서의 장소(site)들의 수; 필드에서의 장소들의 위치들; 샘플들의 주파수; 메트롤로지 타겟의 타입; 또는 측정 알고리즘으로부터 선택되는 1 이상의 파라미터를 포함할 수 있다.
일 실시예에서, 소프트웨어 어플리케이션(330)은 오차 수학 모델 및 샘플 포인트들의 수(예를 들어, 샘플링되는 기판들의 수 및/또는 샘플링되는 기판 당 포인트들의 수)의 조합에 대해 1 이상의 양상(예를 들어, 샘플링된 위치들/타겟들의 레이아웃)을 더 결정하기 위해 샘플 방식 최적화기 모듈(sample scheme optimizer module)을 사용할 수 있다. 예를 들어, 샘플 방식 최적화기는 비-산출(non-yielding) 다이들을 회피하기 위해 기판의 에지로부터 최소화된 거리에서 샘플링 위치들을 선택하는 것과 같이, 다양한 제약들 또는 제한들을 고려할 수 있다.
일 실시예에서, 샘플 방식 최적화기는 적어도 부분적으로 메트롤로지 장치(310)의 스루풋 모델에 기초한 메트롤로지 레시피를 사용하여 메트롤로지 타겟으로 데이터를 측정하기 위한 샘플링 방식을 결정할 수 있다. 일 실시예에서, 샘플링 방식은 오차 수학 모델에 더 기초할 수 있다. 샘플 방식 최적화기는 측정 데이터 및 샘플링 방식에 기초하여 평가 파라미터를 더 결정(예를 들어, 자체 계산)할 수 있다. 예를 들어, 평가 파라미터는 기판들의 로트 내에서의 기판 대 기판 변동, 남은 불확실성, 남은 시스템적 변동 등을 포함할 수 있다. 그 후, 샘플 방식 최적화기는 평가 파라미터가 임계치를 넘는지를 결정할 수 있다. 또한, 평가 파라미터가 임계치를 넘는 것으로 결정되는 경우, 샘플 방식 최적화기는 적어도 부분적으로는 스루풋 모델에 기초하여 샘플링 방식을 변화시킬 수 있다(예를 들어, 샘플링 방식이 여전히 스루풋 모델의 1 이상의 기준을 충족시키도록 샘플링 방식을 수정할 수 있음). 또한, 샘플 방식 최적화기는 샘플링 방식이 변화된 경우, 적어도 측정 데이터 및 변화된 샘플링 방식에 기초하여 평가 파라미터를 결정하는 것과 측정 데이터 및 변화된 샘플링 방식에 기초하여 결정된 평가 파라미터가 임계치를 넘는지를 결정하는 것을 재-수행할 수 있다.
더 높은 차수의 기저 함수들을 사용하여 데이터를 피팅하는 것은, 전형적으로 잡음에 대한 민감도를 증가시킨다. 반면에, 증가한 차수의 기저 함수들을 이용하면, 잔류는 감소할 것이다. 따라서, 샘플 방식 최적화기는 잔류를 감소시키는 더 높은 차수를 고려하지만 잡음에 대한 민감도를 낮게 유지하도록 샘플링을 제어하는 비용 함수를 통해 균형을 이룸으로써 모델을 매칭하기 위해 샘플 방식에 도달함에 있어서 이를 설명할 수 있다. 예를 들어, 샘플 방식이 입력 잡음의 감소에 영향을 미치고, 로트 당 측정될 수 있는 기판들의 수가 잡음의 감소에 영향을 미치며, 및/또는 로트 샘플링이 출력 잡음에 영향을 미친다. 따라서, 최적화의 일부로서, 다양한 상이한 샘플 방식 변형예들이 사용할 수 있다. 예를 들어, 측정되는 로트 당 기판들의 수는 감소될 수 있고, 및/또는 기판 당 샘플링된 위치들의 수는 감소될 수 있다. 추가 예시로서, 기판 및/또는 필드들의 경계들 부근에서 더 많은 측정 포인트가 선택될 수 있는데, 이는 거기에서 기저 함수들이 "가장 거칠게(wildest)" "거동"할 수 있으므로 거기에서 더 많은 정보가 요구되기 때문이다.
일 실시예에서, 샘플 방식 최적화기는 잠재적인 측정 위치들의 세트로부터 측정 위치들의 최적 서브세트를 선택한다. 따라서, 샘플 방식 최적화기에 대한 입력은 샘플링 방식이 결정될 수 있는 측정 레이아웃(예를 들어, 측정 타겟들이 있거나 위치될 수 있는 기판 상에서 측정될 수 있는 모든 위치들) 및 측정된 데이터에서의 패터닝 오차(예를 들어, 핑거프린트)를 나타낼 수 있는 1 이상의 수학 모델일 수 있다. 이 입력으로부터, 샘플 방식 최적화기는 비용 함수에 기초하여 측정 위치들의 서브세트(예를 들어, 측정들의 수 및/또는 특정한 위치들)를 수반하는 1 이상의 샘플링 방식에 도달하기 위해 1 이상의 모델 및 측정 레이아웃을 평가할 수 있다. 비용 함수는 남은 불확실성을 감소시키는 것, 측정 위치들의 균일한 분포를 얻는 것, 측정 위치들의 클러스터링(clustering)을 감소시키는 것, 로트-대-로트 변동을 감소시키는 것, 기판-대-기판 변동을 감소시키는 것, 및/또는 빠른 실행 시간을 얻는 것을 수반할 수 있다. 일 실시예에서, 사용자는 제약, 예를 들어 측정될 포인트들의 수, 제외되는 소정 필드들 또는 필드-내 포인트들, 포인트들의 분포를 나타내는 파라미터(예를 들어, 중심을 향하여 더 많은 포인트 또는 에지를 향하여 더 많은 포인트) 등을 더 부과할 수 있다. 일 실시예에서, 샘플 방식 최적화기는 비-산출 다이들로부터의 측정 포인트들의 제외와 같은 제약을 부과할 수 있다. 또한, 샘플 방식 최적화기는 1 이상의 샘플 방식이 스루풋 모델의 기준을 충족시키도록 스루풋 모델을 사용하여 평가를 제한할 수 있다. 샘플 방식 최적화기의 출력은 1 이상의 샘플 방식이다. 일 실시예에서, 샘플 방식 최적화기는 입력들 및 제약들을 가능하게 하는 그래픽 사용자 인터페이스를 제공할 수 있다. 또한, 그래픽 사용자 인터페이스는 샘플 방식의 그래픽 표현(예를 들어, 그 위치들과 함께 그래픽으로 도시되는 측정 위치들의 수를 갖는 기판의 다이어그램 또는 그림)을 제공할 수 있다. 또한, 그래픽 사용자 인터페이스는 (예를 들어, 상이한 방향들에 대한) 남은 불확실성과 같은 샘플링 방식에 관한 성능 정보를 제공할 수도 있다.
따라서, 샘플 방식 최적화기는 수학 모델, 이용가능한 레이아웃 및 스루풋 모델에 기초하여 드문(sparse) 샘플링 방식과 조밀한(dense) 샘플링 방식 사이에서 최적화할 수 있다. 드문 샘플링은 가능한 최저 잔여 불확실성(및 이에 따른 수학 모델의 견고한 포착)을 가질 수 있지만, 모델과 핑거프린트 간의 불일치에 대해 열악한 견고성(robustness) 및 기판의 열악한 커버리지(coverage)를 가질 수 있다. 다른 한편으로, 조밀한 샘플링은 크거나 폭넓게 변동하는 잔여 불확실성을 가질 수 있지만, 기판의 우수한 커버리지를 갖고, 클러스터링을 회피하며, 모델과 핑거프린트 간의 불일치에 대해 우수한 견고성을 가질 수 있다.
일 실시예에서, 앞서 명시된 바와 같이, 사용자는 샘플링 방식에 대한 제약, 예를 들어 기판 당 최대 샘플 수, 샘플링되는 로트 당 최대 기판 수 등을 지정할 수 있다. 예를 들어, (그래픽 사용자 인터페이스와 같은) 인터페이스가 사용자로 하여금 제약을 지정하게 할 수 있다. 일 실시예에서, 사용자는 평가될 1 이상의 샘플링 방식을 지정할 수 있다. 예를 들어, (그래픽 사용자 인터페이스와 같은) 인터페이스는 샘플링 방식들 중 1 이상 또는 전부의 선택을 위한 다수의 샘플링 방식들을 사용자에게 제공할 수 있고, 및/또는 사용자로 하여금 고려를 위한 샘플링 방식을 추가하게 할 수 있다.
일 실시예에서, 새로운 또는 수정된 디바이스 패턴(및 이에 따른 새로운 측정 데이터)이 다르게 동일한 패터닝 공정 및 동일한 층에 사용되는 경우, 1 이상의 앞서 결정된(하지만 새로운 측정 데이터에 대해 파라미터화된) 모델 및 샘플링 방식이 사용될 수 있다; 따라서, 1 이상의 수학 모델을 새로 결정하거나, 1 이상의 샘플링 방식을 새로 결정할 필요가 없을 수 있다.
일 실시예에서, 샘플 방식 최적화기는 소정 모델이 주어진 모델 피팅 공정에 가장 유익한 메트롤로지 포인트 위치들을 선택한다. 동시에, 샘플링 방식 최적화 알고리즘은 두 개의 목적들이 균형을 이루도록 균일한 방식으로 선택된 메트롤로지 포인트 위치들을 위치시키려고 시도한다. 일 실시예에서, 샘플링 방식 최적화는 잠재적인 메트롤로지 포인트 위치들의 리스트와 입력된다. 그 후, 소수의 초기 선택된 메트롤로지 포인트 위치들을 선택함으로써 샘플링 방식이 초기화된다. 초기 선택된 메트롤로지 포인트 위치들은 모델에 따른 1 이상의 기준에 따라 선택되어야 한다. 일 실시예에서, 이 선택된 메트롤로지 포인트 위치들 각각은 기판의 유효 영역의 에지에 위치되고 등각으로 분리되는 선택된 메트롤로지 포인트 위치들일 수 있다. 또한, 초기화 단계는 각각의 선택된 메트롤로지 포인트 위치 주위에 제외 구역을 정의하는 것을 포함할 수 있다. 제외 구역들 외부에 있는 모든 메트롤로지 포인트 위치들이 후보 메트롤로지 포인트 위치들이다; 즉, 추후 반복들에서 "선택가능"하다. 제외 구역들은 원형이고 각각의 선택된 메트롤로지 포인트 위치를 중심으로 할 수 있다, 즉 선택된 메트롤로지 포인트 위치의 소정 거리 내의 모든 메트롤로지 포인트 위치들이 제외 구역 내에 있을 수 있다. 그 후, 모든 후보 메트롤로지 포인트 위치들, 즉 제외 구역 내에 있지 않은 모든 비-선택된 메트롤로지 포인트 위치들이 평가된다. 각각의 후보 메트롤로지 포인트 위치에 대해, 그 메트롤로지 포인트 위치가 선택된 경우에 샘플링 방식의 정보성(informativity)이 얼마나 개선될지가 계산된다. 평가에 사용되는 기준은 D-최적성(optimality)일 수 있다. 초기 제외 구역들의 크기는 후보 메트롤로지 포인트 위치들의 초기 세트가 너무 크지 않을 것을 보장하도록 선택되어야 한다. 후보 메트롤로지 포인트 위치들의 수는 균일성, 최종 샘플링 방식의 정보성(예를 들어, D-최적성), 및 알고리즘의 속도 간의 절충안이어야 한다. 모든 후보 메트롤로지 포인트 위치들을 평가한 후, 평가에 따라 샘플링 방식에 가장 많은 정보를 제공하는 메트롤로지 포인트 위치가 샘플링 방식에 추가된다. 샘플링 방식이 충분한 선택된 메트롤로지 포인트 위치들을 포함하는지가 결정된다. 그러한 경우, 샘플링 방식이 준비된다. 샘플링 방식이 충분한 선택된 메트롤로지 포인트 위치들을 갖지 않는 경우, 제외 구역이 새로 선택된 메트롤로지 포인트 위치 주위에 추가된다(다른 선택된 메트롤로지 포인트 위치들도 제외 구역들을 가짐). 그 후, 정보성 및 균일성 간의 적절한 균형을 유지하면서, 선택되도록 남은 충분한 수의 후보 메트롤로지 포인트 위치들이 존재하는지가 결정된다. 일 실시예에서, 너무 적은 후보 메트롤로지 포인트 위치들이 존재하는 것으로 결정되는 경우, 이는 제외 구역들을 축소시킴으로써 해결될 수 있다. 제외 구역들은 이 선택된 메트롤로지 포인트 위치들의 서브세트에 대해서만, 또는 그 시간에 샘플링 방식에 포함된 선택된 메트롤로지 포인트 위치들 모두에 대해 축소될 수 있다. 그 후, 선택되도록 남은 충분한 수의 후보 메트롤로지 포인트 위치들이 존재하는지의 결정 및 (필요에 따라) 축소가, 이로부터 충분한 수의 후보 메트롤로지 포인트 위치들이 존재하여 샘플링 방식을 완료할 때까지 반복적으로 되풀이된다. 충분한 수의 후보 메트롤로지 포인트 위치들이 존재하는 경우, 후보 메트롤로지 포인트 위치 평가 및 후속 단계들이 반복된다. 일 실시예에서, 최적화는 상이한 기판들에 대해 상이한 샘플링 방식들을 결정할 수 있다. 또한, 상이한 기판들의 상이한 샘플링 방식들은 선택된 메트롤로지 포인트 위치들이 복수의 기판들에 걸쳐: 예를 들어, 기판들의 로트마다 고도의 균일성으로 분포되도록 연결될 수 있다. 특히, 샘플링 방식 최적화 방법은 (앞선 기판에 대한) 앞선 샘플링 방식에 대해 선택된 메트롤로지 포인트 위치가 로트 내의 (후속한 기판에 대한) 후속한 샘플링 방식에 대해 선택되지 않도록 이루어질 수 있다. 이 방식으로, 기판들의 로트에 대한 각각의 선택된 메트롤로지 포인트 위치는 특유하다. 일 실시예에서, 최적화는 각각의 개별적인 기판에 대해 정규화된 모델 불확실성이 최소화될 것을 보장하도록 돕는다: 모든 파라미터 값들이 개선된 정밀도로 결정될 수 있다. 이는 모델 예측들의 변동들에 대해 측정들의 변동들이 미치는 영향을 최소화함으로써 행해진다.
일 실시예에서, 패터닝 시스템 내의 패터닝 디바이스에 관한 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 기판의 영역이 핫 스폿을 포함함을 식별하는 단계; 핫 스폿에서의 제 1 오차 정보를 결정하는 단계; 및 컴퓨터 시스템에 의하여, 수정된 패터닝 디바이스를 얻기 위해 제 1 오차 정보에 기초하여 패터닝 디바이스를 수정하는 제 1 수정 정보를 생성하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 상기 방법은 제 1 기판의 영역에 제공된 제 1 패턴에 대한 측정 결과, 및/또는 이에 제공될 제 1 패턴에 대한 시뮬레이션 결과를 얻는 단계를 더 포함하고, 제 1 패턴은 패터닝 시스템에서 패터닝 디바이스를 이용함으로써 제공된 또는 제공될 것이다. 일 실시예에서, 제 1 오차 정보는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 1 오차는 패터닝 시스템에 대한 제 1 보정가능한 오차를 포함한다. 일 실시예에서, 제 1 오차는 패터닝 시스템에 대한 제 1 보정가능하지 않은 오차를 포함한다. 일 실시예에서, 제 1 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다. 일 실시예에서, 상기 방법은: 패터닝 시스템에서 수정된 패터닝 디바이스를 사용함으로써 제 2 기판의 영역 상에 제공된 또는 제공될 제 2 패턴에 대한 측정 및/또는 시뮬레이션 결과를 얻는 단계; 및 제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 기판의 영역이 핫 스폿을 포함하는지를 결정하는 단계를 더 포함한다. 일 실시예에서, 상기 방법은: 핫 스폿을 포함한 제 2 기판의 영역에 응답하여 제 2 패턴에 기초한 제 2 기판의 영역에서의 제 2 오차 정보를 결정하는 단계; 및 제 2 오차 정보에 기초하여 수정된 패터닝 디바이스를 수정하는 제 2 수정 정보를 생성하는 단계를 더 포함한다. 일 실시예에서, 제 2 오차 정보는 패터닝 시스템에서 수정된 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 수정된 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 대한 제 2 보정가능한 오차를 포함한다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 대한 제 2 보정가능하지 않은 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 시스템 내의 패터닝 디바이스에 관한 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 기판의 영역이 핫 스폿을 포함함을 식별하고; 핫 스폿에서의 제 1 오차 정보를 결정하며; 및 수정된 패터닝 디바이스를 얻기 위해 제 1 오차 정보에 기초하여 패터닝 디바이스를 수정하는 제 1 수정 정보를 생성하게 한다.
일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 제 1 기판의 영역에 제공된 제 1 패턴에 대한 측정 결과, 및/또는 이에 제공될 제 1 패턴에 대한 시뮬레이션 결과를 얻게 하고, 제 1 패턴은 패터닝 시스템에서 패터닝 디바이스를 이용함으로써 제공된 또는 제공될 것이다. 일 실시예에서, 제 1 오차 정보는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 1 오차는 패터닝 시스템에 대한 제 1 보정가능한 오차를 포함한다. 일 실시예에서, 제 1 오차는 패터닝 시스템에 대한 제 1 보정가능하지 않은 오차를 포함한다. 일 실시예에서, 제 1 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 시스템에서 수정된 패터닝 디바이스를 사용함으로써 제 2 기판의 영역 상에 제공된 또는 제공될 제 2 패턴에 대한 측정 및/또는 시뮬레이션 결과를 얻고; 및 제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 기판의 영역이 핫 스폿을 포함하는지를 결정하게 한다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이: 핫 스폿을 포함한 제 2 기판의 영역에 응답하여 제 2 기판의 영역에서 제 2 오차 정보를 결정하고; 및 제 2 오차 정보에 기초하여 수정된 패터닝 디바이스를 수정하는 제 2 수정 정보를 생성하게 한다. 일 실시예에서, 제 2 오차 정보는 패터닝 시스템에서 수정된 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 수정된 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 대한 제 2 보정가능한 오차를 포함한다. 일 실시예에서, 제 2 오차는 패터닝 시스템에 대한 제 2 보정가능하지 않은 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다.
일 실시예에서, 패터닝 디바이스를 수반하는 패터닝 공정에 대한 패터닝 오차 정보를 얻는 단계; 및 컴퓨터 시스템에 의해, 패터닝 오차 정보 및 수정 장치에 관한 정보에 기초하여, 패터닝 공정의 수정 장치에 대한 패터닝 오차 오프셋을 결정하는 단계를 포함하는 방법이 제공되고, 패터닝 오차 오프셋 및 패터닝 오차의 조합은 수정 장치의 수정 범위 내에서 수정가능하다.
일 실시예에서, 패터닝 오차 정보를 얻는 단계는 측정 및/또는 시뮬레이션에 의해 패터닝 오차 정보를 얻는 단계를 포함한다. 일 실시예에서, 패터닝 오차는 시변적이고, 패턴 오차 오프셋이 없는 수정 장치에 의한 패터닝 오차의 보정은 수정 범위 밖에 있거나 있을 것이다. 일 실시예에서, 상기 방법은 패터닝 오차 오프셋에 기초하여 패터닝 디바이스에 대한 제 1 수정 정보를 생성하는 단계를 더 포함하며, 패터닝 오차 오프셋의 적어도 일부는 패터닝 디바이스가 제 1 수정 정보에 따른 수정 후 패터닝 공정에서 사용되는 경우에 패터닝 오차와 조합된다. 일 실시예에서, 상기 방법은 패터닝 오차 오프셋에 기초하여 패터닝 공정 시 사용되는 제조 처리 툴에 대한 제 2 수정 정보를 생성하는 단계를 더 포함하며, 패터닝 오차 오프셋의 적어도 일부는 제조 처리 툴이 제 2 수정 정보에 따른 수정 후 사용되는 경우에 패터닝 오차와 조합된다. 일 실시예에서, 제조 처리 툴은 트랙 툴, 증착 툴, 평탄화 툴 및/또는 에칭 툴을 포함한다.
일 실시예에서, 패터닝 시스템의 에칭 툴에 의해 처리된 후 패턴의 측정 및/또는 시뮬레이션 결과를 얻는 단계; 측정 및/또는 시뮬레이션 결과에 기초하여 에칭 로딩 효과로 인한 패터닝 오차를 결정하는 단계; 및 컴퓨터 시스템에 의해, 패터닝 오차에 기초하여 에칭 툴로부터 패터닝 시스템의 상류에 있는 수정 장치를 조정하는 및/또는 패터닝 디바이스를 수정하는 수정 정보를 생성하는 단계를 포함하는 방법이 제공되며, 패터닝 오차는 패터닝 디바이스가 수정 정보에 따라 수정되고 및/또는 수정 장치가 수정 정보에 따라 조정되는 경우에 보정가능한 오차로 전환되고 및/또는 소정 범위로 감소된다.
일 실시예에서, 상기 방법은 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함한다. 일 실시예에서, 상기 방법은 에칭 툴로부터 패터닝 시스템의 상류에 있는 수정 장치에 대한 수정 정보를 생성하는 단계를 포함한다. 일 실시예에서, 상기 방법은 패터닝 디바이스를 수정하는 수정 정보 및 수정 장치를 조정하는 수정 정보를 동시-최적화하는 단계를 더 포함한다.
일 실시예에서, 패터닝 디바이스 정합 오차에 추가하여 또는 이 이외에 오차에 관한 정보를 얻는 단계 -오차의 일부분은 패터닝 시스템의 수정 장치에 의해 보정가능하지 않음- ; 및 컴퓨터 시스템에 의해, 오차 정보에 기초하여 패터닝 디바이스를 수정하는 수정 정보를 생성하는 단계를 포함하는 방법이 제공되고, 수정 정보는 패터닝 디바이스가 수정 정보에 따라 수정되는 경우에 수정 장치에 대한 보정가능한 오차로 오차의 부분을 변환한다.
일 실시예에서, 수정 정보를 생성하는 단계는 수정 장치의 수정 범위에 기초하여 수정 정보를 생성하는 단계를 더 포함한다. 일 실시예에서, 상기 방법은 패터닝 디바이스를 수정하는 수정 정보 및 오차 정보에 기초하여 패터닝 시스템의 수정 장치에 대한 수정 정보를 생성하는 단계를 더 포함하며, 수정 장치에 대한 수정 정보는 수정된 패터닝 장치에 의해 생성되는 보정가능한 오차에 관한 정보를 포함한다. 일 실시예에서, 상기 방법은 패터닝 디바이스를 수정하는 수정 정보 및 수정 장치를 조정하는 수정 정보를 동시-최적화하는 단계를 더 포함한다. 일 실시예에서, 패터닝 오차 정보는 측정 및/또는 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 패터닝 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다. 일 실시예에서, 패터닝 시스템에 대한 보정가능한 오차로 패터닝 오차의 부분을 변환하는 단계는 패터닝 디바이스의 기판 내에 유도된 국부적인 밀도 및/또는 투과 변동을 생성하는 단계를 포함한다. 일 실시예에서, 유도된 국부적인 밀도 변동을 생성하는 단계는 기판의 재료 속성을 변화시키기 위해 레이저 펄스들을 사용함으로써 유도된 국부적인 밀도 및/또는 투과 변동을 생성하는 단계를 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 디바이스를 수반하는 패터닝 공정에 대한 패터닝 오차 정보를 얻고; 및 패터닝 오차 정보 및 수정 장치에 관한 정보에 기초하여, 패터닝 공정의 수정 장치에 대한 패터닝 오차 오프셋을 결정하게 하며, 패터닝 오차 오프셋 및 패터닝 오차의 조합은 수정 장치의 수정 범위 내에서 수정가능하다.
일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 측정으로부터, 및/또는 시뮬레이션에 의해 패터닝 오차 정보를 얻게 한다. 일 실시예에서, 패터닝 오차는 시변적이고, 패턴 오차 오프셋이 없는 수정 장치에 의한 패터닝 오차의 보정은 수정 범위 밖에 있거나 있을 것이다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 패터닝 오차 오프셋에 기초하여 패터닝 디바이스에 대한 제 1 수정 정보를 생성하게 하고, 패터닝 오차 오프셋의 적어도 일부는 패터닝 디바이스가 제 1 수정 정보에 따른 수정 후 패터닝 공정에서 사용되는 경우에 패터닝 오차와 조합된다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 패터닝 오차 오프셋에 기초하여 패터닝 공정 시 사용되는 제조 처리 툴에 대한 제 2 수정 정보를 생성하게 하고, 패터닝 오차 오프셋의 적어도 일부는 제조 처리 툴이 제 2 수정 정보에 따른 수정 후 사용되는 경우에 패터닝 오차와 조합된다. 일 실시예에서, 제조 처리 툴은 트랙 툴, 증착 툴, 평탄화 툴 및/또는 에칭 툴을 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 시스템의 에칭 툴에 의해 처리된 후 패턴의 측정 및/또는 시뮬레이션 결과를 얻고; 측정 및/또는 시뮬레이션 결과에 기초하여 에칭 로딩 효과로 인한 패터닝 오차를 결정하며; 및 패터닝 오차에 기초하여 에칭 툴로부터 패터닝 시스템의 상류에 있는 수정 장치를 조정하는 및/또는 패터닝 디바이스를 수정하는 수정 정보를 생성하게 하고, 패터닝 오차는 패터닝 디바이스가 수정 정보에 따라 수정되고 및/또는 수정 장치가 수정 정보에 따라 조정되는 경우에 보정가능한 오차로 전환되고 및/또는 소정 범위로 감소된다.
일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 패터닝 디바이스에 대한 수정 정보를 생성하게 한다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 에칭 툴로부터 패터닝 시스템의 상류에 있는 수정 장치에 대한 수정 정보를 생성하게 한다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 패터닝 디바이스를 수정하는 수정 정보 및 수정 장치를 조정하는 수정 정보를 동시-최적화하게 한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 디바이스 정합 오차에 추가하여 또는 이 이외에 오차에 관한 정보를 얻고 -오차의 일부분은 패터닝 시스템의 수정 장치에 의해 보정가능하지 않음- ; 및 오차 정보에 기초하여 패터닝 디바이스를 수정하는 수정 정보를 생성하게 하며, 수정 정보는 패터닝 디바이스가 수정 정보에 따라 수정되는 경우에 수정 장치에 대한 보정가능한 오차로 오차의 부분을 변환한다.
또한, 일 실시예에서, 프로세서 시스템이 수정 정보를 생성하게 하는 기계-판독가능한 명령어들은 프로세서 시스템이 수정 장치의 수정 범위에 기초하여 수정 정보를 생성하게 한다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 패터닝 디바이스를 수정하는 수정 정보 및 오차 정보에 기초하여 패터닝 시스템의 수정 장치에 대한 수정 정보를 생성하게 하고, 수정 장치에 대한 수정 정보는 수정된 패터닝 디바이스에 의해 생성되는 보정가능한 오차에 관한 정보를 포함한다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 패터닝 디바이스를 수정하는 수정 정보 및 수정 장치를 조정하는 수정 정보를 동시-최적화하게 한다. 일 실시예에서, 패터닝 오차 정보는 측정 및/또는 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 패터닝 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 패터닝 디바이스의 기판 내에 유도된 국부적인 밀도 및/또는 투과 변동의 생성을 야기하여 패터닝 시스템에 대한 보정가능한 오차로 패터닝 오차의 부분을 변환할 수 있게 한다. 일 실시예에서, 프로세서 시스템이 유도된 국부적인 밀도 변동의 생성을 야기하게 하는 기계-판독가능한 명령어들은 프로세서 시스템이 레이저 펄스들을 사용함으로써 유도된 국부적인 밀도 및/또는 투과 변동의 생성을 야기하여 기판의 재료 속성을 변화시키게 한다.
일 실시예에서, 기판의 영역에 제공된 패턴의 측정 결과, 및/또는 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 얻는 단계 -패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용함으로써 제공된 또는 제공될 것임- ; 패턴과 타겟 패턴 간의 오차를 결정하는 단계; 및 컴퓨터 시스템에 의해, 오차에 기초하여 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하는 방법이 제공되고, 오차는 패터닝 디바이스가 수정 정보에 따라 수정되는 경우에 보정가능한 오차로 전환되고 및/또는 소정 범위로 감소된다.
일 실시예에서, 오차는 임계 치수 오차이다. 일 실시예에서, 오차는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 기판의 영역에 제공된 패턴의 측정 결과, 및/또는 기판의 영역에 제공될 패턴에 대한 시뮬레이션 결과를 얻고 -패턴은 패터닝 시스템 내의 패터닝 디바이스를 사용함으로써 제공된 또는 제공될 것임- ; 패턴과 타겟 패턴 간의 오차를 결정하며; 및 오차에 기초하여 패터닝 디바이스에 대한 수정 정보를 생성하게 하고, 오차는 패터닝 디바이스가 수정 정보에 따라 수정되는 경우에 보정가능한 오차로 전환되고 및/또는 소정 범위로 감소된다.
일 실시예에서, 오차는 임계 치수 오차이다. 일 실시예에서, 오차는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다.
일 실시예에서, 패터닝 공정을 위한 패터닝 디바이스에 패턴 수정 툴에 의해 이루어진 또는 이루어질 수정을 설명하는 정보를 얻는 단계; 패터닝 디바이스의 온도 및/또는 변형의 공간 분포를 얻는 단계; 및 컴퓨터 시스템에 의해, 패터닝 디바이스의 수정 정보 및 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 크래킹 거동을 예측하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 크래킹 거동을 예측하는 단계는: 패터닝 디바이스의 수정 정보 및 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 응력 또는 변형 맵을 결정하는 단계; 및 패터닝 디바이스의 응력 또는 변형 맵에 기초하여 크래킹의 측정치를 도출하는 단계를 더 포함하고, 패터닝 디바이스는 패터닝 디바이스 크래킹 임계치를 지나는 크래킹의 측정치에 응답하여 크래킹이 예측된다. 일 실시예에서, 상기 방법은 패터닝 공정 시 사용되는 패터닝 시스템 내의 수정 장치에 의한 패터닝 공정의 조정 및 패터닝 디바이스 수정 툴에 의해 이루어질 패터닝 디바이스의 수정을 동시-최적화하는 단계를 더 포함한다. 일 실시예에서, 상기 방법은 동시-최적화에 기초하여 제 1 수정 정보를 생성하는 단계를 더 포함하고, 제 1 수정 정보는 패터닝 디바이스의 수정을 구현하도록 패터닝 디바이스 수정 툴에 명령한다. 일 실시예에서, 상기 방법은 동시-최적화에 기초하여 제 2 수정 정보를 생성하는 단계를 더 포함하고, 제 2 수정 정보는 패터닝 시스템 내의 수정 장치에 조정을 구현하도록 명령한다. 일 실시예에서, 패턴 수정 툴에 의해 이루어진 또는 이루어질 수정은 패터닝 디바이스의 기판에서의 유도된 국부적인 밀도 변동을 포함한다.
일 실시예에서, 패터닝 시스템에서 사용하기 위한 패터닝 디바이스의 온도 및/또는 변형의 공간 분포를 얻는 단계; 컴퓨터 시스템에 의해, 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 크래킹 거동에 대한 예측을 얻는 단계; 및 패터닝 디바이스가 크래킹되었거나 크래킹될 것을 나타내는 예측에 응답하여 패터닝 시스템 내의 패터닝 디바이스의 사용을 방지하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 패터닝 디바이스는 패터닝 디바이스 수정 툴에 의해 수정되었다. 일 실시예에서, 온도 및/또는 변형의 공간 분포를 얻는 단계는 패터닝 디바이스의 표면 상이나 그 부근의 복수의 위치들에서 온도 및/또는 변형을 측정하는 단계를 포함한다. 일 실시예에서, 상기 방법은 패터닝 시스템에서의 패터닝 디바이스의 사용을 방지한 후 수정을 위해 패터닝 디바이스 수정 툴로 패터닝 디바이스를 보내는 단계를 더 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 공정을 위한 패터닝 디바이스에 패턴 수정 툴에 의해 이루어진 또는 이루어질 수정을 설명하는 정보를 얻고; 패터닝 디바이스의 온도 및/또는 변형의 공간 분포를 얻으며; 및 패터닝 디바이스의 수정 정보 및 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 크래킹 거동을 예측하게 한다.
일 실시예에서, 프로세서 시스템이 크래킹 거동을 예측하게 하는 명령어들은 프로세서 시스템이: 패터닝 디바이스의 수정 정보 및 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 응력 또는 변형 맵을 결정하고; 및 패터닝 디바이스의 응력 또는 변형 맵에 기초하여 크래킹의 측정치를 도출하게 하고, 패터닝 디바이스는 패터닝 디바이스 크래킹 임계치를 지나는 크래킹의 측정치에 응답하여 크래킹이 예측된다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 패터닝 공정 시 사용되는 패터닝 시스템 내의 수정 장치에 의한 패터닝 공정의 조정 및 패터닝 디바이스 수정 툴에 의해 이루어질 패터닝 디바이스의 수정을 동시-최적화하게 한다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 동시-최적화에 기초하여 제 1 수정 정보를 생성하게 하고, 제 1 수정 정보는 패터닝 디바이스의 수정을 구현하도록 패터닝 디바이스 수정 툴에 명령한다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 동시-최적화에 기초하여 제 2 수정 정보를 생성하게 하고, 제 2 수정 정보는 패터닝 시스템 내의 수정 장치에 조정을 구현하도록 명령한다. 일 실시예에서, 패턴 수정 툴에 의해 이루어진 또는 이루어질 수정은 패터닝 디바이스의 기판에서의 유도된 국부적인 밀도 변동을 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 시스템에서 사용하기 위한 패터닝 디바이스의 온도 및/또는 변형의 공간 분포를 얻고; 패터닝 디바이스의 온도 및/또는 변형의 공간 분포에 기초하여 패터닝 디바이스의 크래킹 거동에 대한 예측을 얻으며; 및 패터닝 디바이스가 크래킹되었거나 크래킹될 것을 나타내는 예측에 응답하여 패터닝 시스템에서의 패터닝 디바이스의 사용을 방지하게 한다.
일 실시예에서, 패터닝 디바이스는 패터닝 디바이스 수정 툴에 의해 수정되었다. 일 실시예에서, 시스템은 온도 및/또는 변형 센서를 더 포함하고, 프로세서 시스템이 온도 및/또는 변형의 공간 분포를 얻게 하는 명령어들은 프로세서 시스템이 패터닝 디바이스의 표면 상이나 그 부근의 복수의 위치들에서 온도 센서를 사용하여 온도를 측정하고 및/또는 패터닝 디바이스의 표면 상이나 그 부근의 복수의 위치들에서 변형 센서를 사용하여 변형을 측정하게 한다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 패터닝 시스템에서의 패터닝 디바이스의 사용을 방지한 후 수정을 위해 패터닝 디바이스 수정 툴로 패터닝 디바이스를 보내게 한다.
일 실시예에서, 패터닝 시스템 내의 제 1 패터닝 디바이스에 관한 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하는 단계; 패터닝 시스템 내의 제 2 패터닝 디바이스에 관한 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하는 단계; 제 1 오차 정보와 제 2 오차 정보 간의 차이를 결정하는 단계; 및 컴퓨터 시스템에 의해, 제 1 오차 정보와 제 2 오차 정보 간의 차이에 기초하여 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하는 방법이 제공되고, 제 1 오차 정보와 제 2 오차 정보 간의 차이는 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 후, 소정 범위 내로 감소된다.
일 실시예에서, 상기 방법은 패터닝 시스템 내의 제 1 패터닝 디바이스에 의해 제공된 제 1 패턴의 제 1 측정 결과, 및/또는 이에 의해 제공될 제 1 패턴에 대한 제 1 시뮬레이션 결과를 얻는 단계, 및 패터닝 시스템 내의 제 2 패터닝 디바이스에 의해 제공된 제 2 패턴의 제 2 측정 결과, 및/또는 이에 의해 제공될 제 2 패턴에 대한 제 2 시뮬레이션 결과를 얻는 단계를 더 포함한다. 일 실시예에서, 제 1 오차 정보는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 정합 오차 및/또는 제 1 오버레이 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 정합 오차 및/또는 제 2 오버레이 오차를 포함한다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 상이한 기판에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층들에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제품들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 패터닝 시스템 내의 제 1 패터닝 디바이스에 관한 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하고; 패터닝 시스템 내의 제 2 패터닝 디바이스에 관한 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하며; 제 1 오차 정보와 제 2 오차 정보 간의 차이를 결정하고; 및 제 1 오차 정보와 제 2 오차 정보 간의 차이에 기초하여 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하게 하며, 제 1 오차 정보와 제 2 오차 정보 간의 차이는 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 후, 사전설정된 범위 내로 감소된다.
일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 패터닝 시스템 내의 제 1 패터닝 디바이스에 의해 제공된 제 1 패턴의 제 1 측정 결과, 및/또는 이에 의해 제공될 제 1 패턴에 대한 제 1 시뮬레이션 결과를 얻고, 및 패터닝 시스템 내의 제 2 패터닝 디바이스에 의해 제공된 제 2 패턴의 제 2 측정 결과, 및/또는 이에 의해 제공될 제 2 패턴에 대한 제 2 시뮬레이션 결과를 얻게 한다. 일 실시예에서, 제 1 오차 정보는 패터닝 시스템에서 제 1 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 제 1 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 정합 오차 및/또는 제 1 오버레이 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 정합 오차 및/또는 제 2 오버레이 오차를 포함한다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 상이한 기판에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층들에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제품들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
일 실시예에서, 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 관한 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하는 단계; 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 관한 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하는 단계; 제 1 오차 정보와 제 2 오차 정보 간의 차이를 결정하는 단계; 및 컴퓨터 시스템에 의해, 제 1 오차 정보와 제 2 오차 정보 간의 차이에 기초하여 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하는 단계를 포함하는 방법이 제공되고, 제 1 오차 정보와 제 2 오차 정보 간의 차이는 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 후, 소정 범위 내에서 감소된다.
일 실시예에서, 상기 방법은 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 의해 제공된 제 1 패턴의 제 1 측정 결과, 및/또는 이에 의해 제공될 제 1 패턴에 대한 제 1 시뮬레이션 결과를 얻는 단계, 및 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 의해 제공된 제 2 패턴의 제 2 측정 결과, 및/또는 이에 의해 제공될 제 2 패턴에 대한 제 2 시뮬레이션 결과를 얻는 단계를 더 포함한다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 시스템에서 제 1 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 제 1 패터닝 시스템에서 제 1 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 정합 오차 및/또는 제 1 오버레이 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 제 2 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 정합 오차 및/또는 제 2 오버레이 오차를 포함한다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 상이한 기판에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층들에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제품들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 관한 제 1 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 오차 정보를 결정하고; 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 관한 제 2 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 오차 정보를 결정하며; 제 1 오차 정보와 제 2 오차 정보 간의 차이를 결정하고; 및 제 1 오차 정보와 제 2 오차 정보 간의 차이에 기초하여 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스에 대한 수정 정보를 생성하게 하며, 제 1 오차 정보와 제 2 오차 정보 간의 차이는 제 1 패터닝 디바이스 및/또는 제 2 패터닝 디바이스가 수정 정보에 따라 수정된 후, 사전설정된 범위 내로 감소된다.
일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 제 1 패터닝 시스템 내의 제 1 패터닝 디바이스에 의해 제공된 제 1 패턴의 제 1 측정 결과, 및/또는 이에 의해 제공될 제 1 패턴에 대한 제 1 시뮬레이션 결과를 얻고, 및 제 2 패터닝 시스템 내의 제 2 패터닝 디바이스에 의해 제공된 제 2 패턴의 제 2 측정 결과, 및/또는 이에 의해 제공될 제 2 패턴에 대한 제 2 시뮬레이션 결과를 얻게 한다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 시스템에서 제 1 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 제 1 패터닝 시스템에서 제 1 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 1 오차 정보는 제 1 패터닝 디바이스 정합 오차 및/또는 제 1 오버레이 오차를 포함한다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 제 2 패터닝 시스템에서 제 2 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다. 일 실시예에서, 제 2 오차 정보는 제 2 패터닝 디바이스 정합 오차 및/또는 제 2 오버레이 오차를 포함한다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 동일한 층에 생성된다. 일 실시예에서, 제 1 패턴은 제 2 패턴과 상이한 기판에 생성된다. 일 실시예에서, 제 1 패턴 및 제 2 패턴은 기판의 상이한 층들에 생성된다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 동일한 패터닝 디바이스의 상이한 복제품들이다. 일 실시예에서, 제 1 패터닝 디바이스 및 제 2 패터닝 디바이스는 상이한 패터닝 디바이스들이다.
일 실시예에서, 컴퓨터 시스템에 의해, 오차 수학 모델을 이용하여 패터닝 시스템 내의 패터닝 디바이스를 수반하는 패터닝 공정의 고분해능 패터닝 오차 정보를 모델링하는 단계; 컴퓨터 시스템에 의해, 보정 수학 모델을 이용하여 패터닝 디바이스 수정 툴에 의해 이루어질 수 있는 패터닝 오차의 보정을 모델링하는 단계 -보정 수학 모델은 오차 수학 모델과 실질적으로 동일한 분해능을 가짐- ; 및 컴퓨터 시스템에 의해, 오차 수학 모델에 의해 모델링된 패터닝 오차 정보에 보정 수학 모델을 적용함으로써 패터닝 디바이스 수정 툴을 사용하여 패터닝 디바이스를 수정하는 수정 정보를 결정하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 상기 방법은 추가 보정 수학 모델을 이용하여 패터닝 시스템의 1 이상의 수정 장치에 의해 이루어질 수 있는 패터닝 오차의 보정을 모델링하는 단계를 더 포함하고, 추가 보정 수학 모델은 보정 수학 모델보다 낮은 분해능을 갖는다. 일 실시예에서, 고분해능 패터닝 오차는: 에칭-로딩 효과로 인한 오차들, 투영 시스템 가열로 인한 오차들, 패터닝 디바이스 가열로 인한 오차들, 기판 가열로 인한 오차들, 조명 수차 민감도로부터 발생하는 오차들, 패터닝 시스템 대 패터닝 시스템 매칭에서의 오차들, 및/또는 패터닝 디바이스 대 패터닝 디바이스 매칭에서의 오차들로부터 선택되는 1 이상을 포함한다. 일 실시예에서, 상기 방법은 1 이상의 기판 상의 복수의 메트롤로지 타겟들의 샘플을 이용하여 패터닝 오차 정보를 측정하는 샘플 방식을 선택하는 단계를 더 포함하고, 선택은 오차 수학 모델 및 1 이상의 제약에 기초한다. 일 실시예에서, 고분해능은 1 mm 이하의 기판 상의 공간 주파수들을 포함한다. 일 실시예에서, 패터닝 오차 정보는 오버레이 오차, 도즈, 포커스, 및/또는 임계 치수를 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템이 제공되고, 실행되는 경우 기계-판독가능한 명령어들은 프로세서 시스템이: 컴퓨터 시스템에 의해, 오차 수학 모델을 이용하여 패터닝 시스템 내의 패터닝 디바이스를 수반하는 패터닝 공정의 고분해능 패터닝 오차 정보를 모델링하고; 컴퓨터 시스템에 의해, 보정 수학 모델을 이용하여 패터닝 디바이스 수정 툴에 의해 이루어질 수 있는 패터닝 오차의 보정을 모델링하며 -보정 수학 모델은 오차 수학 모델과 실질적으로 동일한 분해능을 가짐- ; 및 컴퓨터 시스템에 의해, 오차 수학 모델에 의해 모델링된 패터닝 오차 정보에 보정 수학 모델을 적용함으로써 패터닝 디바이스 수정 툴을 사용하여 패터닝 디바이스를 수정하는 수정 정보를 결정하게 한다.
일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 추가 보정 수학 모델을 이용하여 패터닝 시스템의 1 이상의 수정 장치에 의해 이루어질 수 있는 패터닝 오차의 보정을 모델링하게 하고, 추가 보정 수학 모델은 보정 수학 모델보다 낮은 분해능을 갖는다. 일 실시예에서, 고분해능 패터닝 오차는: 에칭-로딩 효과로 인한 오차들, 투영 시스템 가열로 인한 오차들, 패터닝 디바이스 가열로 인한 오차들, 기판 가열로 인한 오차들, 조명 수차 민감도로부터 발생하는 오차들, 패터닝 시스템 대 패터닝 시스템 매칭에서의 오차들, 및/또는 패터닝 디바이스 대 패터닝 디바이스 매칭에서의 오차들로부터 선택되는 1 이상을 포함한다. 일 실시예에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 1 이상의 기판 상의 복수의 메트롤로지 타겟들의 샘플을 이용하여 패터닝 오차 정보를 측정하는 샘플 방식을 선택하게 하고, 선택은 오차 수학 모델 및 1 이상의 제약에 기초한다. 일 실시예에서, 고분해능은 1 mm 이하의 기판 상의 공간 주파수들을 포함한다. 일 실시예에서, 패터닝 오차 정보는 오버레이 오차, 도즈, 포커스, 및/또는 임계 치수를 포함한다.
도 17을 참조하면, 컴퓨터 시스템(100)이 도시된다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수(temporary variable)들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수도 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함한다. 정보 및 명령어들을 저장하는 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되며 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판(flat panel) 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 명시하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
컴퓨터 시스템(100)은 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여, 도 5 내지 도 7 및 도 10 내지 도 16에서 설명된 바와 같은 방법들을 구현하기에 적절할 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수도 있다. 대안적인 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 실시예들은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어(wire)들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유(fiber optics)를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩(load)할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀이 전화선 상의 데이터를 수신할 수 있으며, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 통상적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지들을 송신하고 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 1 이상의 실시예에 따르면, 예를 들어 하나의 이러한 다운로드된 어플리케이션은 실시예의 조명 최적화에 대해 제공될 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
본 발명의 일 실시예는 본 명세서에 기재된 바와 같은 방법을 설명하는 기계-판독가능한 명령어들의 1 이상의 시퀀스를 포함한 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다. 또한, 기계 판독가능한 명령어는 2 이상의 컴퓨터 프로그램들로 구현될 수 있다. 2 이상의 컴퓨터 프로그램들은 1 이상의 상이한 메모리 및/또는 데이터 저장 매체에 저장될 수 있다.
본 명세서에서 설명되는 여하한의 제어기들은 각각 또는 조합하여, 1 이상의 컴퓨터 프로그램이 리소그래피 장치의 적어도 하나의 구성요소 내에 위치되는 1 이상의 컴퓨터 프로세서에 의해 판독되는 경우에 작동가능할 수 있다. 제어기들은 각각 또는 조합하여, 신호들을 수신, 처리 및 송신하는 여하한의 적절한 구성을 가질 수 있다. 1 이상의 프로세서가 제어기들 중 적어도 하나와 통신하도록 구성된다. 예를 들어, 각각의 제어기가 앞서 설명된 방법들에 대한 기계-판독가능한 명령어들을 포함하는 컴퓨터 프로그램들을 실행하는 1 이상의 프로세서를 포함할 수 있다. 제어기들은 이러한 컴퓨터 프로그램들을 저장하는 데이터 저장 매체, 및/또는 이러한 매체를 수용하는 하드웨어를 포함할 수 있다. 이에 따라, 제어기(들)는 1 이상의 컴퓨터 프로그램의 기계 판독가능한 명령어들에 따라 작동할 수 있다. 본 명세서에서는 IC 제조에 있어서 검사 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 검사 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한 번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 칭할 수도 있다.
이상, 광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명은 다른 적용예들, 예를 들어 나노임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 나노임프린트 리소그래피의 경우, 패터닝 디바이스는 임프린트 템플릿(imprint template) 또는 몰드(mold)이다. 본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 355, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
본 명세서에서, 임계치를 지나거나 넘는다는 언급은 무언가가 특정한 값보다 낮거나 특정한 값 이하의 값을 갖는 것, 무언가가 특정한 값보다 높거나 특정한 값 이상의 값을 갖는 것, 무언가가 예를 들어 파라미터에 기초하여 [예를 들어, 분류(sorting)를 통해] 다른 무언가보다 높거나 낮게 랭킹되는 것 등을 포함한다.
본 명세서에서, 오차를 보정한다거나 이의 보정들이라는 언급은 오차를 공차 범위 내로 감소시키거나 오차를 제거하는 것을 포함한다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 리소그래피 또는 패터닝 처리의 결과들 및/또는 공정들이 기판 상의 디자인 레이아웃의 더 정확한 투영, 더 큰 공정 윈도우 등과 같은 더 바람직한 특성들을 갖도록 리소그래피 장치, 패터닝 공정 등을 조정하는 것을 칭하거나 의미한다. 따라서, 본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 1 이상의 파라미터에 대한 1 이상의 값의 초기 세트에 비해, 적어도 하나의 관련 메트릭에서 개선, 예를 들어 국부적 최적을 제공하는 1 이상의 파라미터에 대한 1 이상의 값을 식별하는 공정을 칭하거나 의미한다. "최적" 및 다른 관련 용어들은 이에 따라 해석되어야 한다. 일 실시예에서, 최적화 단계들은 1 이상의 메트릭에서 추가 개선을 제공하도록 반복적으로 적용될 수 있다.
시스템의 최적화 공정에서, 시스템 또는 공정의 성능 지수(figure of merit)가 비용 함수로서 표현될 수 있다. 최적화 공정은 비용 함수를 최적화(예를 들어, 최소화 또는 최대화)하는 시스템 또는 공정의 파라미터들(디자인 변수들)의 세트를 발견하는 공정으로 압축된다. 비용 함수는 최적화의 목표에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템 또는 공정의 소정 특성들(평가 포인트들)의 의도된 값들(예를 들어, 이상적인 값들)에 대한 이러한 특성들의 편차들의 가중 RMS(root mean square)일 수 있다; 또한, 비용 함수는 이 편차들의 최대값(즉, 가장 심한 편차)일 수도 있다. 본 명세서에서 "평가 포인트들"이라는 용어는 시스템 또는 공정의 여하한의 특성을 포함하는 것으로 폭넓게 해석되어야 한다. 시스템의 디자인 변수들은 시스템 또는 공정의 구현들의 실용성(practicality)들로 인해 상호의존적이고, 및/또는 유한한 범위로 한정될 수 있다. 리소그래피 장치 또는 패터닝 공정의 경우, 제약은 흔히 패터닝 디바이스 제조가능 디자인 규칙들, 및/또는 튜닝가능한 범위들과 같은 하드웨어의 물리적 속성들 및 특성들과 관련되며, 평가 포인트들은 기판 상의 레지스트 이미지에 대한 물리적 포인트들, 및 도즈 및 포커스와 같은 비-물리적 특성들을 포함할 수 있다.
본 발명은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 패터닝 시스템 내의 패터닝 디바이스에 관한 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 기판의 영역이 핫 스폿을 포함함을 식별하는 단계;
핫 스폿에서의 제 1 오차 정보를 결정하는 단계; 및
컴퓨터 시스템에 의하여, 수정된 패터닝 디바이스를 얻기 위해 제 1 오차 정보에 기초하여 패터닝 디바이스를 수정하는 제 1 수정 정보를 생성하는 단계를 포함하는 방법.
2. 1 항의 방법에서, 제 1 기판의 영역에 제공된 제 1 패턴에 대한 측정 결과, 및/또는 이에 제공될 제 1 패턴에 대한 시뮬레이션 결과를 얻는 단계를 더 포함하고, 제 1 패턴은 패터닝 시스템에서 패터닝 디바이스를 이용함으로써 제공된 또는 제공될 것이다.
3. 1 항 또는 2 항의 방법에서, 제 1 오차 정보는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다.
4. 1 항 내지 3 항 중 어느 하나의 방법에서, 제 1 오차는 패터닝 시스템에 대한 제 1 보정가능한 오차를 포함한다.
5. 1 항 내지 4 항 중 어느 하나의 방법에서, 제 1 오차는 패터닝 시스템에 대한 제 1 보정가능하지 않은 오차를 포함한다.
6. 1 항 내지 5 항 중 어느 하나의 방법에서, 제 1 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다.
7. 1 항 내지 6 항 중 어느 하나의 방법에서, 패터닝 시스템에서 수정된 패터닝 디바이스를 사용함으로써 제 2 기판의 영역 상에 제공된 또는 제공될 제 2 패턴에 대한 측정 및/또는 시뮬레이션 결과를 얻는 단계; 및
제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 기판의 영역이 핫 스폿을 포함하는지를 결정하는 단계를 더 포함한다.
8. 7 항의 방법에서, 핫 스폿을 포함한 제 2 기판의 영역에 응답하여 제 2 패턴에 기초한 제 2 기판의 영역에서의 제 2 오차 정보를 결정하는 단계; 및
제 2 오차 정보에 기초하여 수정된 패터닝 디바이스를 수정하는 제 2 수정 정보를 생성하는 단계를 더 포함한다.
9. 8 항의 방법에서, 제 2 오차 정보는 패터닝 시스템에서 수정된 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 수정된 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다.
10. 8 항 또는 9 항의 방법에서, 제 2 오차는 패터닝 시스템에 대한 제 2 보정가능한 오차를 포함한다.
11. 8 항 내지 10 항 중 어느 하나의 방법에서, 제 2 오차는 패터닝 시스템에 대한 제 2 보정가능하지 않은 오차를 포함한다.
12. 9 항 내지 11 항 중 어느 하나의 방법에서, 제 2 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다.
13. 프로세서 시스템이 1 항 내지 12 항 중 어느 하나의 방법의 수행을 야기하게 하는 기계-판독가능한 명령어들을 포함하는 비-일시적 컴퓨터 프로그램 제품.
14. 하드웨어 프로세서 시스템; 및
기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함하는 시스템으로,
실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이:
패터닝 시스템 내의 패터닝 디바이스에 관한 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 기판의 영역이 핫 스폿을 포함함을 식별하고;
핫 스폿에서의 제 1 오차 정보를 결정하며; 및
수정된 패터닝 디바이스를 얻기 위해 제 1 오차 정보에 기초하여 패터닝 디바이스를 수정하는 제 1 수정 정보를 생성하게 한다.
15. 14 항의 시스템에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이 제 1 기판의 영역에 제공된 제 1 패턴에 대한 측정 결과, 및/또는 이에 제공될 제 1 패턴에 대한 시뮬레이션 결과를 얻게 하고, 제 1 패턴은 패터닝 시스템에서 패터닝 디바이스를 이용함으로써 제공된 또는 제공될 것이다.
16. 14 항 또는 15 항의 시스템에서, 제 1 오차 정보는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다.
17. 14 항 내지 16 항 중 어느 하나의 시스템에서, 제 1 오차는 패터닝 시스템에 대한 제 1 보정가능한 오차를 포함한다.
18. 14 항 내지 17 항 중 어느 하나의 시스템에서, 제 1 오차는 패터닝 시스템에 대한 제 1 보정가능하지 않은 오차를 포함한다.
19. 14 항 내지 18 항 중 어느 하나의 시스템에서, 제 1 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다.
20. 14 항 내지 19 항 중 어느 하나의 시스템에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이:
패터닝 시스템에서 수정된 패터닝 디바이스를 사용함으로써 제 2 기판의 영역 상에 제공된 또는 제공될 제 2 패턴에 대한 측정 및/또는 시뮬레이션 결과를 얻고; 및
제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 제 2 기판의 영역이 핫 스폿을 포함하는지를 결정하게 한다.
21. 20 항의 시스템에서, 실행되는 경우, 기계-판독가능한 명령어들은 프로세서 시스템이:
핫 스폿을 포함한 제 2 기판의 영역에 응답하여 제 2 기판의 영역에서 제 2 오차 정보를 결정하고; 및
제 2 오차 정보에 기초하여 수정된 패터닝 디바이스를 수정하는 제 2 수정 정보를 생성하게 한다.
22. 21 항의 시스템에서, 제 2 오차 정보는 패터닝 시스템에서 수정된 패터닝 디바이스를 사용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 패터닝 시스템에서 수정된 패터닝 디바이스를 사용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출된다.
23. 21 항 또는 22 항의 시스템에서, 제 2 오차는 패터닝 시스템에 대한 제 2 보정가능한 오차를 포함한다.
24. 21 항 내지 23 항 중 어느 하나의 시스템에서, 제 2 오차는 패터닝 시스템에 대한 제 2 보정가능하지 않은 오차를 포함한다.
25. 21 항 내지 24 항 중 어느 하나의 시스템에서, 제 2 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함한다.
이상, 본 발명의 특정 실시예가 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해할 것이다. 예를 들어, 본 발명은 앞서 개시된 바와 같은 방법을 설명하는 기계-판독가능한 명령어들의 1 이상의 시퀀스를 포함한 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 패터닝 시스템에서의 패터닝 디바이스에 관한 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 기판의 영역이 핫 스폿(hotspot)을 포함함을 식별하는 단계;
    상기 핫 스폿에서의 제 1 오차 정보를 결정하는 단계; 및
    컴퓨터 시스템에 의하여, 수정된 패터닝 디바이스를 얻기 위해 상기 제 1 오차 정보에 기초하여 상기 패터닝 디바이스를 수정하는 제 1 수정 정보를 생성하는 단계를 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 기판의 영역에 제공된 제 1 패턴에 대한 측정 결과, 및/또는 상기 제 1 기판의 영역에 제공될 제 1 패턴에 대한 시뮬레이션 결과를 얻는 단계를 더 포함하고, 상기 제 1 패턴은 상기 패터닝 시스템에서 상기 패터닝 디바이스를 이용함으로써 제공된 또는 제공될 방법.
  3. 제 1 항에 있어서,
    상기 제 1 오차 정보는 상기 패터닝 시스템에서 상기 패터닝 디바이스를 이용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 상기 패터닝 시스템에서 상기 패터닝 디바이스를 이용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출되는 방법.
  4. 제 1 항에 있어서,
    상기 제 1 오차는 상기 패터닝 시스템에 대한 제 1 보정가능한 오차를 포함하고, 및/또는 상기 제 1 오차는 상기 패터닝 시스템에 대한 제 1 보정가능하지 않은 오차를 포함하는 방법.
  5. 제 1 항에 있어서,
    상기 제 1 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함하는 방법.
  6. 제 1 항에 있어서,
    상기 패터닝 시스템에서 상기 수정된 패터닝 디바이스를 이용함으로써 제 2 기판의 영역 상에 제공된 또는 제공될 제 2 패턴에 대한 측정 및/또는 시뮬레이션 결과를 얻는 단계; 및
    상기 제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 상기 제 2 기판의 영역이 핫 스폿을 포함하는지를 결정하는 단계를 더 포함하는 방법.
  7. 제 6 항에 있어서,
    핫 스폿을 포함한 상기 제 2 기판의 영역에 응답하여 상기 제 2 패턴에 기초한 상기 제 2 기판의 영역에서의 제 2 오차 정보를 결정하는 단계; 및
    상기 제 2 오차 정보에 기초하여 상기 수정된 패터닝 디바이스를 수정하는 제 2 수정 정보를 생성하는 단계를 더 포함하는 방법.
  8. 제 7 항에 있어서,
    상기 제 2 오차 정보는 상기 패터닝 시스템에서 상기 수정된 패터닝 디바이스를 이용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 상기 패터닝 시스템에서 상기 수정된 패터닝 디바이스를 이용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출되는 방법.
  9. 제 8 항에 있어서,
    상기 제 2 오차는 상기 패터닝 시스템에 대한 제 2 보정가능한 오차를 포함하고, 및/또는 상기 제 2 오차는 상기 패터닝 시스템에 대한 제 2 보정가능하지 않은 오차를 포함하는 방법.
  10. 제 8 항에 있어서,
    상기 제 2 오차 정보는: 임계 치수 정보, 오버레이 오차 정보, 포커스 정보, 및/또는 도즈 정보로부터 선택되는 1 이상을 포함하는 방법.
  11. 프로세서 시스템이 1 항의 방법의 수행을 야기하게 하는 기계-판독가능한 명령어들을 포함하는 비-일시적(non-transitory) 컴퓨터 프로그램 제품.
  12. 하드웨어 프로세서 시스템; 및
    기계-판독가능한 명령어들을 저장하는 비-일시적 컴퓨터 판독가능한 저장 매체를 포함한 시스템에 있어서,
    실행되는 경우, 상기 기계-판독가능한 명령어들은 상기 프로세서 시스템이:
    패터닝 시스템에서의 패터닝 디바이스에 관한 측정 및/또는 시뮬레이션 결과에 기초하여 제 1 기판의 영역이 핫 스폿을 포함함을 식별하고;
    상기 핫 스폿에서의 제 1 오차 정보를 결정하며; 및
    수정된 패터닝 디바이스를 얻기 위해 상기 제 1 오차 정보에 기초하여 상기 패터닝 디바이스를 수정하는 제 1 수정 정보를 생성하게 하는 시스템.
  13. 제 12 항에 있어서,
    상기 제 1 오차 정보는 상기 패터닝 시스템에서 상기 패터닝 디바이스를 이용하여 생성된 물리적 구조체들의 측정에 기초하여, 및/또는 상기 패터닝 시스템에서 상기 패터닝 디바이스를 이용하여 생성될 물리적 구조체들의 시뮬레이션에 기초하여 도출되는 시스템.
  14. 제 12 항에 있어서,
    실행되는 경우, 상기 기계-판독가능한 명령어들은 상기 프로세서 시스템이:
    상기 패터닝 시스템에서 상기 수정된 패터닝 디바이스를 이용함으로써 제 2 기판의 영역 상에 제공된 또는 제공될 제 2 패턴에 대한 측정 및/또는 시뮬레이션 결과를 얻고; 및
    상기 제 2 패턴의 측정 및/또는 시뮬레이션 결과에 기초하여 상기 제 2 기판의 영역이 핫 스폿을 포함하는지를 결정하게 하는 시스템.
  15. 제 14 항에 있어서,
    실행되는 경우, 상기 기계-판독가능한 명령어들은 상기 프로세서 시스템이:
    상기 핫 스폿을 포함한 제 2 기판의 영역에 응답하여 상기 제 2 기판의 영역에서의 제 2 오차 정보를 결정하고; 및
    상기 제 2 오차 정보에 기초하여 상기 수정된 패터닝 디바이스를 수정하는 제 2 수정 정보를 생성하게 하는 시스템.
KR1020187014221A 2015-10-19 2016-09-27 패터닝 공정 오차를 보정하는 장치 및 방법 KR20180072768A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562243573P 2015-10-19 2015-10-19
US62/243,573 2015-10-19
PCT/EP2016/072926 WO2017067755A1 (en) 2015-10-19 2016-09-27 Method and apparatus to correct for patterning process error

Publications (1)

Publication Number Publication Date
KR20180072768A true KR20180072768A (ko) 2018-06-29

Family

ID=56997508

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187014221A KR20180072768A (ko) 2015-10-19 2016-09-27 패터닝 공정 오차를 보정하는 장치 및 방법

Country Status (4)

Country Link
US (1) US20180299770A1 (ko)
KR (1) KR20180072768A (ko)
TW (1) TWI610127B (ko)
WO (1) WO2017067755A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017080729A1 (en) * 2015-11-13 2017-05-18 Asml Netherlands B.V. Methods for identifying a process window boundary
US10649342B2 (en) * 2016-07-11 2020-05-12 Asml Netherlands B.V. Method and apparatus for determining a fingerprint of a performance parameter
US10496781B2 (en) * 2016-12-19 2019-12-03 Kla Tencor Corporation Metrology recipe generation using predicted metrology images
WO2019020484A1 (en) * 2017-07-25 2019-01-31 Asml Netherlands B.V. METHOD FOR DETERMINING PARAMETERS AND ASSOCIATED APPARATUS
KR102516045B1 (ko) * 2017-10-11 2023-03-30 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
CN109556509B (zh) * 2018-01-04 2020-07-03 奥特斯(中国)有限公司 对准标记的边缘锐度评估
DE102018218129B4 (de) * 2018-10-23 2023-10-12 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen
EP4053729A4 (en) * 2020-09-23 2023-06-07 Changxin Memory Technologies, Inc. METHOD AND DEVICE FOR COMPARING CHIP PRODUCTS, METHOD AND DEVICE FOR MODELING CHIP PRODUCTS AND STORAGE MEDIA
WO2022187276A1 (en) * 2021-03-01 2022-09-09 Onto Innovation Inc. Post-overlay compensation on large-field packaging

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7444616B2 (en) * 1999-05-20 2008-10-28 Micronic Laser Systems Ab Method for error reduction in lithography
JP2007531249A (ja) * 2003-07-18 2007-11-01 ユーシーエルティ リミテッド フォトマスク内の臨界寸法の変動を補正するための方法
WO2005073807A1 (en) * 2004-01-29 2005-08-11 Kla-Tencor Technologies Corporation Computer-implemented methods for detecting defects in reticle design data
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7303842B2 (en) * 2005-04-13 2007-12-04 Kla-Tencor Technologies Corporation Systems and methods for modifying a reticle's optical properties
US7300725B2 (en) * 2005-04-13 2007-11-27 Kla-Tencor Technologies Corporation Method for determining and correcting reticle variations
US8570485B2 (en) * 2008-06-03 2013-10-29 Asml Netherlands B.V. Lens heating compensation systems and methods
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
US9052709B2 (en) * 2010-07-30 2015-06-09 Kla-Tencor Corporation Method and system for providing process tool correctables
US20120054694A1 (en) * 2010-08-24 2012-03-01 Ayman Yehia Hamouda Aerial Image Signatures
NL2007642A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2009982A (en) * 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
CN104395828B (zh) * 2012-05-31 2018-02-02 Asml荷兰有限公司 基于梯度的图案和评价点选择
US20160154922A1 (en) * 2014-12-01 2016-06-02 Globalfoundries Inc. Optical proximity correction taking into account wafer topography

Also Published As

Publication number Publication date
TWI610127B (zh) 2018-01-01
WO2017067755A1 (en) 2017-04-27
US20180299770A1 (en) 2018-10-18
TW201725443A (zh) 2017-07-16

Similar Documents

Publication Publication Date Title
US11036146B2 (en) Method and apparatus to reduce effects of nonlinear behavior
US11733610B2 (en) Method and system to monitor a process apparatus
US10691863B2 (en) Method and apparatus to correct for patterning process error
KR102352673B1 (ko) 컴퓨테이션 계측법
KR102517966B1 (ko) 컴퓨테이션 기법 기반 정정 및 제어
KR102059018B1 (ko) 패터닝 공정 오차를 보정하는 장치 및 방법
US10915689B2 (en) Method and apparatus to correct for patterning process error
KR20180072768A (ko) 패터닝 공정 오차를 보정하는 장치 및 방법
TWI623811B (zh) 校正圖案化製程誤差之方法、電腦程式產品與系統
TW201940985A (zh) 基於計算度量衡之取樣方案
KR20190109515A (ko) 패턴 위치설정 정확도 증가 방법 및 시스템
KR20200109370A (ko) 가이드 패터닝 디바이스 검사

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2020101002389; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20200925

Effective date: 20210923