KR102188014B1 - 기계 학습에 의한 결함 또는 핫스폿의 식별 - Google Patents

기계 학습에 의한 결함 또는 핫스폿의 식별 Download PDF

Info

Publication number
KR102188014B1
KR102188014B1 KR1020187035952A KR20187035952A KR102188014B1 KR 102188014 B1 KR102188014 B1 KR 102188014B1 KR 1020187035952 A KR1020187035952 A KR 1020187035952A KR 20187035952 A KR20187035952 A KR 20187035952A KR 102188014 B1 KR102188014 B1 KR 102188014B1
Authority
KR
South Korea
Prior art keywords
machine learning
hotspot
hot spot
process conditions
test pattern
Prior art date
Application number
KR1020187035952A
Other languages
English (en)
Other versions
KR20190006188A (ko
Inventor
징 수
이 조우
첸시 린
스테판 훈스체
마리누스 조쳄센
옌-웬 루
린 리 청
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Priority to KR1020207034578A priority Critical patent/KR102376200B1/ko
Publication of KR20190006188A publication Critical patent/KR20190006188A/ko
Application granted granted Critical
Publication of KR102188014B1 publication Critical patent/KR102188014B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/28Determining representative reference patterns, e.g. by averaging or distorting; Generating dictionaries
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • G06K9/6255
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/772Determining representative reference patterns, e.g. averaging or distorting patterns; Generating dictionaries
    • G06K2209/19
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Artificial Intelligence (AREA)
  • Data Mining & Analysis (AREA)
  • Software Systems (AREA)
  • Quality & Reliability (AREA)
  • Geometry (AREA)
  • Computing Systems (AREA)
  • Medical Informatics (AREA)
  • Databases & Information Systems (AREA)
  • Multimedia (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 명세서에서는, 기계 학습 모델을 이용하여, 디자인 레이아웃으로부터 핫스폿을 식별하거나, 디자인 레이아웃 내의 패턴이 결함있는지를 예측하는 다양한 방법들이 개시된다. 본 명세서에 개시된 예시적인 방법은 디바이스 제조 공정에서의 복수의 공정 조건들 하에서 각각 핫스폿들의 성능의 특성들의 세트들을 각각 얻는 단계; 핫스폿들 각각에 대하여 공정 조건들 각각에 대해, 그 공정 조건 하에서의 특성들에 기초하여, 그 핫스폿이 결함있는지를 결정하는 단계; 공정 조건들 각각의 특성들을 얻는 단계; 핫스폿들 각각의 특성들을 얻는 단계; 및 공정 조건들 중 하나의 특성들, 핫스폿들 중 하나의 특성들, 및 그 공정 조건 하에서 그 핫스폿이 결함있는지의 여부를 포함하는 트레이닝 세트를 이용하여 기계 학습 모델을 트레이닝하는 단계를 포함한다.

Description

기계 학습에 의한 결함 또는 핫스폿의 식별
본 출원은 2016년 5월 12일에 출원된 미국 출원 62/335,544의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은 리소그래피 장치들 및 공정들에 관한 것으로, 특히 핫스폿(hot spot)들 및 결함들을 예측하는 방법 및 툴에 관한 것이다.
반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처(feature)들 및 다수 층들을 형성하기 위해 다수의 패터닝 공정들 및 패터닝 장치들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 이용하여 패터닝된다. 다수 디바이스들이 기판 상의 복수의 다이들에 패터닝된 후, 개별적인 디바이스들로 분리될 수 있다. 패터닝 공정은 리소그래피 장치를 이용하는 광학 및/또는 나노임프린트 리소그래피와 같은 패터닝 장치를 이용하는 패터닝 단계를 수반하여, 기판 상에 패턴을 제공할 수 있고, 통상적이지만 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용하는 기판의 베이킹, 에칭 장치를 이용하는 패턴을 이용한 에칭 등과 같은 1 이상의 관련 패턴 처리 단계들을 수반한다. 또한, 1 이상의 메트롤로지 공정들이 패터닝 공정에서 수반될 수 있다.
메트롤로지 공정들은 패터닝 공정 동안 다양한 단계들에서 사용되어, 공정을 모니터링하고 제어한다. 예를 들어, 메트롤로지 공정들은 패터닝 공정 동안 기판 상에 형성된 피처들의 상대 위치[예를 들어, 정합(registration), 오버레이, 정렬 등] 또는 치수[예를 들어, 라인 폭, 임계 치수(CD), 두께 등]와 같은 기판의 1 이상의 특성을 측정하는 데 사용되어, 예를 들어 1 이상의 특성으로부터 패터닝 공정의 성능이 결정될 수 있도록 한다. 1 이상의 특성이 허용가능하지 않는[예를 들어, 특성(들)에 대해 사전설정된 범위 밖인] 경우, 1 이상의 특성의 측정들은 패터닝 공정에 의해 제조되는 추가 기판들이 허용가능한 특성(들)을 갖도록 패터닝 공정의 1 이상의 파라미터를 변경하는 데 사용될 수 있다.
리소그래피 장치는, 예를 들어 집적 회로(IC) 또는 다른 디바이스들의 제조를 위한 패터닝 공정에서 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 디바이스의 개별층에 대응하는 회로 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 회로 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 회로 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 장치에 의해 회로 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 장치에서는 전체 패터닝 디바이스 상의 회로 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게(같은 방향으로 평행하게) 또는 역-평행하게(반대 방향으로 평행하게) 기판이 이동된다. 패터닝 디바이스 상의 회로 패턴의 상이한 부분들은 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 장치가 축소율(M)(예를 들어, 4)을 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 인자(1/M) 배일 것이다.
패터닝 디바이스로부터 기판으로 회로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 과정들을 거칠 수 있다. 노광 이후, 기판은 노광후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 과정들을 거칠 수 있다. 이러한 일련의 과정들은 디바이스, 예를 들어 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어에 장착되고 핀에 연결되는 등의 단계를 거칠 수 있다.
유의되는 바와 같이, 마이크로리소그래피는 IC의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC들의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
본 명세서에서, 디바이스 제조 공정에서 테스트 패턴의 성능의 특성을 얻는 단계; 특성에 기초하여 테스트 패턴이 핫스폿인지를 결정하는 단계; 및 하드웨어 컴퓨터 시스템에 의해, 피처 벡터(feature vector)가 특성을 포함하고 테스트 패턴이 핫스폿인지의 여부인 라벨을 갖는 샘플을 포함하는 트레이닝 세트(training set)를 이용하여 기계 학습 모델을 트레이닝하는 단계를 포함하는 방법이 개시된다.
일 실시예에 따르면, 특성은 디바이스 제조 공정에서의 테스트 패턴의 공정 윈도우를 포함한다. 일 실시예에 따르면, 특성은 테스트 패턴의 기하학적 형상의 특성, 테스트 패턴의 픽셀화된 이미지(pixelated image)의 밀도 분포, 테스트 패턴의 기능적 분해의 결과, 테스트 패턴의 단편화(fragmentation), 테스트 패턴의 회절 차수 분포, 테스트 패턴의 보썽 곡선(Bossung curve), 또는 테스트 패턴의 기하학적 특성을 포함한다. 일 실시예에 따르면, 특성을 얻는 단계는 시뮬레이션을 수행하는 단계, 메트롤로지를 수행하는 단계, 또는 경험적 데이터와 특성의 비교를 수행하는 단계를 포함한다. 일 실시예에 따르면, 테스트 패턴이 핫스폿인지를 결정하는 단계는 테스트 패턴을 포함하는 패턴들의 그룹의 겹치는 공정 윈도우(overlapping process window)와 특성을 비교하는 단계를 포함한다.
본 명세서에서, 디바이스 제조 공정에서의 복수의 공정 조건들 하에 핫스폿의 성능의 특성들의 복수의 세트들을 각각 얻는 단계; 공정 조건들 각각에 대해, 그 공정 조건 하에서의 특성들의 세트에 기초하여, 핫스폿이 결함있는지를 결정하는 단계; 공정 조건들 각각의 특성들을 얻는 단계; 및 하드웨어 컴퓨터 시스템에 의해, 복수의 샘플들을 포함하는 트레이닝 세트를 이용하여 기계 학습 모델을 트레이닝하는 단계를 포함하는 방법이 개시되고, 샘플들 각각은 공정 조건들 중 하나의 특성들을 포함하는 피처 벡터 및 그 공정 조건 하에서 핫스폿이 결함있는지 여부를 포함하는 라벨을 갖는다.
일 실시예에 따르면, 공정 조건들 각각의 특성들은 포커스, 도즈, 레티클 맵, 이동 표준 편차(moving standard deviation: MSD), 또는 화학-기계적 평탄화(CMP) 히트맵(heat map)을 포함한다. 일 실시예에 따르면, 성능의 특성들의 세트들은 각각의 공정 조건 하에서 디바이스 제조 공정에 의해 생성되는 핫스폿의 이미지의 특성을 포함한다. 일 실시예에 따르면, 핫스폿이 결함있는지를 결정하는 단계는 핫스폿에 대한 사양(specification)과 성능의 특성을 비교하는 단계를 포함한다.
본 명세서에서, 디바이스 제조 공정에서의 복수의 공정 조건들 하에 각각 복수의 핫스폿들의 성능의 특성들의 복수의 세트들을 각각 얻는 단계; 핫스폿들 각각에 대하여 공정 조건들 각각에 대해, 그 공정 조건 하에서의 특성들에 기초하여, 핫스폿이 결함있는지를 결정하는 단계; 공정 조건들 각각의 특성들을 얻는 단계; 핫스폿들 각각의 특성들을 얻는 단계; 및 하드웨어 컴퓨터 시스템에 의해, 복수의 샘플들을 포함하는 트레이닝 세트를 이용하여 기계 학습 모델을 트레이닝하는 단계를 포함하는 방법이 개시되고, 샘플들 각각은 공정 조건들 중 하나의 특성들 및 핫스폿들 중 하나의 특성들을 포함하는 피처 벡터를 갖고, 피처 벡터는 그 공정 조건 하에서 핫스폿이 결함있는지 여부를 포함한 라벨을 포함한다.
일 실시예에 따르면, 성능의 특성들의 세트들은 각각의 공정 조건들 하에서 디바이스 제조 공정에 의해 생성되는 각각의 핫스폿의 이미지의 특성을 포함한다. 일 실시예에 따르면, 성능의 특성들의 세트들을 얻는 단계는 시뮬레이션을 수행하는 단계, 메트롤로지를 수행하는 단계, 또는 경험적 데이터와 성능의 특성들의 비교를 수행하는 단계를 포함한다. 일 실시예에 따르면, 핫스폿이 결함있는지를 결정하는 단계는 그 핫스폿에 대한 사양과 그 핫스폿의 성능의 특성을 비교하는 단계를 포함한다. 일 실시예에 따르면, 공정 조건들 각각의 특성들은 포커스, 도즈, 레티클 맵, 이동 표준 편차(MSD), 또는 화학-기계적 평탄화(CMP) 히트맵을 포함한다. 일 실시예에 따르면, 핫스폿의 특성들은 핫스폿의 기하학적 형상의 특성, 핫스폿의 픽셀화된 이미지의 밀도 분포, 핫스폿의 기능적 분해의 결과, 핫스폿의 단편화, 핫스폿의 회절 차수 분포, 핫스폿에 대한 보썽 곡선, 또는 핫스폿의 기하학적 특성을 포함한다.
본 명세서에서, 하드웨어 컴퓨터 시스템에 의해, 핫스폿들의 1 이상의 특성, 시뮬레이션 모델 및 1 이상의 공정 조건들에 기초하여, 디자인 레이아웃 내의 핫스폿들의 메트롤로지 데이터를 시뮬레이션하는 단계; 핫스폿들의 1 이상의 특성 및 처음 시뮬레이션된 메트롤로지 데이터에 기초하여, 1 이상의 클러스터(cluster)로 핫스폿들을 클러스터링하는 단계; 및 1 이상의 클러스터로부터 각각 대표(representative)들을 선택하는 단계를 포함하는 방법이 개시된다.
일 실시예에 따르면, 클러스터들 각각의 대표는 그 클러스터 내에서 결함있을 가능성이 가장 높은 핫스폿이다. 일 실시예에 따르면, 상기 방법은: 공정 조건 하에서 대표들이 제작 공정을 겪게 함으로써 대표들로부터 기판 상에 구조체들을 형성하는 단계; 기판 상의 구조체들로부터 메트롤로지 데이터를 얻는 단계; 및 공정 조건 및 메트롤로지 데이터에 기초하여, 시뮬레이션 모델의 1 이상의 파라미터를 조절함으로써 개선된 시뮬레이션 모델을 얻는 단계를 더 포함한다. 일 실시예에 따르면, 상기 방법은: 패턴들의 1 이상의 특성, 개선된 시뮬레이션 모델 및 1 이상의 공정 조건들에 기초하여, 패턴들의 그룹의 메트롤로지 데이터를 추가로 시뮬레이션하는 단계; 패턴들의 실험적 메트롤로지 데이터를 얻는 단계; 실험적 메트롤로지 데이터에 기초하여 패턴들이 여하한의 결함을 갖는지를 결정하는 단계; 및 하드웨어 컴퓨터에 의해, 패턴들이 여하한의 결함을 갖는지 여부 및 추가로 시뮬레이션된 메트롤로지 데이터를 포함하는 트레이닝 세트를 이용하여 분류 모델을 트레이닝하는 단계를 더 포함한다.
본 명세서에서, 명령어들이 기록되어 있는 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품이 개시되고, 명령어들은 컴퓨터에 의해 실행되는 경우에 본 명세서의 여하한의 방법들을 구현한다.
도 1은 리소그래피 시스템의 다양한 서브시스템들의 블록 다이어그램이다.
도 2는 리소그래피 셀(lithographic cell) 또는 클러스터(cluster)의 일 실시예를 개략적으로 도시한다.
도 3은 디바이스 제조 공정에서 결함들을 예측하는 방법을 개략적으로 나타낸다.
도 4는 시뮬레이션 모델들의 블록 다이어그램을 개략적으로 나타낸다.
도 5a 및 도 5b는 일 실시예에 따른, 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법에 대한 흐름들을 개략적으로 나타낸다.
도 6a 및 도 6b는 일 실시예에 따른, 기계 학습 모델을 이용하여 핫스폿이 결함있는지를 예측하는 방법에 대한 흐름들을 개략적으로 나타낸다.
도 7a 및 도 7b는 일 실시예에 따른, 기계 학습 모델을 이용하여 여하한의 핫스폿이 결함있는지를 예측하는 방법에 대한 흐름들을 개략적으로 나타낸다.
도 8a, 도 8b, 도 8c 및 도 8d는 일 실시예에 따른, 기계 학습 모델을 이용하여 패턴이 결함있는지를 예측하는 방법에 대한 흐름을 개략적으로 나타낸다.
도 9는 예시적인 컴퓨터 시스템의 블록 다이어그램이다.
도 10은 리소그래피 투영 장치의 개략적인 다이어그램이다.
도 11은 또 다른 리소그래피 투영 장치의 개략적인 다이어그램이다.
도 12는 도 11의 장치의 더 상세한 도면이다.
본 명세서에서는, IC의 제조에 있어서 특정 사용예에 대하여 언급되지만, 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 한다는 것을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 (예를 들어, 리소그래피의) 디바이스 제작 결과들 및/또는 공정들이 기판 상의 디자인 레이아웃들의 더 높은 투영 정확성, 더 큰 공정 윈도우 등과 같은 1 이상의 바람직한 특성을 갖도록 패턴 공정 파라미터, 예를 들어 리소그래피 투영 장치 파라미터를 조정하는 것을 의미한다.
간략한 도입부로서, 도 1은 예시적인 리소그래피 투영 장치(10A)를 나타낸다. 주요 구성요소들은 심자외선 엑시머 레이저 소스(deep-ultraviolet excimer laser source) 또는 극자외선(EUV) 소스를 포함한 다른 형태의 소스일 수 있는 방사선 소스(12A)(본 명세서에 언급된 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없음)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있고, (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하는 조명 광학기; 및 기판 평면(22A) 상으로 패터닝 디바이스(18A)의 패터닝 디바이스 패턴의 이미지를 투영하는 광학기(16Ac)를 포함한다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(aperture: 20A)가 기판 평면(22A)에 부딪치는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수(numerical aperture)를 정의한다[NA = sin(Θmax)].
리소그래피 투영 장치에서, 투영 광학기는 소스로부터 패터닝 디바이스를 통해 기판 상으로 조명을 지향하고 성형한다. "투영 광학기"라는 용어는, 본 명세서에서 방사선 빔의 파면을 변경할 수 있는 여하한의 광학 구성요소를 포함하는 것으로 폭넓게 정의된다. 예를 들어, 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(aerial image: AI)는 기판 레벨에서의 방사선 세기 분포이다. 기판 상의 레지스트 층이 노광되고, 그 안에 잠재적인 "레지스트 이미지"(RI)로서 에어리얼 이미지가 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 가용성의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 미국 특허 출원 공개공보 US 2009-0157630호에서 찾아볼 수 있고, 이는 본 명세서에서 그 전문이 인용참조된다. 레지스트 모델은 레지스트 층의 속성들[예를 들어, 노광, 노광후 베이크(PEB) 및 현상 시 일어나는 화학 공정들의 효과들]에만 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 소스, 패터닝 디바이스 및 투영 광학기의 속성들)은 에어리얼 이미지를 결정하고, 광학 모델에서 정의될 수 있다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다.
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 리소클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성할 수 있으며, 이는 기판에 1 이상의 노광-전(pre-exposure) 및 노광-후 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층을 증착시키는 1 이상의 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 1 이상의 디벨로퍼(developer: DE), 1 이상의 칠 플레이트(chill plate: CH) 및 1 이상의 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판을 집어올리고, 상기 기판을 상이한 공정 디바이스들 사이에서 이동시키며, 이를 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이러한 디바이스들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다. 또한, 리소그래피 셀(LC)은 기판을 에칭하는 1 이상의 에처(etcher) 및 기판의 파라미터를 측정하도록 구성된 1 이상의 측정 디바이스를 포함할 수 있다. 측정 디바이스는 기판의 물리적 파라미터를 측정하도록 구성된 광학 측정 디바이스, 예컨대 스케터로미터, 스캐닝 전자 현미경 등을 포함할 수 있다. 측정 디바이스는 리소그래피 장치(LA)에 통합될 수 있다. 본 발명의 일 실시예가 감독 제어 시스템(SCS) 및/또는 리소그래피 제어 유닛(LACU)에서 또는 이와 구현될 수 있다. 예를 들어, 감독 제어 시스템(SCS) 및/또는 리소그래피 제어 유닛(LACU)으로부터의 데이터가 본 발명의 일 실시예에 의해 사용될 수 있으며, 본 발명의 일 실시예로부터의 1 이상의 신호가 감독 제어 시스템(SCS) 및/또는 리소그래피 제어 유닛(LACU)에 제공될 수 있다.
도 3은 디바이스 제조 공정에서 결함들 또는 핫스폿들을 예측하는 방법을 개략적으로 도시한다. 결함은 네킹(necking), 라인 풀백(line pull back), 라인 시닝(line thinning), 사양 외 CD, 오버래핑(overlapping) 및/또는 브리징(bridging)과 같은 시스템적 결함(systematic defect)일 수 있으며; 또한, 결함은 먼지 입자와 같은 입자의 침착에 의해 야기되는 바와 같은 랜덤 결함(random defect)일 수 있다. 시스템적 결함은 예측되고 제어될 수 있다. 결함은 레지스트 이미지 또는 에칭 이미지(즉, 마스크로서 레지스트를 이용하여 에칭함으로써 기판의 층으로 전사되는 패턴)에 있을 수 있다. 이후 설명되는 바와 같이 핫스폿은 공정 윈도우 제한 패턴이다. 결함들 또는 핫스폿들(214)을 예측(예를 들어, 이의 존재, 위치, 타입, 형상 등을 예측)하기 위해 연산(computational) 또는 경험적 모델(213)이 사용될 수 있다. 모델(213)은 디바이스 제조 공정의 1 이상의 파라미터(211)(공정 파라미터라고도 함) 및/또는 (예를 들어, 마스크 디자인 패턴의) 1 이상의 레이아웃 파라미터들(212)을 고려할 수 있다. 1 이상의 공정 파라미터(211)는 디바이스 제조 공정과 연계된 파라미터들이며, 레이아웃과 연계되지는 않는다. 예를 들어, 1 이상의 공정 파라미터(211)는 소스의 특성(세기, 퓨필 프로파일 등), 투영 광학기의 특성, 도즈, 포커스, 레지스트의 특성, 레지스트의 현상의 특성, 레지스트의 노광후 베이킹의 특성, 및/또는 에칭의 특성을 포함할 수 있다. 1 이상의 레이아웃 파라미터(212)는 레이아웃 상의 1 이상의 다양한 피처들의 형상, 크기, 상대 위치, 및/또는 절대 위치, 및 상이한 레이아웃들 상의 피처들의 오버래핑을 포함할 수 있다. 경험적 모델에서, 이미지(예를 들어, 레지스트 이미지, 에칭 이미지)는 시뮬레이션되지 않는다; 대신에, 경험적 모델은 입력과 1 이상의 결함 또는 핫스폿 간의 1 이상의 상관관계에 기초하여 1 이상의 결함 또는 핫스폿을 예측한다. 연산 모델에서는, 이미지의 특성 또는 일부분이 계산되고, 상기 부분 또는 특성에 기초하여 1 이상의 결함 또는 핫스폿이 식별된다. 예를 들어, 라인 풀백 결함은 그 바람직한 위치로부터 너무 멀리 있는 라인 단부를 발견함으로써 식별될 수 있고, 및/또는 브리징 결함은 두 라인들이 바람직하지 않게 합쳐지는 위치를 발견함으로써 식별될 수 있다.
패터닝 디바이스 상의 다양한 패턴들은 각각 상이한 공정 윈도우(즉, 패턴이 사양 내에서 생성될 처리 파라미터들의 공간)를 가질 수 있다. 잠재적인 시스템적 결함들에 관한 패턴 사양의 예시들은 네킹, 라인 풀백, 라인 시닝, 사양 외 CD, 에지 배치, 오버래핑, 레지스트 최상부 손실(resist top loss), 레지스트 언더컷(resist undercut) 및/또는 브리징에 대한 체크들을 포함한다. 패터닝 디바이스 또는 그 영역 상의 모든 패턴들의 공정 윈도우는 각각의 개별적인 패턴의 공정 윈도우들을 병합함으로써(예를 들어, 겹침으로써) 얻어질 수 있다. 따라서, 패터닝 디바이스 또는 그 영역 상의 모든 패턴들의 공정 윈도우는 겹치는 공정 윈도우(OPW)라고 칭할 수 있다. OPW의 경계는 개별적인 패턴들 중 일부의 공정 윈도우들의 경계들을 포함한다. 다시 말하면, 이 개별적인 패턴들이 OPW를 제한한다. 이 패턴들은 "핫스폿들" 또는 "공정 윈도우 제한 패턴들(PWLPs)"이라고 칭해질 수 있으며, 이들은 본 명세서에서 상호교환가능하게 사용된다. 디바이스 제조 공정을 제어하는 경우, 핫스폿들에 초점을 맞추는 것이 가능하고 경계적이다. 핫스폿들이 결함있지 않은 경우, 모든 패턴들이 결함있지 않을 가능성이 가장 높다.
도 4는 예시적인 연산 모델을 나타낸다. 소스 모델(31)이 소스의 광학적 특성들(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기 모델(32)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 디자인 레이아웃 모델(35)이 패터닝 디바이스에 의해 형성되는, 또는 패터닝 디바이스 상의 피처들의 일 구성을 나타내는 디자인 레이아웃의 광학적 특성들(주어진 디자인 레이아웃에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 소스 모델(31), 투영 광학기 모델(32) 및 디자인 레이아웃 모델(35)로부터 에어리얼 이미지(36)가 시뮬레이션될 수 있다. 레지스트 및/또는 에칭 모델(37)을 이용하여 에어리얼 이미지(36)로부터 레지스트 및/또는 에칭 이미지(38)가 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 이미지 내의 윤곽들 및/또는 CD들을 예측할 수 있다.
더 명확하게는, 소스 모델(31)은 시그마(σ) 세팅들 및 여하한의 특정 조명 소스 형상[예를 들어, 환형, 쿼드러폴(quadrupole) 및 다이폴(dipole) 등과 같은 오프-액시스(off-axis) 방사선 소스들]을 포함하는 소스의 광학적 특성들을 나타낼 수 있으며, 이에 제한되지는 않는다. 투영 광학기 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다. 디자인 레이아웃 모델(35)은, 예를 들어 본 명세서에서 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 물리적 패터닝 디바이스의 물리적 특성들을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치들, 에어리얼 이미지 세기 기울기들, 및 CD들을 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 전-OPC 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
경험적 모델의 일 예시는 기계 학습 모델이다. 비지도(unsupervised) 기계 학습 및 지도(supervised) 기계 학습 모델들이 둘 다 1 이상의 결함 또는 핫스폿을 예측하는 데 사용될 수 있다. 청구항들의 범위를 제한하지 않고, 지도 기계 학습 알고리즘들의 적용예들이 아래에서 설명된다.
지도 학습은 라벨링된 트레이닝 데이터(labeled training data)로부터 함수를 추론하는 기계 학습 과제이다. 트레이닝 데이터는 트레이닝 예시들의 세트이다. 지도 학습에서, 각각의 예시는 입력 객체(input object)(통상적으로, 벡터) 및 원하는 출력 값[감시 신호(supervisory signal)라고도 함]으로 이루어지는 쌍이다. 지도 학습 알고리즘은 트레이닝 데이터를 분석하고 추론되는 함수를 생성하며, 이는 새로운 예시들을 매핑하는 데 사용될 수 있다. 최적의 시나리오가 알고리즘으로 하여금 보이지 않는 인스턴스(unseen instance)들에 대해 클래스 라벨(class label)을 올바르게 결정하게 할 것이다. 이는 학습 알고리즘이 "합리적인" 방식으로 트레이닝 데이터로부터 보이지 않는 상황들로 일반화할 것을 요구한다[귀납적 편향(inductive bias) 참조].
xi가 i-번째 예시의 피처 벡터이고 yi가 그 라벨(즉, 클래스)이도록 {(x1,y1),(x2,y2),…,(xN,yN)} 형태의 N 개의 트레이닝 예시들의 세트를 가정하면, 학습 알고리즘은 함수
Figure 112018124211464-pct00001
를 찾으며, 이때 X는 입력 공간이고, Y는 출력 공간이다. 피처 벡터는 일부 객체를 나타내는 수치적 특징의 n-차원 벡터이다. 기계 학습에서의 많은 알고리즘들이 객체들의 수치적 표현을 필요로 하는데, 이는 이러한 표현들이 처리 및 통계적 분석을 용이하게 하기 때문이다. 이미지들을 나타내는 경우, 피처 값들은 이미지의 픽셀들에 대응할 수 있고, 텍스트를 나타낼 때는 아마도 용어 발생 빈도이다. 이 벡터들과 연계된 벡터 공간은 흔히 피처 공간이라고 한다. 함수 g는 통상적으로 가설 공간이라고 하는 가능한 함수들(G) 중 일부 공간의 요소이다. 때로는, 스코어링(scoring) 함수
Figure 112018124211464-pct00002
을 이용하여 g가 최고 스코어를 제공하는 y 값을 반환하는 것으로서 정의되도록 g를 나타내는 것이 편리하다: g(x) = arg maxyf(x,y). F는 스코어링 함수들의 공간을 나타낸다.
G 및 F가 함수들의 여하한의 공간일 수 있지만, 많은 학습 알고리즘들은 g가 조건부 확률 모델의 형태를 취하는 g(x) = P(y|x), 또는 f가 동시 확률 모델의 형태를 취하는 f(x,y) = P(x,y)인 확률론적 모델들이다. 예를 들어, 나이브 베이즈(naive Bayes) 및 선형 판별 분석이 동시 확률 모델들인 반면, 로지스틱 회귀(logistic regression)가 조건부 확률 모델이다.
f 또는 g를 선택하는 2 개의 기본 접근법들: 경험적 위험 최소화 및 구조적 위험 최소화가 존재한다. 경험적 위험 최소화는 트레이닝 데이터에 가장 잘 맞는 함수를 찾는다. 구조적 위험 최소화는 편향/분산 트레이드오프(bias/variance tradeoff)를 제어하는 패널티 함수를 포함한다.
두 경우 모두, 트레이닝 세트가 독립적이고 동일하게 분포된 쌍들의 샘플(xi,yi)을 포함한다고 가정한다. 함수가 트레이닝 데이터에 얼마나 잘 맞는지를 측정하기 위해, 손실 함수
Figure 112018124211464-pct00003
가 정의될 수 있다. 트레이닝 예시(xi,yi)에 대해, 값
Figure 112018124211464-pct00004
를 예측하는 손실은
Figure 112018124211464-pct00005
이다.
함수 g의 위험 R(g)는 g의 예상 손실로서 정의된다. 이는
Figure 112018124211464-pct00006
로서 트레이닝 데이터로부터 추산될 수 있다.
지도 학습의 전형적인 모델들은 판단 트리(decision trees), 앙상블(ensembles)[배깅(bagging), 부스팅(boosting), 랜덤 포레스트(random forest)], k-NN, 선형 회귀, 나이브 베이즈, 뉴럴 네트워크(neural network), 로지스틱 회귀, 퍼셉트론(perceptron), SVM(support vector machine), RVM(relevance vector machine), 및/또는 심층 학습(deep learning)을 포함한다.
SVM은 데이터를 분석하고 패턴들을 인식하는 지도 학습 모델의 일 예시이며, 분류 및 회귀 분석에 사용될 수 있다. 2 개의 카테고리들 중 하나에 속하는 것으로서 각각 표시되는 트레이닝 예시들의 세트를 가정하면, SVM 트레이닝 알고리즘이 한 카테고리 또는 다른 카테고리로 새로운 예시들을 할당하여, 이를 비-확률론적 이진 선형 분류기(non-probabilistic binary linear classifier)로 만드는 모델을 구축한다. SVM 모델은 별도의 카테고리들의 예시들이 가능한 한 넓은 명확한 갭(clear gap)에 의해 나누어지도록 매핑되는 공간 내의 지점들로서 예시들을 나타낸다. 그 후, 새로운 예시들이 그 동일한 공간으로 매핑되고, 이들이 갭의 어느 측에 있는지에 기초한 카테고리에 속하는 것으로 예측된다.
선형 분류를 수행하는 것에 추가하여, SVM은 암묵적으로 그 입력들을 고차원 피처 공간들로 매핑하는 커널 방법들이라고 하는 것을 이용하여 비-선형 분류를 효율적으로 수행할 수 있다.
커널 방법들은 사용자-특정 커널(user-specified kernel), 즉 원시 표현의 데이터 지점들의 쌍들에 걸친 유사성 함수(similarity function)만을 필요로 한다. 커널 방법들은 그 이름에 커널 함수들의 사용의 의무가 있으며, 이는 이들이 고차원의 함축적 피처 공간에서 데이터의 좌표를 연산하지 않고, 오히려 피처 공간 내의 데이터의 모든 쌍들의 이미지들 간의 내적(inner product)들을 단순히 연산함으로써 그 공간에서 작동할 수 있게 한다. 이 작동은 흔히 좌표들의 명시적 연산보다 연산적으로 싸다. 이 접근법은 "커널 트릭(kernel trick)"이라고 한다.
SVM의 유효성은 커널의 선택, 커널의 파라미터들, 및 소프트 마진 파라미터(soft margin parameter: C)에 의존한다. 공통 선택은 가우시안 커널(Gaussian kernel)이고, 이는 단일 파라미터(γ)를 갖는다. C 및 γ의 최적 조합은 흔히 C 및 γ의 기하급수적으로 늘어나는 시퀀스들, 예를 들어 C ∈ {2-5, 2-4, …, 215, 216}; γ ∈ {2-15, 2-14, …, 24, 25}로 ["파라미터 스윕(parameter sweep)"이라고도 알려진] 그리드 탐색(grid search)에 의해 선택된다.
그리드 탐색은 학습 알고리즘의 하이퍼파라미터 공간의 수동으로 지정된 서브세트를 통한 완전 탐색(exhaustive searching)이다. 그리드 탐색 알고리즘은, 통상적으로 트레이닝 세트에 대한 교차-검증 또는 보류된 검증 세트에 대한 평가에 의해 측정되는 몇몇 성능 메트릭에 의해 안내되어야 한다.
파라미터 선택들의 각각의 조합이 교차 검증을 이용하여 체크될 수 있고, 최고 교차-검증 정확성을 갖는 파라미터들이 뽑힌다.
때로는 회전 추산(rotation estimation)이라고 하는 교차-검증은 통계적 분석의 결과들이 어떻게 독립적인 데이터 세트로 일반화될지를 평가하는 모델 검증 기술이다. 이는 주로 목표가 예측이고 예측 모델이 실제로 얼마나 정확하게 수행될지를 추산하기 원하는 세팅들에서 사용된다. 예측 문제에서는, 모델에 일반적으로 트레이닝이 실행되는 알려진 데이터의 데이터세트(트레이닝 데이터세트), 및 모델이 테스트되는 알려지지 않은 데이터(또는 처음 본 데이터)의 데이터 세트(테스트 데이터세트)가 주어진다. 교차 검증의 목표는 트레이닝 단계에서 모델을 "테스트"하는 데이터세트(즉, 검증 데이터세트)를 정의하여, 오버피팅(overfitting)같은 문제들을 제한하는 것, 모델이 독립적인 데이터 세트(즉, 예를 들어 실제 문제로부터의 알려지지 않은 데이터세트)에 어떻게 일반화될지에 대한 통찰력을 제공하는 것 등이다. 교차-검증의 한 라운드는 상보적인 서브세트들로 데이터의 샘플을 분할하는 단계, (트레이닝 세트라 하는) 한 서브세트에 대한 분석을 수행하는 단계, 및 (검증 세트 또는 테스트 세트라 하는) 다른 서브세트에 대한 분석을 검증하는 단계를 수반한다. 변동성을 감소시키기 위해, 상이한 분할들을 이용하여 교차-검증의 다수 라운드들이 수행되고, 검증 결과들이 라운드들에 걸쳐 평균된다.
그 후, 새로운 데이터를 테스트하고 분류하는 데 사용될 수 있는 최종 모델이 선택된 파라미터들을 이용하여 전체 트레이닝 세트에 대해 트레이닝된다.
도 5a 및 도 5b는 일 실시예에 따른 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법에 대한 흐름들을 개략적으로 나타낸다. 도 5a는 기계 학습 모델을 트레이닝하는 흐름을 개략적으로 나타낸다. 디바이스 제조 공정에서의 테스트 패턴(505)의 성능의 1 이상의 특성(510)이 얻어진다. 1 이상의 특성(510)은 디바이스 제조 공정에서의 테스트 패턴(505)의 공정 윈도우일 수 있다. 1 이상의 특성(510)은 시뮬레이션에 의해, 메트롤로지에 의해, 또는 경험적 데이터와의 비교에 의해 얻어질 수 있다. 1 이상의 특성(510)에 기초하여 테스트 패턴(505)이 핫스폿인지에 관한 결정(520)이 수행된다. 예를 들어, 결정(520)은 테스트 패턴(505)을 포함한 패턴들의 그룹의 겹치는 공정 윈도우와 1 이상의 특성(510)을 비교함으로써 수행될 수 있다. 결정(520) 및 테스트 패턴(505)의 1 이상의 특성(530)이 샘플로서 트레이닝 세트(540)에 포함된다. 1 이상의 특성(530)은 샘플의 피처 벡터이고, 결정(520)은 샘플의 라벨이다. 절차(550)에서, 기계 학습 모델(560)이 트레이닝 세트를 이용하여 트레이닝된다. 테스트 패턴(505)의 1 이상의 특성(530)의 예시들은 테스트 패턴(505)의 기하학적 형상의 특성, 테스트 패턴(505)의 픽셀화된 이미지의 밀도 분포, 일련의 기저 함수들에 걸친 테스트 패턴(505)의 기능적 분해[예를 들어, 푸리에 변환, 고차 국소 자기상관(higher order local autocorrelation: HLAC)]의 결과, 테스트 패턴(505)의 단편화, 및/또는 테스트 패턴(505)의 회절 차수 분포를 포함할 수 있다.
도 5b는 패턴(533)이 핫스폿인지를 예측하기 위해 기계 학습 모델(560)을 이용하는 흐름을 개략적으로 나타낸다. 패턴(533)의 1 이상의 특성(535)이 얻어진다. 패턴(533)의 1 이상의 특성(535)의 예시들은 패턴(533)의 기하학적 형상의 특성, 패턴(533)의 픽셀화된 이미지의 밀도 분포, 일련의 기저 함수들에 걸친 패턴(533)의 기능적 분해[예를 들어, 푸리에 변환, 고차 국소 자기상관(HLAC)]의 결과, 패턴(533)의 단편화, 및/또는 패턴(533)의 회절 차수 분포를 포함할 수 있다. 절차(570)에서, 1 이상의 특성(535)이 입력으로서 기계 학습 모델(560)에 제공되고, 기계 학습 모델(560)로부터의 출력으로서 패턴(533)이 핫스폿인지의 예측(580)이 얻어진다.
도 6a 및 도 6b는 일 실시예에 따른 기계 학습 모델을 이용하여 핫스폿이 결함있는지를 예측하는 방법에 대한 흐름들을 개략적으로 나타낸다. 도 6a는 기계 학습 모델을 트레이닝하는 흐름을 개략적으로 나타낸다. 디바이스 제조 공정에서의 공정 조건들(620A, 620B, …) 각각에서 핫스폿(600)의 성능에 대한 특성들(610A, 610B, …)이 얻어진다. 특성들(610A, 610B, …)은 공정 조건들(620A, 620B, …) 하에서 각각 디바이스 제조 공정에 의해 생성되는 핫스폿(600)의 이미지의 특성들(예를 들어, CD)일 수 있다. 특성들(610A, 610B, …)은 시뮬레이션에 의해, 메트롤로지에 의해, 또는 경험적 데이터와의 비교에 의해 얻어질 수 있다. 특성들(610A, 610B, …)에 기초하여 공정 조건들(620A, 620B, …) 하에서 각각 핫스폿(600)이 결함있는지에 관한 결정들(630A, 630B, …)이 수행된다. 예를 들어, 결정들(630A, 630B, …)은 핫스폿(600)에 대한 사양과 특성들(610A, 610B, …)을 비교함으로써 수행될 수 있다. 공정 조건들(620A, 620B, …) 각각의 특성들(640A, 640B, …)이 얻어진다. 특성들(640A, 640B, …)의 예시들은 포커스, 도즈, 레티클 맵, 이동 표준 편차(MSD), 및/또는 화학-기계적 평탄화(CMP) 히트맵을 포함할 수 있다. 특성들(640A, 640B, …) 및 결정들(630A, 630B, …)은 샘플들(650A, 650B, …)로서 각각 트레이닝 세트(660)에 포함된다. 절차(670)에서, 기계 학습 모델(680)이 트레이닝 세트(660)를 이용하여 트레이닝된다.
도 6b는 주어진 공정 조건(633) 하에서 핫스폿(600)이 결함있는지를 예측하기 위해 기계 학습 모델(680)을 이용하는 흐름을 개략적으로 나타낸다. 공정 조건(633)의 1 이상의 특성(635)이 얻어진다. 1 이상의 특성(635)의 예시들은 포커스, 도즈, 레티클 맵, 이동 표준 편차(MSD), 및/또는 화학-기계적 평탄화(CMP) 히트맵을 포함할 수 있다. 절차(690)에서, 1 이상의 특성(635)이 입력으로서 기계 학습 모델(680)에 제공되고, 기계 학습 모델(680)로부터의 출력으로서 공정 조건(633) 하에서 핫스폿(600)이 결함있는지의 예측(695)이 얻어진다.
도 7a 및 도 7b는 일 실시예에 따른 기계 학습 모델을 이용하여 핫스폿이 결함있는지를 예측하는 방법에 대한 흐름들을 개략적으로 나타낸다. 도 7a는 기계 학습 모델을 트레이닝하는 흐름을 개략적으로 나타낸다. 디바이스 제조 공정에서의 공정 조건들(720A, 720B, …) 각각에서 핫스폿들(700A, 700B, …) 각각의 성능의 특성들(710A, 710B, …)이 얻어진다. 특성들(710A, 710B, …)은 공정 조건들(720A, 720B, …) 하에서 각각 디바이스 제조 공정에 의해 생성되는 핫스폿들(700A, 700B, …) 각각의 이미지의 특성들(예를 들어, CD)일 수 있다. 특성들(710A, 710B, …)은 시뮬레이션에 의해, 메트롤로지에 의해, 또는 경험적 데이터와의 비교에 의해 얻어질 수 있다. 특성들(710A, 710B, …)에 기초하여 공정 조건들(720A, 720B, …) 하에서 각각 핫스폿들(700A, 700B, …)이 각각 결함있는지에 관한 결정들(730A, 730B, …)이 수행된다. 예를 들어, 결정들(730A, 730B, …)은 핫스폿들(700A, 700B, …) 각각에 대한 사양과 특성들(710A, 710B, …)을 비교함으로써 수행될 수 있다. 공정 조건들(720A, 720B, …) 각각의 특성들(740A, 740B, …)이 얻어진다. 특성들(740A, 740B, …)의 예시들은 포커스, 도즈, 레티클 맵, 이동 표준 편차(MSD), 및/또는 화학-기계적 평탄화(CMP) 히트맵을 포함할 수 있다. 핫스폿들(700A, 700B, …) 각각의 특성들(750A, 750B, …)이 결정된다. 핫스폿들(700A, 700B, …)의 특성들(750A, 750B, …)의 예시들은 핫스폿들(700A, 700B, …)의 기하학적 형상의 특성, 핫스폿들(700A, 700B, …)의 픽셀화된 이미지의 밀도 분포, 일련의 기저 함수들에 걸친 핫스폿들(700A, 700B, …)의 기능적 분해[예를 들어, 푸리에 변환, 고차 국소 자기상관(HLAC)]의 결과들, 핫스폿들(700A, 700B, …)의 단편화, 및/또는 핫스폿들(700A, 700B, …)의 회절 차수 분포를 포함할 수 있다. 특성들(750A, 750B, …)의 다른 예시들은 보썽 곡선 및 1 이상의 기하학적 특성, 예컨대 CD, 이미지 로그 슬로프(image log slope), 정규화된 이미지 로그 슬로프 등을 포함할 수 있다. 특성들(750A, 750B, …)은 시뮬레이션에 의해, 예를 들어 직접 또는 핫스폿들(700A, 700B, …)의 시뮬레이션된 이미지로부터 측정되어 얻어질 수 있다. 특성들(740A, 740B, …), 결정들(730A, 730B, …), 및 특성들(750A, 750B, …)은 샘플들(760A, 760B, …)로서 각각 트레이닝 세트(770)에 포함된다. 절차(780)에서, 기계 학습 모델(790)이 트레이닝 세트(770)를 이용하여 트레이닝된다.
도 7b는 주어진 공정 조건(721) 하에서 핫스폿(701)이 결함있는지를 예측하기 위해 기계 학습 모델(790)을 이용하는 흐름을 개략적으로 나타낸다. 공정 조건(721)의 1 이상의 특성(722)이 얻어진다. 패턴의 특성(722)의 예시들은 포커스, 도즈, 레티클 맵, 이동 표준 편차(MSD), 및/또는 화학-기계적 평탄화(CMP) 히트맵을 포함할 수 있다. 핫스폿(701)의 1 이상의 특성(702)이 얻어진다. 특성(702)의 예시들은 핫스폿(701)의 기하학적 형상의 특성, 핫스폿(701)의 픽셀화된 이미지의 밀도 분포, 일련의 기저 함수들에 걸친 핫스폿(701)의 기능적 분해[예를 들어, 푸리에 변환, 고차 국소 자기상관(HLAC)]의 결과, 핫스폿(701)의 단편화, 및/또는 핫스폿(701)의 회절 차수 분포를 포함할 수 있다. 절차(792)에서, 특성들(702) 및 특성들(722)이 입력으로서 기계 학습 모델(790)에 제공되고, 기계 학습 모델(790)로부터의 출력으로서 공정 조건(721) 하에서 핫스폿(701)이 결함있는지의 예측(793)이 얻어진다.
도 8a, 도 8b, 도 8c 및 도 8d는 일 실시예에 따른 기계 학습 모델을 이용하여 패턴이 결함있는지를 예측하는 방법에 대한 흐름을 개략적으로 나타낸다. 도 8a는 대표적인 핫스폿들을 선택하는 흐름을 개략적으로 나타낸다. 디자인 레이아웃 내의 핫스폿들(801)의 메트롤로지 데이터(805)가 절차(804)에서 핫스폿들(801)의 1 이상의 특성, 시뮬레이션 모델(802) 및 1 이상의 공정 조건(803)에 기초하여 시뮬레이션된다. 핫스폿들(801)은 절차(806)에서 핫스폿들(801)의 1 이상의 특성 및 이들의 시뮬레이션된 메트롤로지 데이터(805)에 기초하여 1 이상의 클러스터(807)로 클러스터링된다. 절차(808)에서, 클러스터들(807) 각각으로부터 대표적인 핫스폿(809)이 선택된다. 예를 들어, 각각의 클러스터의 대표는 클러스터 내에서 결함있을 가능성이 가장 높은 핫스폿일 수 있다.
도 8b는 핫스폿들(801)의 대표들(809)을 이용하여 시뮬레이션 모델(802)을 개선하는 흐름을 개략적으로 나타낸다. 대표들(809)은 공정 조건(810) 하에서 제작 공정(811)을 거쳐, 기판 상에 1 이상의 구조체(812)를 형성한다. 메트롤로지 절차(813)에 의해 기판 상의 1 이상의 구조체(812)에 대해 메트롤로지 데이터(814)가 얻어진다. 절차(815)에서, 공정 조건(810) 및 메트롤로지 데이터(814)에 기초하여 시뮬레이션 모델(802)의 파라미터들을 조절하기 위해 회귀 모델이 사용된다. 회귀 모델로부터 개선된 시뮬레이션 모델(816)이 얻어진다.
도 8c는 개선된 시뮬레이션 모델(816)로부터 결함 예측을 위한 분류 모델을 얻는 흐름을 개략적으로 나타낸다. 절차(819)에서, 패턴들(818)의 1 이상의 특성, 개선된 시뮬레이션 모델(816) 및 1 이상의 공정 조건(817)에 기초하여 패턴들(818)의 그룹의 시뮬레이션된 메트롤로지 데이터(820)가 시뮬레이션된다. 패턴들(818)에 대해 수행되는 메트롤로지 절차(821)에 의해 실험적 메트롤로지 데이터(822)가 얻어진다. 실험적 메트롤로지 데이터(822)에 기초하여 패턴들(818)이 여하한의 결함을 갖는지의 결정(823)이 수행된다. 절차(824)에서, 시뮬레이션된 메트롤로지 데이터(820) 및 결정(823)이 트레이닝 세트로서 사용되어, 분류 모델(825)을 트레이닝한다.
도 8d는 분류 모델(825)을 이용하여 패턴(826)이 결함인지의 예측에 대한 흐름을 개략적으로 나타낸다. 절차(828)에서, 패턴(826)의 1 이상의 특성, 개선된 시뮬레이션 모델(816) 및 공정 조건(827)에 기초하여 패턴(826)의 시뮬레이션된 메트롤로지 데이터(829)가 시뮬레이션된다. 시뮬레이션된 메트롤로지 데이터(829)는 분류 모델(825)로의 입력으로서 사용된다. 분류 모델(825)로부터의 출력으로서 패턴(826)이 결함인지의 예측(830)이 얻어진다.
따라서, 일 실시예에서, 기계 학습을 이용하여 결함 예측이 제공된다. 이 방법은 다양한 사용 사례들을 가질 수 있다. 예시적인 사용 사례에서, 기계 학습을 이용함으로써 핫스폿들이 발견될 수 있다. 즉, 기계 학습이 주어진 레이아웃에 대해 핫스폿을 찾아낼 수 있다. 트레이닝 스테이지에서, 레이아웃 상의 주어진 패턴에 대해, 패턴이 시뮬레이션 및/또는 실험을 이용하거나, 또는 패턴 라이브러리(pattern library)로부터의 문제있는 패턴들을 이용함으로써 핫스폿이라고 결정된다. 그 후, 기계 학습 모델을 트레이닝하기 위해 원래 패턴 또는 그 변형이 입력으로서 사용되고, 결정 결과가 출력으로서 사용된다. 원래 패턴 이미지가 입력으로서 사용되는 경우, 예를 들어 이미지를 픽셀화하고 픽셀화된 밀도 분포를 피처 벡터로 전환함으로써, 및/또는 고차 국소 자기상관(HLAC)과 같은 몇몇 기본 방법을 이용하여 이미지를 분해함으로써 피처 벡터에서 사용되는 이미지를 분해하고, 및/또는 패턴 내의 각각의 다각형을 수 개의 단편들로 단편화하고 각각의 단편에 대해 모든 그 컨텍스트 단편(context fragment)들을 고려함으로써 피처 벡터를 구성하는 여러 방식들이 존재한다. 패턴의 변형이 피처 벡터에 대한 입력으로서 사용되는 경우, 변형은 예를 들어 패턴의 회절 차수 분포, 주파수 도메인에서의 패턴의 세기 분포, 및/또는 시뮬레이션된 이미지일 수 있다.
또 다른 예시적인 사용 사례에서, 제한된 수의 핫스폿들을 이용하여 결함 예측이 수행될 수 있다. 이 경우, 상이한 공정 조건들 하에서의 각각의 핫스폿의 검증이 메트롤로지 데이터를 이용하여(예를 들어, rSEM/CDSEM/e-빔 검사를 이용하여) 수행될 수 있다. 그 후, [예를 들어, 포커스, (현상 후, 에칭 후) 유효 도즈, 레티클 맵, MSD, 및/또는 CMP 히트맵과 같은, 리소그래피 장치 및/또는 메트롤로지로부터의] 공정 조건 변수들이 피처 벡터에 대한 입력으로서 사용되고, 검증 결과(예를 들어, 예/아니오, 또는 결함 확률, 또는 결함 크기)가 피처 벡터에 대한 출력으로서 사용되어, 기계 학습 모델(예를 들어, 분류 또는 회귀)을 트레이닝한다. 핫스폿들 중 1 이상에 대해, 추후 결함 예측을 하는 데 사용되는 모델이 구축될 수 있다. 일 실시예에서, 이러한 방법은 실시간 모드로 확장될 수 있고, 이는 온라인 학습 기술을 이용함으로써 검증 데이터가 계속해서 수집되고 모델이 시간에 맞춰 업데이트된다는 것을 의미한다. 이 경우, 입력 변수 차원은 단지 1 또는 2일 수 있다. 또는, 검증 데이터는 곡선/표면을 피팅하는 데 사용될 수 있고, 그 후 곡선/표면을 예측하기 위해 사용할 수 있다.
또 다른 사용 사례에서, 다수의 핫스폿들을 이용하여 결함 예측이 수행될 수 있다. 이 경우, 모든 핫스폿들의 검증은 비실용적이다. 따라서, 시뮬레이션 데이터 및/또는 디자인 데이터와 실험적 데이터의 조합이 사용되어, 결함 예측 모델을 구축할 수 있다. 일 실시예에서, 기계 학습 입력은 3 개의 부분들: 핫스폿 패턴들로부터 추출된 디자인 데이터, 핫스폿 패턴들에 대한 시뮬레이션 데이터, 및 (예를 들어, 리소그래피 장치 또는 메트롤로지로부터의) 공정 조건 변수들을 갖는다. 디자인 데이터는, 예를 들어 기계 학습을 이용하여 핫스폿들을 발견하는 사용 사례에 대해 앞서 설명된 방법들을 이용하여 추출될 수 있다. 시뮬레이션 데이터는 상이한 포맷들로: 1) 대응하는 공정 조건에서의 시뮬레이션에서 얻어지는 CD, 영역, ILS, 보썽 곡선의 경사 등, 2) 대응하는 공정 조건에서 시뮬레이션된 핫스폿 이미지, 3) 수치로서 시뮬레이션된 보썽 곡선, 및/또는 4) 파라미터화된 보썽 곡선(예를 들어, 다항식 함수 또는 가우시안 함수와 같은 적합 함수의 적합 파라미터들)일 수 있다. 디자인 데이터 및/또는 시뮬레이션 데이터를 추가하는 것은 두가지 기능: 트레이닝 스테이지에서 사용되는 핫스폿 패턴들을 구별하는 기능과 테스트 스테이지에서 "보이지 않는" 핫스폿 패턴들을 예측하는 기능을 갖는다.
우수한 예측 결과를 제공하는 여하한의 기계 학습 알고리즘(SVM, 로지스틱 회귀, KNN, AdaBoost 등)이 사용될 수 있다. 기계 학습의 출력은 Y/N, 결함 확률, 및/또는 결함 크기일 수 있다.
따라서, 일 실시예에서, 기계 학습은 결함 예측을 가능하게 하기 위해 사용될 수 있고, 이때 기계 학습은 단지 핫스폿 식별을 하기 위해 디자인 데이터를 사용할 수 있으며, 기계 학습은 단지 결함 예측 및/또는 공정 윈도우 및/또는 수율 모니터링을 하기 위해 실험적 데이터를 사용할 수 있고, 기계 학습은 결함 예측을 하기 위해 실험적 데이터 및 디자인 데이터/시뮬레이션 데이터의 조합을 사용할 수 있으며, 및/또는 기계 학습은 초기 모델을 구축하기 위해 디자인 데이터/시뮬레이션 데이터를 사용하고 온라인 학습 기술들을 이용함으로써 예측에 더 많은 실험적 데이터를 점진적으로 추가할 수 있다.
일 실시예에서, 핫스폿들이 식별되고, 특정 조건들에서의 포커스 및 도즈에 대한 CD가 생성된다. 그 후, 예측 모듈이 이 정보를 (예를 들어, 리소그래피 장치로부터의) 공정 정보와 조합하여, 핫스폿들에 대한 프린팅 후의 결함의 확률 및/또는 존재를 예측한다. 그 후, 이 정보는 검증 모듈로 건네지고, 여기에서 예측된 결함들의 서브-세트가 (예를 들어, CD-SEM, 검토 SEM 등과 같은 일반적인 메트롤로지 툴을 이용하여) 검사될 수 있다. 일 실시예에서, 예측 모듈의 예측 능력은 기계 학습에 의해 가능해지는 하이브리드 결함 예측 모델을 사용한다. 이 모델은 분류 및/또는 회귀 모델일 수 있다. 이는 다수 시뮬레이션 데이터(예를 들어, CD, CD-도즈 기울기, CD-포커스 기울기 및/또는 ILS로부터 선택되는 복수의 특성들)가 트레이닝된 기계 학습 알고리즘과 조합되어 기판 상의 결함의 확률 및/또는 존재를 연산한다는 의미에서 하이브리드이다. 모델에 대한 셋업 단계 동안, 모델은 초기에, 예를 들어 셋업 기판의 프로세스 맵, (예를 들어, 핫스폿들의 서브세트에 대한 포커스 및/또는 도즈 범위에 걸친) 검증 모듈로부터의 측정된 데이터, 및 앞서 언급된 핫스폿들에 대한 시뮬레이션된 정보를 이용하여 트레이닝된다. 그 후, 트레이닝된 모델은 예를 들어 대량 제조(HVM) 단계에서 예측 모델링을 위한 초기 모델로서 사용될 수 있다. (셋업 단계 동안보다 훨씬 더 드문) 메트롤로지 데이터가 노광된 기판들로부터 계속해서 발생되고, 충분한 데이터가 수집된 경우에 모델 예측을 주기적으로 개선하기 위해 사용될 수 있다. 따라서, 모델은 모델이 계속해서 학습하고, 외삽(extrapolate)하며, 그 예측 능력을 개선할 수 있다는 의미에서 순응적일 수 있다. 이해하는 바와 같이, 이 접근법은 에칭 및 패턴 전사와 같은 리소그래피 단계 후 추가 공정들을 거치는 기판들 상에서 핫스폿들을 모니터링하는 것으로 확장될 수 있다. 따라서, 일 실시예에서, 패터닝 공정 동안 일어나는 기판 상의 결함의 예측 및/또는 존재를 결정하기 위해 회귀 및/또는 분류 알고리즘과 시뮬레이션 데이터의 조합이 제공된다.
본 명세서에 개시된 개념들 및 결과들은 미크론-이하 피처들을 이미징하는 여하한의 포괄적인 이미징 시스템을 시뮬레이션하거나 모델링하는 것과 같이, 패터닝 공정의 여하한의 시뮬레이션 또는 수학적 모델을 캘리브레이션하거나 수정하기 위해 사용될 수 있다. 본 명세서에 개시된 개념들 및 결과들은, 예를 들어 1 이상의 디자인 변수를 수정함으로써 패터닝 공정을 제어하기 위해 사용될 수 있다. 본 명세서에 개시된 개념들 및 결과들은, 예를 들어 1 이상의 디자인 변수를 수정함으로써 패터닝 공정을 디자인하기 위해 사용될 수 있다. 본 명세서에 개시된 개념들 및 결과들은, 예를 들어 1 이상의 결함이 발생했는지 또는 1 이상의 결함이 발생할 가능성이 있는지를 식별함으로써 패터닝 공정을 모니터링하기 위해 사용될 수 있다. 본 명세서에 개시된 개념들 및 결과들은, 예를 들어 결함들을 규제하는 모델 예측 제어 시스템을 생성하거나 캘리브레이션하기 위해 사용될 수 있다.
도 9는 본 명세서에 개시된 방법들 및/또는 흐름들을 구현할 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장 및/또는 공급하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함할 수 있다. 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수(temporary variable)들 또는 다른 매개 정보(intermediate information)를 저장 및/또는 공급하는 데 사용될 수 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장 및/또는 공급하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함할 수 있다. 정보 및 명령어들을 저장 및/또는 공급하는 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되며 버스(102)에 커플링될 수 있다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링될 수 있다. 또 다른 형태의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)일 수 있다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 명시하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 1 이상의 명령어의 1 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 본 명세서에 설명된 방법의 부분들이 수행될 수 있다. 일 실시예에서, 컴퓨터 시스템(100)은 리소그래피 장치의 일부분, 메트롤로지 시스템의 일부분일 수 있고, 리소그래피 장치 및/또는 메트롤로지 시스템 등에 독립형 시스템이 연결된다.
이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어(wire)들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유(fiber optics)를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어의 1 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 디스크 또는 메모리 상에 저장되어 있을 수 있다(bear). 상기 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩(load)하고, 통신 경로에 걸쳐 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)은 경로로부터 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 유선 또는 무선 데이터 통신 연결을 제공할 수 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 통상적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 네트워크(122) 및 인터넷(128)은 둘 다 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크들을 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 수송하는 반송파들의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지들을 송신하고 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 예를 들어, 하나의 이러한 다운로드된 어플리케이션은 코드가 본 명세서의 방법을 구현하도록 제공될 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 10은 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)을 컨디셔닝(condition)하는 조명 시스템(IL) -이 특정한 경우, 조명 시스템은 방사선 소스(SO)도 포함함- ;
- 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기(PM)에 연결되는 제 1 대상물 테이블(예를 들어, 마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기(PW)에 연결되는 제 2 대상물 테이블(기판 테이블)(WT);
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투영 시스템(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭(catadioptric) 광학 시스템]을 포함한다.
본 명세서에 서술된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 마스크를 가짐). 하지만, 일반적으로 상기 장치는 예를 들어 (반사 마스크를 갖는) 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 전형적인 마스크 사용의 대안예로서 또 다른 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)(예를 들어, 수은 램프 또는 엑시머 레이저)는 방사선 빔을 생성한다. 이 빔은 곧바로 또는 빔 익스팬더(beam expander)와 같은 컨디셔너를 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하도록 구성되는 조정기(AD)를 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
도 10과 관련하여, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성한 방사선 빔은 [예를 들어, 적절한 지향 거울(BD)들의 도움으로] 장치 내부로 들어올 수 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 상기 빔(B)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과한다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 투영 렌즈(PS)를 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(B)을 포커스한다. 제 2 위치설정기(PW)[및 간섭계(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM)는 예를 들어 패터닝 디바이스 라이브러리로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 10에 명확히 도시되지는 않는다.
패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 1보다 많은 다이가 제공되는 상황들에서, 패터닝 디바이스 정렬 마크들은 다이들 사이에 위치될 수 있다. 또한, 디바이스 피처들 사이에서 다이들 내에 작은 정렬 마커들이 포함될 수도 있으며, 이 경우 마커들은 가능한 한 작고 인접한 피처들과 상이한 여하한의 이미징 또는 공정 조건들을 필요로 하지 않는 것이 바람직하다.
도 11은 또 다른 예시적인 리소그래피 투영 장치(1000)를 개략적으로 도시한다. 리소그래피 투영 장치(1000)는:
- 소스 컬렉터 모듈(SO);
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치(1000)는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기를 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖는다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 11을 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 11에 나타내지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하도록 구성되는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 주어진 방향(소위 "스캔 방향")으로 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 리소그래피 장치는 2 이상의 테이블(예를 들어, 2 이상의 기판 테이블, 2 이상의 패터닝 디바이스 테이블, 및/또는 기판 테이블 및 기판을 갖지 않는 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
도 12는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(1000)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치된 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상에 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소들이 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울들이 존재할 수 있으며, 예를 들어 도 12에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 12에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 바람직하게는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용된다. 대안적으로, 소스 컬렉터 모듈(SO)은 LPP 방사선 시스템의 일부분일 수 있다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭, 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 담그어져야 함을 의미하는 것이라기보다는, 노광 시 액체가 투영 시스템과 기판 사이에 놓이기만 하면 된다는 것을 의미한다.
본 명세서에 개시된 개념들은 리소그래피 장치를 수반하는 여하한의 패터닝 공정을 시뮬레이션하거나 수학적으로 모델링하는 데 사용될 수 있으며, 특히 점점 더 작은 크기의 파장들을 생성할 수 있는 첨단(emerging) 이미징 기술들로 유용할 수 있다. 이미 사용중인 첨단 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 심자외선(DUV) 리소그래피를 포함한다. 또한, EUV 리소그래피가 5 내지 20 nm 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상의 디바이스 제조에 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 시스템들과 사용될 수 있음을 이해할 것이다.
앞서 언급된 패터닝 디바이스는 디자인 레이아웃을 포함하거나 이를 형성할 수 있다. 디자인 레이아웃은 CAD(computer-aided design) 프로그램을 사용하여 생성될 수 있다. 이 공정은 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들은 통상적으로 "임계 치수(CD)"라고도 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목표들 중 하나는 원래 회로 디자인을 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현(reproduce)하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 본 명세서에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드 등] 이외에, 여타의 이러한 패터닝 디바이스들의 예시로 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들에서는 입사 방사선을 회절 방사선으로서 반사시키는 반면, 어드레싱되지 않은 영역들에서는 입사 방사선을 비회절 방사선으로서 반사시키는 것이다. 적절한 필터를 사용하여, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여 회절 방사선만이 남게 할 수 있다; 이러한 방식으로, 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다.
- 프로그램가능한 LCD 어레이.
유의되는 바와 같이, 마이크로리소그래피는 IC와 같은 디바이스들의 제조에 있어서 중요한 단계이며, 이때 기판들 상에 형성되는 패턴들이 마이크로프로세서, 메모리 칩 등과 같은 IC들의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이, MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택된 방사선의 파장(현재 대부분의 경우, 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 경험적 분해능 인자이다. 일반적으로, k1이 작을수록, 특정 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정 단계들이 리소그래피 투영 장치 및/또는 디자인 레이아웃에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤 조명 방식(customized illumination scheme)들, 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접성 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 칭함), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로서 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다.
일 예시로서, OPC는 기판 상에 투영된 디자인 레이아웃의 이미지의 최종 크기 및 배치가 단순히 패터닝 디바이스 상의 디자인 레이아웃의 크기 및 배치에만 의존하거나 이와 동일하지 않을 것이라는 사실을 설명한다. 리소그래피 시뮬레이션/최적화에서는 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라 디자인 레이아웃이 물리적 패터닝 디바이스를 나타내는 데 사용될 수 있기 때문에, 당업자라면, 특히 리소그래피 시뮬레이션/최적화와 관련하여 "마스크"/"패터닝 디바이스" 및 "디자인 레이아웃"이라는 용어가 교환가능하게 이용될 수 있다는 것을 인지할 것이다. 일부 디자인 레이아웃에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재 또는 부재에 의해 어느 정도 영향을 받을 것이다. 이 근접 효과들은 한 피처에서 다른 피처로 커플링된 미세한 양의 방사선, 및/또는 회절 및 간섭과 같은 비-기하학적 광학 효과들로부터 일어난다. 이와 유사하게, 근접 효과들은 일반적으로 리소그래피를 따르는 노광후 베이크(PEB), 레지스트 현상, 및 에칭 동안의 확산 및 다른 화학적 영향들로부터 일어날 수 있다.
디자인 레이아웃의 투영 이미지가 주어진 타겟 회로 디자인의 요건들에 부합된다는 것을 보장하기 위해, 정교한 수치 모델들, 디자인 레이아웃의 보정들 또는 전치-왜곡(pre-distortion)들을 이용하여 근접 효과들이 예측되고 보상될 수 있다. 전형적인 고성능(high-end) 디자인에서는, 타겟 디자인에 대한 투영 이미지의 높은 충실도(fidelity)를 달성하기 위해 디자인 레이아웃의 거의 모든 피처가 약간 수정된다. 이 수정들은 라인 폭 또는 에지 위치의 시프팅 또는 편향(biasing), 및 다른 피처들의 투영을 돕도록 의도되는 "어시스트" 피처들의 적용을 포함할 수 있다.
OPC를 적용하는 것은 일반적으로 "정밀 과학"이 아니라, 모든 가능한 근접 효과를 항상 보상하지는 않는 경험적인 반복 공정이다. 그러므로, 패터닝 디바이스 패턴으로 형성되는 디자인 결함들의 가능성을 최소화하기 위해, OPC의 효과, 예를 들어 OPC 및 여하한의 다른 RET의 적용 후 디자인 레이아웃들이 디자인 검사, 즉 캘리브레이션된 수치 공정 모델들을 이용한 집약적인 풀-칩 시뮬레이션(intensive full-chip simulation)에 의해 검증되어야 한다.
OPC 및 풀-칩 RET 검증은 둘 다 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
한 RET는 디자인 레이아웃의 전역적 편향의 조정과 관련된다. 전역적 편향은 기판 상에 프린트되도록 의도된 패턴들과 디자인 레이아웃의 패턴들 간의 차이이다. 예를 들어, 25 nm 직경의 원형 패턴이 디자인 레이아웃의 50 nm 직경 패턴에 의해 또는 디자인 레이아웃의 20 nm 직경 패턴에 의해 하지만 높은 도즈로 기판 상에 프린트될 수 있다.
디자인 레이아웃들 또는 패터닝 디바이스들에 대한 최적화(예를 들어, OPC)에 더하여, 전체 리소그래피 충실도를 개선하려는 노력으로, 패터닝 디바이스 최적화와 함께 또는 개별적으로 조명 소스도 최적화될 수 있다. "조명 소스" 및 "소스"라는 용어들은 본 명세서에서 교환가능하게 사용된다. 알려진 바와 같이, 환형, 쿼드러폴 및 다이폴과 같은 오프-액시스 조명은 패터닝 디바이스에 포함된 미세 구조체들(즉, 타겟 피처들)을 분해하는 증명된 방식이다. 하지만, 종래의 조명 소스에 비해, 오프-액시스 조명 소스는 통상적으로 에어리얼 이미지(AI)에 대해 더 적은 방사선 세기를 제공한다. 따라서, 더 미세한 분해능과 감소된 방사선 세기 간의 최적 밸런스를 달성하도록 조명 소스를 최적화하려는 시도가 바람직해진다.
무수한 조명 소스 최적화 접근법들이 사용될 수 있다. 예를 들어, 소스는 수 개의 구역들로 분할될 수 있고, 이 각각은 퓨필 스펙트럼의 소정 구역에 대응한다. 이때, 소스 분포는 각 소스 구역에서 균일하다고 가정되며, 각 구역의 휘도는 공정 윈도우에 대해 최적화된다. 또 다른 예시에서, 소스 최적화 문제를 일련의 비-음수 최소 제곱 최적화(non-negative least square optimization)들로 전환하는 일루미네이터 픽셀들에 기초한 방법이 사용될 수 있다.
저 k1 포토리소그래피에 대해, 소스 및 패터닝 디바이스 둘의 최적화는 임계 회로 패턴들의 투영을 위한 실행가능한 공정 윈도우를 보장하는 데 유용하다. 몇몇 알고리즘들이 공간 주파수 도메인에서 조명을 독립적인 소스점들로, 그리고 패터닝 디바이스 패턴을 회절 차수들로 분할(discretize)하고, 소스점 세기들 및 패터닝 디바이스 회절 차수들로부터의 광학 이미징 모델들에 의해 예측될 수 있는 노출 관용도(exposure latitude)와 같은 공정 윈도우 메트릭에 기초한 비용 함수(이는 선택된 디자인 변수들의 함수로서 정의됨)를 개별적으로 공식화한다. 본 명세서에서 사용되는 바와 같은 "디자인 변수"라는 용어는 장치 또는 디바이스 제조 공정의 파라미터들의 세트, 예를 들어 리소그래피 장치의 사용자가 조정할 수 있는 파라미터들, 또는 그 파라미터들을 조정함으로써 사용자가 조정할 수 있는 이미지 특성들을 포함한다. 소스, 패터닝 디바이스, 투영 광학기 및/또는 레지스트 특성들을 포함한 디바이스 제조 공정의 여하한의 특성이 최적화에서의 디자인 변수들 사이에 있을 수 있음을 이해하여야 한다. 비용 함수는 흔히 디자인 변수들의 비-선형 함수이다. 이때, 비용 함수를 최소화하기 위해 표준 최적화 기술들이 사용된다.
실행가능한 시간 내에 제약 없이 비용 함수를 이용하여 소스 및 패터닝 디바이스의 동시 최적화를 허용하는 소스 및 패터닝 디바이스(디자인 레이아웃) 최적화 방법 및 시스템이 PCT 특허 출원 공개공보 WO 2010/059954호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다. 소스의 픽셀들을 조정함으로써 소스를 최적화하는 것을 수반하는 또 다른 소스 및 마스크 최적화 방법 및 시스템이 미국 특허 출원 공개공보 2010/0315614호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다.
실시예들은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 디바이스 제조 공정에서 테스트 패턴의 성능의 특성을 얻는 단계;
특성에 기초하여 테스트 패턴이 핫스폿인지를 결정하는 단계; 및
하드웨어 컴퓨터 시스템에 의해, 피처 벡터가 특성을 포함하고 테스트 패턴이 핫스폿인지의 여부인 라벨을 갖는 샘플을 포함하는 트레이닝 세트를 이용하여 기계 학습 모델을 트레이닝하는 단계를 포함하는 방법.
2. 1 항에 있어서, 특성은 디바이스 제조 공정에서의 테스트 패턴의 공정 윈도우를 포함하는 방법.
3. 1 항 또는 2 항에 있어서, 특성은 테스트 패턴의 기하학적 형상의 특성, 테스트 패턴의 픽셀화된 이미지의 밀도 분포, 테스트 패턴의 기능적 분해의 결과, 테스트 패턴의 단편화, 테스트 패턴의 회절 차수 분포, 테스트 패턴의 보썽 곡선, 또는 테스트 패턴의 기하학적 특성을 포함하는 방법.
4. 1 항 내지 3 항 중 어느 한 항에 있어서, 특성을 얻는 단계는 시뮬레이션을 수행하는 단계, 메트롤로지를 수행하는 단계, 또는 경험적 데이터와 특성의 비교를 수행하는 단계를 포함하는 방법.
5. 1 항 내지 4 항 중 어느 한 항에 있어서, 테스트 패턴이 핫스폿인지를 결정하는 단계는 테스트 패턴을 포함하는 패턴들의 그룹의 겹치는 공정 윈도우와 특성을 비교하는 단계를 포함하는 방법.
6. 디바이스 제조 공정에서의 복수의 공정 조건들 하에서 각각 핫스폿의 성능의 특성들의 복수의 세트들을 얻는 단계;
공정 조건들 각각에 대해, 그 공정 조건 하에서의 특성들의 세트에 기초하여, 핫스폿이 결함있는지를 결정하는 단계;
공정 조건들 각각의 특성들을 얻는 단계; 및
하드웨어 컴퓨터 시스템에 의해, 복수의 샘플들을 포함하는 트레이닝 세트를 이용하여 기계 학습 모델을 트레이닝하는 단계
를 포함하고, 샘플들 각각은 공정 조건들 중 하나의 특성들을 포함하는 피처 벡터 및 그 공정 조건 하에서 핫스폿이 결함있는지의 여부를 포함하는 라벨을 갖는 방법.
7. 6 항에 있어서, 공정 조건들 각각의 특성들은 포커스, 도즈, 레티클 맵, 이동 표준 편차(MSD), 또는 화학-기계적 평탄화(CMP) 히트맵을 포함하는 방법.
8. 6 항 또는 7 항에 있어서, 성능의 특성들의 세트들은 각각의 공정 조건 하에서 디바이스 제조 공정에 의해 생성되는 핫스폿의 이미지의 특성을 포함하는 방법.
9. 6 항 내지 8 항 중 어느 한 항에 있어서, 핫스폿이 결함있는지를 결정하는 단계는 핫스폿에 대한 사양과 성능의 특성을 비교하는 단계를 포함하는 방법.
10. 디바이스 제조 공정에서의 복수의 공정 조건들 하에서 각각 복수의 핫스폿들의 성능의 특성들의 복수의 세트들을 각각 얻는 단계;
핫스폿들 각각에 대하여 공정 조건들 각각에 대해, 그 공정 조건 하에서의 특성들에 기초하여, 핫스폿이 결함있는지를 결정하는 단계;
공정 조건들 각각의 특성들을 얻는 단계;
핫스폿들 각각의 특성들을 얻는 단계; 및
하드웨어 컴퓨터 시스템에 의해, 복수의 샘플들을 포함하는 트레이닝 세트를 이용하여 기계 학습 모델을 트레이닝하는 단계
를 포함하고, 샘플들 각각은 공정 조건들 중 하나의 특성들 및 핫스폿들 중 하나의 특성들을 포함하는 피처 벡터를 갖고, 피처 벡터는 그 공정 조건 하에서 핫스폿이 결함있는지의 여부를 포함한 라벨을 포함하는 방법.
11. 10 항에 있어서, 성능의 특성들의 세트들은 각각의 공정 조건들 하에서 디바이스 제조 공정에 의해 생성되는 각각의 핫스폿의 이미지의 특성을 포함하는 방법.
12. 10 항 또는 11 항에 있어서, 성능의 특성들의 세트들을 얻는 단계는 시뮬레이션을 수행하는 단계, 메트롤로지를 수행하는 단계, 또는 경험적 데이터와 성능의 특성들의 비교를 수행하는 단계를 포함하는 방법.
13. 10 항 내지 12 항 중 어느 한 항에 있어서, 핫스폿이 결함있는지를 결정하는 단계는 그 핫스폿에 대한 사양과 그 핫스폿의 성능의 특성을 비교하는 단계를 포함하는 방법.
14. 10 항 내지 13 항 중 어느 한 항에 있어서, 공정 조건들 각각의 특성들은 포커스, 도즈, 레티클 맵, 이동 표준 편차(MSD), 또는 화학-기계적 평탄화(CMP) 히트맵을 포함하는 방법.
15. 10 항 내지 14 항 중 어느 한 항에 있어서, 핫스폿의 특성들은 핫스폿의 기하학적 형상의 특성, 핫스폿의 픽셀화된 이미지의 밀도 분포, 핫스폿의 기능적 분해의 결과, 핫스폿의 단편화, 핫스폿의 회절 차수 분포, 핫스폿에 대한 보썽 곡선, 또는 핫스폿의 기하학적 특성을 포함하는 방법.
16. 하드웨어 컴퓨터 시스템에 의해, 핫스폿들의 1 이상의 특성, 시뮬레이션 모델 및 1 이상의 공정 조건들에 기초하여, 디자인 레이아웃 내의 핫스폿들의 메트롤로지 데이터를 시뮬레이션하는 단계;
핫스폿들의 1 이상의 특성 및 처음 시뮬레이션된 메트롤로지 데이터에 기초하여, 1 이상의 클러스터로 핫스폿들을 클러스터링하는 단계; 및
1 이상의 클러스터로부터 각각 대표들을 선택하는 단계를 포함하는 방법.
17. 16 항에 있어서, 클러스터들 각각의 대표는 그 클러스터 내에서 결함있을 가능성이 가장 높은 핫스폿인 방법.
18. 16 항 또는 17 항에 있어서,
공정 조건 하에서 대표들이 제작 공정을 겪게 함으로써 대표들로부터 기판 상에 구조체들을 형성하는 단계;
기판 상의 구조체들로부터 메트롤로지 데이터를 얻는 단계; 및
공정 조건 및 메트롤로지 데이터에 기초하여, 시뮬레이션 모델의 1 이상의 파라미터를 조절함으로써 개선된 시뮬레이션 모델을 얻는 단계를 더 포함하는 방법.
19. 18 항에 있어서,
패턴들의 1 이상의 특성, 개선된 시뮬레이션 모델 및 1 이상의 공정 조건들에 기초하여, 패턴들의 그룹의 메트롤로지 데이터를 추가로 시뮬레이션하는 단계;
패턴들의 실험적 메트롤로지 데이터를 얻는 단계;
실험적 메트롤로지 데이터에 기초하여 패턴들이 여하한의 결함을 갖는지를 결정하는 단계; 및
하드웨어 컴퓨터에 의해, 패턴들이 여하한의 결함을 갖는지의 여부 및 추가로 시뮬레이션된 메트롤로지 데이터를 포함하는 트레이닝 세트를 이용하여 분류 모델을 트레이닝하는 단계를 더 포함하는 방법.
20. 명령어들이 기록되어 있는 컴퓨터 판독가능한 매체를 포함하고, 명령어들은 컴퓨터에 의해 실행되는 경우에 1 항 내지 19 항 중 어느 한 항의 방법을 구현하는 컴퓨터 프로그램 제품.
본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처 및 카타디옵트릭 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 투영 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
이상, 광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명의 일 실시예는 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트가 경화된 후에 그 안에 패턴을 남기는 레지스트로부터 이동된다. 따라서, 임프린트 기술을 이용하는 리소그래피 장치는 통상적으로 임프린트 템플릿(imprint template)을 유지하는 템플릿 홀더, 기판을 유지하는 기판 테이블, 및 임프린트 템플릿의 패턴이 기판의 층 상으로 임프린트될 수 있도록 기판과 임프린트 템플릿 간의 상대 이동을 야기하는 1 이상의 액추에이터를 포함한다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 디바이스 제조 공정에서 테스트 패턴(test pattern)의 성능의 특성을 얻는 단계;
    상기 특성에 기초하여 상기 테스트 패턴이 핫스폿(hot spot)인지를 결정하는 단계; 및
    하드웨어 컴퓨터 시스템에 의해, 상기 특성을 포함하는 피처 벡터(feature vector) 및 상기 테스트 패턴이 핫스폿인지의 여부인 라벨을 갖는 샘플을 포함하는 트레이닝 세트(training set)를 이용하여 기계 학습 모델(machine learning model)을 트레이닝하는 단계
    를 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  2. 제 1 항에 있어서,
    상기 특성은 상기 디바이스 제조 공정에서의 상기 테스트 패턴의 공정 윈도우(process window)를 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  3. 제 1 항에 있어서,
    상기 특성은 상기 테스트 패턴의 기하학적 형상의 특성, 상기 테스트 패턴의 픽셀화된 이미지(pixelated image)의 밀도 분포, 상기 테스트 패턴의 기능적 분해(functional decomposition)의 결과, 상기 테스트 패턴의 단편화(fragmentation), 상기 테스트 패턴의 회절 차수 분포, 상기 테스트 패턴의 보썽 곡선(Bossung curve), 또는 상기 테스트 패턴의 기하학적 특성을 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  4. 제 1 항에 있어서,
    상기 특성을 얻는 단계는 시뮬레이션을 수행하는 단계, 메트롤로지를 수행하는 단계, 또는 경험적 데이터와 상기 특성의 비교를 수행하는 단계를 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  5. 제 1 항에 있어서,
    상기 테스트 패턴이 핫스폿인지를 결정하는 단계는 상기 테스트 패턴을 포함하는 패턴들의 그룹의 겹치는(overlapping) 공정 윈도우와 상기 특성을 비교하는 단계를 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  6. 디바이스 제조 공정에서의 복수의 공정 조건들 하에서 각각 핫스폿의 성능의 특성들의 복수의 세트들을 얻는 단계;
    상기 공정 조건들 각각에 대해, 그 공정 조건 하에서의 특성들의 세트에 기초하여, 상기 핫스폿이 결함있는지를 결정하는 단계;
    상기 공정 조건들 각각의 특성들을 얻는 단계; 및
    하드웨어 컴퓨터 시스템에 의해, 복수의 샘플들을 포함하는 트레이닝 세트를 이용하여 기계 학습 모델을 트레이닝하는 단계
    를 포함하고, 상기 샘플들 각각은 상기 공정 조건들 중 하나의 특성들을 포함하는 피처 벡터 및 그 공정 조건 하에서 상기 핫스폿이 결함있는지의 여부를 포함하는 라벨을 갖는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  7. 제 6 항에 있어서,
    상기 공정 조건들 각각의 특성들은 포커스, 도즈, 레티클 맵, 이동 표준 편차(moving standard deviation: MSD), 또는 화학-기계적 평탄화(CMP) 히트맵(heat map)을 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  8. 제 6 항에 있어서,
    상기 성능의 특성들의 세트들은 각각의 공정 조건 하에서 상기 디바이스 제조 공정에 의해 생성되는 상기 핫스폿의 이미지의 특성을 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  9. 제 6 항에 있어서,
    상기 핫스폿이 결함있는지를 결정하는 단계는 상기 핫스폿에 대한 사양(specification)과 성능의 특성을 비교하는 단계를 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  10. 디바이스 제조 공정에서의 복수의 공정 조건들 하에서 각각 복수의 핫스폿들의 성능의 특성들의 복수의 세트들을 각각 얻는 단계;
    상기 핫스폿들 각각에 대하여 상기 공정 조건들 각각에 대해, 그 공정 조건 하에서의 특성들에 기초하여, 그 핫스폿이 결함있는지를 결정하는 단계;
    상기 공정 조건들 각각의 특성들을 얻는 단계;
    상기 핫스폿들 각각의 특성들을 얻는 단계; 및
    하드웨어 컴퓨터 시스템에 의해, 복수의 샘플들을 포함하는 트레이닝 세트를 이용하여 기계 학습 모델을 트레이닝하는 단계
    를 포함하고, 상기 샘플들 각각은 상기 공정 조건들 중 하나의 특성들 및 상기 핫스폿들 중 하나의 특성들을 포함한 피처 벡터를 갖고, 상기 피처 벡터는 그 공정 조건 하에서 그 핫스폿이 결함있는지의 여부를 포함한 라벨을 더 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  11. 제 10 항에 있어서,
    상기 성능의 특성들의 세트들은 각각의 공정 조건들 하에서 상기 디바이스 제조 공정에 의해 생성되는 각각의 핫스폿의 이미지의 특성을 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  12. 제 10 항에 있어서,
    성능의 특성들의 세트들을 얻는 단계는 시뮬레이션을 수행하는 단계, 메트롤로지를 수행하는 단계, 또는 경험적 데이터와 성능의 특성들의 비교를 수행하는 단계를 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  13. 제 10 항에 있어서,
    상기 공정 조건들 각각의 특성들은 포커스, 도즈, 레티클 맵, 이동 표준 편차(MSD), 또는 화학-기계적 평탄화(CMP) 히트맵을 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  14. 제 10 항에 있어서,
    상기 핫스폿의 특성들은 상기 핫스폿의 기하학적 형상의 특성, 상기 핫스폿의 픽셀화된 이미지의 밀도 분포, 상기 핫스폿의 기능적 분해의 결과, 상기 핫스폿의 단편화, 상기 핫스폿의 회절 차수 분포, 상기 핫스폿에 대한 보썽 곡선, 또는 상기 핫스폿의 기하학적 특성을 포함하는 기계 학습 모델을 이용하여 핫스폿을 식별하는 방법.
  15. 명령어들이 기록되어 있는 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램에 있어서,
    상기 명령어들은 컴퓨터에 의해 실행되는 경우에 제 1 항, 제 6 항, 및 제 10 항 중 어느 한 항에 따른 방법을 구현하는 컴퓨터 프로그램.
KR1020187035952A 2016-05-12 2017-04-20 기계 학습에 의한 결함 또는 핫스폿의 식별 KR102188014B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020207034578A KR102376200B1 (ko) 2016-05-12 2017-04-20 기계 학습에 의한 결함 또는 핫스폿의 식별

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662335544P 2016-05-12 2016-05-12
US62/335,544 2016-05-12
PCT/EP2017/059328 WO2017194281A1 (en) 2016-05-12 2017-04-20 Identification of hot spots or defects by machine learning

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207034578A Division KR102376200B1 (ko) 2016-05-12 2017-04-20 기계 학습에 의한 결함 또는 핫스폿의 식별

Publications (2)

Publication Number Publication Date
KR20190006188A KR20190006188A (ko) 2019-01-17
KR102188014B1 true KR102188014B1 (ko) 2020-12-08

Family

ID=58640843

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187035952A KR102188014B1 (ko) 2016-05-12 2017-04-20 기계 학습에 의한 결함 또는 핫스폿의 식별
KR1020207034578A KR102376200B1 (ko) 2016-05-12 2017-04-20 기계 학습에 의한 결함 또는 핫스폿의 식별

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020207034578A KR102376200B1 (ko) 2016-05-12 2017-04-20 기계 학습에 의한 결함 또는 핫스폿의 식별

Country Status (4)

Country Link
US (2) US11443083B2 (ko)
KR (2) KR102188014B1 (ko)
TW (1) TWI639887B (ko)
WO (1) WO2017194281A1 (ko)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10514614B2 (en) * 2015-02-13 2019-12-24 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10445452B2 (en) * 2017-10-04 2019-10-15 Mentor Graphics Corporation Simulation-assisted wafer rework determination
US10691864B2 (en) * 2017-11-14 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of post optical proximity correction (OPC) printing verification by machine learning
WO2019115426A1 (en) * 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
US10664966B2 (en) 2018-01-25 2020-05-26 International Business Machines Corporation Anomaly detection using image-based physical characterization
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
US10679066B2 (en) * 2018-03-22 2020-06-09 General Electric Company Best image grab from video with digital grid assistance for aviation engine borescope inspection
US10509328B2 (en) * 2018-04-27 2019-12-17 Applied Materials, Inc. Fabrication and use of dose maps and feature size maps during substrate processing
RU2686257C1 (ru) * 2018-04-27 2019-04-24 Ационерное общество "РОТЕК" (АО "РОТЕК") Способ и система удалённой идентификации и прогнозирования развития зарождающихся дефектов объектов
DE102018207880A1 (de) * 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
US10713769B2 (en) 2018-06-05 2020-07-14 Kla-Tencor Corp. Active learning for defect classifier training
US11288065B2 (en) 2018-07-02 2022-03-29 International Business Machines Corporation Devops driven cognitive cost function for software defect prediction
CN112424826A (zh) 2018-07-13 2021-02-26 Asml荷兰有限公司 基于机器学习的图案分组方法
WO2020044178A1 (en) * 2018-08-27 2020-03-05 3M Innovative Properties Company Learning framework for robotic paint repair
US10706205B2 (en) 2018-10-22 2020-07-07 International Business Machines Corporation Detecting hotspots in physical design layout patterns utilizing hotspot detection model with data augmentation
US20220028052A1 (en) * 2018-12-14 2022-01-27 Asml Netherlands B.V. Apparatus and method for grouping image patterns to determine wafer behavior in a patterning process
EP3705944A1 (en) * 2019-03-06 2020-09-09 ASML Netherlands B.V. Extracting a feature from a data set
IL287677B2 (en) * 2019-04-28 2024-06-01 נובה בע מ Manufacturing a semiconductor device with in-line detection for a sensitive area
KR20220010768A (ko) * 2019-05-20 2022-01-26 시놉시스, 인크. 머신 러닝 기반 인코딩을 이용한 전자 회로 레이아웃에서의 패턴들의 분류
WO2020250373A1 (ja) * 2019-06-13 2020-12-17 株式会社日立ハイテク 画像処理プログラム、画像処理装置および画像処理方法
US20210064977A1 (en) * 2019-08-29 2021-03-04 Synopsys, Inc. Neural network based mask synthesis for integrated circuits
KR20220038167A (ko) * 2019-08-30 2022-03-25 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스 지오메트리 방법 및 시스템
US10885259B2 (en) * 2019-08-30 2021-01-05 Intel Corporation Random forest model for prediction of chip layout attributes
DE112020004392T5 (de) * 2019-09-20 2022-06-02 Shibaura Machine Co., Ltd. Additives Fertigungssystem
US11842472B2 (en) 2020-03-31 2023-12-12 International Business Machines Corporation Object defect correction
US11443095B2 (en) 2020-07-10 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Hotspot avoidance method for manufacturing integrated circuits
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
KR20220019894A (ko) * 2020-08-10 2022-02-18 삼성전자주식회사 반도체 공정의 시뮬레이션 방법 및 반도체 장치의 제조 방법
CN112578646B (zh) * 2020-12-11 2022-10-14 上海集成电路装备材料产业创新中心有限公司 一种基于图像的离线的光刻工艺稳定性控制方法
US11562118B2 (en) 2021-01-04 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Hard-to-fix (HTF) design rule check (DRC) violations prediction
CN112949749B (zh) * 2021-03-25 2023-08-25 辽宁科技大学 一种面向不平衡钢卷外形缺陷数据的分类方法
WO2023280511A1 (en) * 2021-07-06 2023-01-12 Asml Netherlands B.V. Determining localized image prediction errors to improve a machine learning model in predicting an image
CN113658125B (zh) * 2021-08-11 2024-02-23 全芯智造技术有限公司 用于评估版图热点的方法、设备和存储介质
EP4148520A1 (en) 2021-08-27 2023-03-15 EXFO Inc. Early detection of quality control test failures for manufacturing end-to-end testing optimization
CN114663671B (zh) * 2022-02-21 2023-07-18 佳都科技集团股份有限公司 一种目标检测方法、装置、设备及存储介质

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150227654A1 (en) * 2014-02-12 2015-08-13 Asml Netherlands B.V. Process window optimizer
JP2015201055A (ja) * 2014-04-08 2015-11-12 富士通株式会社 欠陥箇所予測装置、欠陥箇所予測プログラムおよび欠陥箇所予測方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7676077B2 (en) * 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
WO2007120280A2 (en) * 2005-11-18 2007-10-25 Kla-Tencor Technologies Corporation Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
JP5081590B2 (ja) * 2007-11-14 2012-11-28 株式会社日立ハイテクノロジーズ 欠陥観察分類方法及びその装置
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
US9140998B2 (en) * 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US8402397B2 (en) * 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
US9098891B2 (en) * 2013-04-08 2015-08-04 Kla-Tencor Corp. Adaptive sampling for semiconductor inspection recipe creation, defect review, and metrology
US20140358830A1 (en) * 2013-05-30 2014-12-04 Synopsys, Inc. Lithographic hotspot detection using multiple machine learning kernels
CN105849643B (zh) * 2013-12-17 2019-07-19 Asml荷兰有限公司 良品率估计和控制
WO2015189026A2 (en) * 2014-06-10 2015-12-17 Asml Netherlands B.V. Computational wafer inspection
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150227654A1 (en) * 2014-02-12 2015-08-13 Asml Netherlands B.V. Process window optimizer
JP2015201055A (ja) * 2014-04-08 2015-11-12 富士通株式会社 欠陥箇所予測装置、欠陥箇所予測プログラムおよび欠陥箇所予測方法

Also Published As

Publication number Publication date
US20190147127A1 (en) 2019-05-16
KR20200139840A (ko) 2020-12-14
US11443083B2 (en) 2022-09-13
TW201741762A (zh) 2017-12-01
KR102376200B1 (ko) 2022-03-18
US20220277116A1 (en) 2022-09-01
TWI639887B (zh) 2018-11-01
KR20190006188A (ko) 2019-01-17
WO2017194281A1 (en) 2017-11-16

Similar Documents

Publication Publication Date Title
KR102188014B1 (ko) 기계 학습에 의한 결함 또는 핫스폿의 식별
US11119414B2 (en) Yield estimation and control
US20210271172A1 (en) Methods of determining process models by machine learning
US11029605B2 (en) Optimization based on machine learning
TWI681250B (zh) 獲得輔助特徵之特性的方法及電腦程式產品
KR102048918B1 (ko) 기계 학습에 의한 피처 검색
KR20210127984A (ko) 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법
US20230230208A1 (en) Apparatus and methods for generating denoising model
WO2022078740A1 (en) Apparatus and methods to generate deblurring model and deblur image

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant