TW201741762A - 藉由機器學習之熱點或缺陷辨識 - Google Patents

藉由機器學習之熱點或缺陷辨識 Download PDF

Info

Publication number
TW201741762A
TW201741762A TW106115229A TW106115229A TW201741762A TW 201741762 A TW201741762 A TW 201741762A TW 106115229 A TW106115229 A TW 106115229A TW 106115229 A TW106115229 A TW 106115229A TW 201741762 A TW201741762 A TW 201741762A
Authority
TW
Taiwan
Prior art keywords
hot spot
processing program
characteristic
conditions
hotspots
Prior art date
Application number
TW106115229A
Other languages
English (en)
Other versions
TWI639887B (zh
Inventor
Jing Su
Yi Zou
Chen Xi Lin
Stefan Hunsche
Marinus Jochemsen
Yen Wen Lu
Lin Lee Cheong
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW201741762A publication Critical patent/TW201741762A/zh
Application granted granted Critical
Publication of TWI639887B publication Critical patent/TWI639887B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/28Determining representative reference patterns, e.g. by averaging or distorting; Generating dictionaries
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/772Determining representative reference patterns, e.g. averaging or distorting patterns; Generating dictionaries
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Artificial Intelligence (AREA)
  • Data Mining & Analysis (AREA)
  • Software Systems (AREA)
  • Quality & Reliability (AREA)
  • Geometry (AREA)
  • Computing Systems (AREA)
  • Medical Informatics (AREA)
  • Multimedia (AREA)
  • General Health & Medical Sciences (AREA)
  • Databases & Information Systems (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本文中揭示使用一機器學習模型自一設計佈局辨識一熱點或預測一設計佈局中之一圖案是否有缺陷的各種方法。本文中所揭示之一實例方法包括:獲得熱點分別在一器件製造程序中分別在複數個處理程序條件下之效能特性的集合;針對該等處理程序條件中之每一者,針對該等熱點中之每一者,基於彼處理程序條件下之該等特性來判定彼熱點是否有缺陷;獲得該等處理程序條件中之每一者的特性;獲得該等熱點中之每一者的特性;及使用一訓練集來訓練一機器學習模型,該訓練集包含該等處理程序條件中之每一者的該等特性、該等熱點中之每一者的該等特性及彼熱點在彼處理程序條件下是否有缺陷。

Description

藉由機器學習之熱點或缺陷辨識
本文中之描述係關於微影裝置及方法,且更特定言之,係關於一種用以預測熱點及缺陷之工具及方法。
製造器件(諸如半導體器件)通常涉及使用數個圖案化程序及圖案化裝置處理基板(例如,半導體晶圓)以形成器件之各種特徵及多個層。通常使用(例如)沈積、微影、蝕刻、化學機械拋光及離子植入來圖案化此等層及特徵。可在一基板上之複數個晶粒上圖案化多個器件,且接著將其分離成個別器件。圖案化程序可涉及使用圖案化裝置之圖案化步驟(諸如使用微影裝置之光學及/或奈米壓印微影)以在基板上提供圖案,且通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影裝置之抗蝕劑顯影、使用烘烤工具烘烤基板、使用蝕刻裝置使用圖案蝕刻,等等。此外,在圖案化程序中可涉及一或多個度量衡程序。 在圖案化程序期間在各種步驟下使用度量衡程序以監視及控制該程序。舉例而言,度量衡程序係用以量測基板之一或多個特性,諸如,在圖案化程序期間形成於基板上之特徵之相對部位(例如,對齊、疊對、對準等等)或尺寸(例如,線寬、臨界尺寸(CD)、厚度等等),使得(例如)可自該一或多個特性判定圖案化程序之效能。若該一或多個特性係不可接受的(例如,在用於該(該等)特性之預定範圍外),則該一或多個特性之量測可用以變更圖案化程序之一或多個參數使得藉由該圖案化程序製造之另外基板具有可接受的特性。 微影裝置可用於(例如)圖案化程序中以用於製造積體電路(IC)或其他器件。在此狀況下,圖案化器件(例如,光罩)可含有或提供對應於器件之個別層的電路圖案(「設計佈局」),且可藉由諸如經由圖案化器件上之電路圖案而輻照已塗佈有輻射敏感材料(「抗蝕劑」)層之基板(例如,矽晶圓)上之目標部分(例如,包含一或多個晶粒)的方法將此電路圖案轉印至該目標部分上。一般而言,單一基板含有複數個鄰近目標部分,電路圖案係由微影裝置順次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型之微影裝置中,將整個圖案化器件上之電路圖案一次性轉印至一個目標部分上;此裝置通常被稱作晶圓步進器(wafer stepper)。在通常被稱作步進掃描裝置(step-and-scan apparatus)之替代裝置中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化器件進行掃描,同時平行或反平行於此參考方向而同步地移動基板。圖案化器件上之電路圖案之不同部分漸進地被轉印至一個目標部分。一般而言,由於微影裝置將具有縮減比M (例如,4),因此基板移動之速度F將為因數1/M乘以投影光束掃描圖案化器件之速度。 在將電路圖案自圖案化器件轉印至基板之前,基板可經歷各種程序,諸如,上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他程序,諸如,曝光後烘烤(post-exposure bake;PEB)、顯影、硬烘烤,及經轉印電路圖案之量測/檢測。此程序陣列係用作製作一器件(例如,IC)之個別層的基礎。基板接著可經歷各種程序,諸如,蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械拋光等等,該等程序皆意欲精整器件之個別層。若在器件中需要若干層,則針對每一層來重複整個程序或其變體。最終,在基板上之每一目標部分中將存在一器件。接著藉由諸如切塊或鋸切之技術來使此等器件彼此分離,據此,可將個別器件安裝於載體上、連接至銷釘,等等。 如所提及,微影蝕刻術(microlithography)為在IC之製造時的中心步驟,其中形成於基板上之圖案界定IC之功能元件,諸如微處理器、記憶體晶片,等等。相似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他器件。
本文中揭示一種方法,其包含:獲得一器件製造程序中之一測試圖案之效能的一特性;基於該特性來判定該測試圖案是否係一熱點;及藉由一硬體電腦系統,使用包含一樣本之一訓練集來訓練一機器學習模型,該樣本之特徵向量包含該特性且該樣本之標籤係該測試圖案是否係一熱點。 根據一實施例,該特性包含該器件製造程序中之該測試圖案的一製程窗。根據一實施例,該特性包含該測試圖案之幾何形狀的一特性、該測試圖案之一像素化影像的一密度分佈、該測試圖案之函數分解的一結果、該測試圖案之分裂、該測試圖案之繞射階分佈、該測試圖案之一伯桑(Bossung)曲線,或該測試圖案之一幾何特性。根據一實施例,獲得該特性包含執行一模擬、執行度量衡,或執行該特性與經驗資料之比較。根據一實施例,判定該測試圖案是否係一熱點包含比較該特性與包含該測試圖案之一圖案群組的一重疊製程窗。 本文中揭示一種方法,其包含:獲得一熱點在一器件製造程序中分別在複數個處理程序條件下之效能特性的複數個集合;針對該等處理程序條件中之每一者,基於彼處理程序條件下之該特性集合來判定該熱點是否有缺陷;獲得該等處理程序條件中之每一者的特性;及藉由一硬體電腦系統,使用包含複數個樣本之一訓練集來訓練一機器學習模型,其中該等樣本中之每一者具有包含該等處理程序條件中之每一者之特性的一特徵向量及包含該熱點在彼處理程序條件下是否有缺陷的一標籤。 根據一實施例,該等處理程序條件中之每一者的該等特性包含焦點、劑量、一倍縮光罩圖、移動標準偏差(MSD)或一化學機械平坦化(CMP)熱圖。根據一實施例,該等效能特性之該等集合包含藉由該器件製造程序在該各別處理程序條件下所產生的該熱點之一影像的一特性。根據一實施例,判定該熱點是否有缺陷包含比較一效能特性與該熱點之一規格。 本文中揭示一種方法,其包含:獲得複數個熱點分別在一器件製造程序中分別在複數個處理程序條件下之效能特性的複數個集合;針對該等處理程序條件中之每一者,針對該等熱點中之每一者,基於彼處理程序條件下之該等特性來判定彼熱點是否有缺陷;獲得該等處理程序條件中之每一者的特性;獲得該等熱點中之每一者的特性;及藉由一硬體電腦系統,使用包含複數個樣本之一訓練集來訓練一機器學習模型,其中該樣本中之每一者具有包含該等處理程序條件中之每一者的該等特性及該等熱點中之每一者的該等特性的一特徵向量,該特徵向量進一步包含一標籤,該標籤包含彼熱點在彼處理程序條件下是否有缺陷。 根據一實施例,該效能之該等特性的該等集合包含藉由該器件製造程序在該等各別處理程序條件下所產生的該各別熱點之一影像的一特性。根據一實施例,獲得效能特性之該等集合包含執行模擬、執行度量衡,或執行效能特性與經驗資料之比較。根據一實施例,判定該熱點是否有缺陷包含比較彼熱點之一效能特性與彼熱點之一規格。根據一實施例,該等處理程序條件中之每一者的該等特性包含焦點、劑量、一倍縮光罩圖、移動標準偏差(MSD)或一化學機械平坦化(CMP)熱圖。根據一實施例,該熱點之該等特性包含該熱點之幾何形狀的一特性、該熱點之一像素化影像的一密度分佈、該熱點之函數分解的一結果、該熱點之分裂、該熱點之繞射階分佈、該熱點之一伯桑曲線,或該熱點之一幾何特性。 本文中揭示一種方法,其包含:藉由一硬體電腦系統,基於該等熱點之一或多個特性、一模擬模型及一或多個處理程序條件而模擬一設計佈局中之熱點的度量衡資料;基於該等熱點之一或多個特性及該第一模擬度量衡資料而將該等熱點集結成一或多個叢集;及分別自該一或多個叢集選擇代表。 根據一實施例,該等叢集中之每一者的代表係彼叢集內最可能有缺陷的一熱點。根據一實施例,該方法進一步包含:藉由使該等代表在一處理程序條件下經受一製造程序,由該等代表形成一基板上之結構;自該基板上之該等結構獲得度量衡資料;及藉由基於該處理程序條件及該度量衡資料來調諧該模擬模型之一或多個參數而獲得一經改進模擬模型。根據一實施例,該方法進一步包含:基於圖案之一或多個特性、該經改進模擬模型及一或多個處理程序條件,模擬該等圖案之一群組的另外度量衡資料;獲得該等圖案之實驗性度量衡資料;基於該實驗性度量衡資料來判定該等圖案是否具有任何缺陷;及藉由一硬體電腦,使用一訓練集來訓練一分類模型,該訓練集包含該另外模擬度量衡資料及該等圖案是否具有任何缺陷。 本文中揭示一種電腦程式產品,其包含一電腦可讀媒體,該電腦可讀媒體上記錄有指令,該等指令在由一電腦執行時實施本文中之任何方法。
儘管在本文中可特定地參考IC製造,但應明確理解,本文中之描述具有許多其他可能應用。舉例而言,其可用來製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,本文對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應被視為分別與更一般術語「光罩」、「基板」及「目標部分」可互換。 在本文件中,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如,具有365奈米、248奈米、193奈米、157奈米或126奈米之波長),及極紫外線輻射(EUV,例如,具有在5奈米至20奈米之範圍內的波長)。 如本文中所使用之術語「最佳化(optimizing/optimization)」意謂調整圖案程序參數(例如,微影投影裝置參數),使得(例如,微影之)器件製作結果及/或程序具有一或多個合乎需要特性,諸如設計佈局投影於基板上之較高準確度、較大製程窗等等。 作為簡要介紹,圖1說明例示性微影投影裝置10A。主要組件包括:照明光學件,其定義部分相干性(表示為均方偏差),且可包括:塑形來自輻射源12A之輻射的光學件14A、16Aa及16Ab,該輻射源可為深紫外線準分子雷射源或包括極紫外線(EUV)源的其他類型之源(如本文中所論述,微影投影裝置自身無需具有輻射源);及光學件16Ac,其將圖案化器件18A之圖案化器件圖案的影像投影至基板平面22A上。投影光學件之光瞳平面處的可調整濾光器或孔隙20A可限定照射於基板平面22A上之光束角度的範圍,其中最大可能角度定義投影光學件之數值孔徑NA=sin(Θmax)。 在微影投影裝置中,投影光學件經由圖案化器件而引導來自源之照明且將該照明引導至基板上且塑形該照明。此處,術語「投影光學件」被廣泛地定義為包括可變更輻射束的波前之任何光學組件。舉例而言,投影光學件可包括組件14A、16Aa、16Ab及16Ac中之至少一些。空中影像(AI)為基板位階處之輻射強度分佈。曝光基板上之抗蝕劑層,且將空中影像轉印至抗蝕劑層以在其中作為潛伏「抗蝕劑影像」(RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑的溶解度之空間分佈。可使用抗蝕劑模型以根據空中影像演算抗蝕劑影像,可在全部揭示內容特此以引用方式併入本文中之美國專利申請公開案第US 2009-0157630號找到此情形之實例。抗蝕劑模型僅與抗蝕劑層之屬性(例如,在曝光、曝光後烘烤(PEB)及顯影期間發生的化學程序之效應)有關。微影投影裝置之光學屬性(例如,源、圖案化器件及投影光學件之屬性)規定空中影像且可經定義於光學模型中。因為可改變用於微影投影裝置中之圖案化器件,所以需要使圖案化器件之光學屬性與至少包括源及投影光學件的微影投影裝置之其餘部分之光學屬性分離。 如圖2中所展示,微影裝置LA可形成微影製造單元LC (其有時亦被稱作微影製造單元或微影製造叢集)之部分,微影製造單元LC亦包括用以在基板上執行一或多個曝光前程序及曝光後程序之裝置。習知地,此等裝置包括用以沈積抗蝕劑層之一或多個旋塗器SC、用以顯影經曝光抗蝕劑之一或多個顯影器DE、一或多個冷卻板CH及一或多個烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板、在不同程序器件之間移動基板,且將基板遞送至微影裝置之裝載匣LB。常常統稱為塗佈顯影系統(track)之此等器件係在塗佈顯影系統控制單元TCU之控制下,塗佈顯影系統控制單元TCU自身受到監督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU控制微影裝置。因此,不同設備可經操作以使產出率及處理效率最大化。微影製造單元LC可進一步包含用以蝕刻基板之一或多個蝕刻器,及經組態以量測基板之參數之一或多個量測器件。量測器件可包含經組態以量測基板之實體參數之光學量測器件,諸如,散射計、掃描電子顯微鏡等等。量測器件可併入於微影裝置LA中。本發明之一實施例可在監督控制系統SCS及/或微影控制單元LACU中或運用監督控制系統SCS及/或微影控制單元LACU予以實施。舉例而言,來自監督控制系統SCS及/或微影控制單元LACU之資料可由本發明之一實施例使用,且可將來自本發明之一實施例之一或多個信號提供至監督控制系統SCS及/或微影控制單元LACU。 圖3示意性地描繪預測器件製造程序中之缺陷或熱點之方法。缺陷可為系統性缺陷,諸如頸縮、線拉回、線薄化、超出規格CD、重疊及/或橋接;缺陷還可為隨機缺陷,諸如由諸如灰塵粒子之粒子之沈積造成的缺陷。可預測及控制系統性缺陷。缺陷可處於抗蝕劑影像或蝕刻影像(亦即,藉由在其上使用抗蝕劑進行蝕刻以作為光罩而轉印至基板層的圖案)中。熱點係如下文予以解釋之製程窗限制圖案。可使用計算或經驗模型213來預測缺陷或熱點214 (例如,預測其存在、部位、類型、形狀等等)。模型213可考量器件製造程序之一或多個參數211 (其亦被稱作程序參數)及/或一或多個佈局(例如,光罩設計圖案之佈局)參數212。該一或多個程序參數211係與器件製造程序相關聯而不與佈局相關聯的參數。舉例而言,該一或多個程序參數211可包括源之特性(例如,強度、光瞳剖面等等)、投影光學件之特性、劑量、焦點、抗蝕劑之特性、抗蝕劑之顯影特性、抗蝕劑之曝光後烘烤特性,及/或蝕刻之特性。該一或多個佈局參數212可包括佈局上之一或多個各種特徵的形狀、大小、相對部位及/或絕對部位,以及不同佈局上之特徵的重疊。在經驗模型中,影像(例如,抗蝕劑影像、蝕刻影像)未經模擬;替代地,經驗模型基於輸入與一或多個缺陷或熱點之間的一或多個相關性而預測該一或多個缺陷或熱點。在計算模型中,計算影像之部分或特性,且基於該部分或該特性辨識該一或多個缺陷或熱點。舉例而言,可藉由尋找太遠離線之所要部位之線末端來辨識線拉回缺陷,及/或可藉由尋找兩個線不當地接合之部位來辨識橋接缺陷。 圖案化器件上之各種圖案可分別具有不同製程窗(亦即,將在規格內產生圖案所根據之處理參數的空間)。關於潛在系統性缺陷之圖案規格之實例包括檢查頸縮、線拉回、線薄化、超出規格CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及/或橋接。可藉由合併每一個別圖案之製程窗(例如,使該等製程窗重疊)來獲得圖案化器件或其區域上之所有圖案之製程窗。圖案化器件或其區域上之所有圖案的製程窗因此可被稱為重疊製程窗(OPW)。OPW之界限含有一些個別圖案之製程窗的界限。換言之,此等個別圖案限制OPW。此等圖案可被稱作「熱點」或「製程窗限制圖案(PWLP)」,「熱點」與「製程窗限制圖案(PWLP)」在本文中可互換地使用。當控制器件製造程序時,有可能集中於熱點且集中於熱點係低成本的。當熱點並未有缺陷時,最有可能的是,所有圖案未有缺陷。 圖4說明例示性計算模型。源模型31表示源之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學件模型32表示投影光學件之光學特性(包括由投影光學件引起的對輻射強度分佈及/或相位分佈之改變)。設計佈局模型35表示設計佈局之光學特性(包括由給定設計佈局造成的對輻射強度分佈及/或相位分佈之改變),該設計佈局為在圖案化器件上或由圖案化器件形成之特徵之配置的表示。可自源模型31、投影光學件模型32及設計佈局模型35模擬空中影像36。可自空中影像36使用抗蝕劑及/或蝕刻模型37來模擬抗蝕劑及/或蝕刻影像38。微影之模擬可(例如)預測影像中之輪廓及/或CD。 更特定言之,應注意,源模型31可表示源之光學特性,該等光學特性包括但不限於均方偏差(σ)設定,以及任何特定照明源形狀(例如,離軸輻射源,諸如,環形、四極及偶極等等)。投影光學器件模型32可表示投影光學器件之光學特性,該等光學特性包括像差、失真、折射率、實體大小、實體尺寸等等。設計佈局模型35可表示實體圖案化器件之實體屬性,如(例如)全文以引用方式併入本文中之美國專利第7,587,704號所描述。模擬之目標係準確地預測(例如)邊緣置放、空中影像強度斜率及CD,可接著將該等邊緣置放、空中影像強度斜率及CD與預期設計進行比較。預期設計通常被定義為可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式而提供之預OPC設計佈局。 經驗模型之實例係機器學習模型。不受監督機器學習模型及受監督機器學習模型兩者皆可用以預測一或多個缺陷或熱點。在不限制申請專利範圍之範疇的情況下,在下文描述受監督機器學習演算法之應用。 受監督學習為自經標記訓練資料推斷函數之機器學習任務。訓練資料係訓練實例之集合。在受監督學習中,每一實例為由輸入物件(通常為向量)及所要輸出值(亦被稱作監督信號)組成之一對。受監督學習演算法分析訓練資料且產生可用於映射新實例之經推斷函數。最佳情境將允許演算法正確地判定用於未見過的個例之類別標記。此情形需要學習演算法以「合理的」方式(參見電感偏置)自訓練資料一般化至未見過的情況。 在給定形式之訓練實例之集合以使得係第i實例之特徵向量且係其標籤(亦即類別)的情況下,學習演算法尋求函數,其中X係輸入空間且Y係輸出空間。特徵向量為表示某一物件之數值特徵之n維向量。機器學習中之許多演算法需要物件之數值表示,因為該等表示有助於處理及統計分析。在表示影像時,特徵值可對應於影像之像素,在表示文字時,可能稱為出現頻率。與此等向量相關聯之向量空間常常被稱作特徵空間。函數g為可能函數G之某一空間(通常被稱為假定空間)之要素。使用計分函數來表示g有時係便利的,使得g被定義為返回給出最高計分之y值:。使F標示計分函數之空間。 儘管G及F可為函數之任何空間,但許多學習演算法係概率模型,其中g呈條件概率之形式,或f呈聯合概率模型之形式。舉例而言,貝氏機率(naive Bayes)及線性判別分析係聯合概率模型,而羅吉斯回歸(logistic regression)係條件概率模型。 存在用以選擇f或g之兩種基本途徑:經驗風險最小化及結構風險最小化。經驗風險最小化尋求最擬合訓練資料之函數。結構風險最小化包括控制偏置/變異數取捨之懲罰函數。 在兩種狀況下,假定訓練集含有獨立且相同地分佈之對的樣本。為了量測函數如何較佳地擬合訓練資料,可定義損失函數。對於訓練實例,預測值之損失係。 函數g之風險經定義為g之預期損失。可根據訓練資料將此估計為。 受監督學習之例示性模型包括決策樹(decision tree)、集(ensemble) (bagging、boosting、random forest)、k-NN、線性回歸(linear regression)、貝氏機率、類神經網路(neural network)、羅吉斯回歸、感知器(perceptron)、支援向量機(support vector machine;SVM)、關聯向量機(relevance vector machine;RVM),及/或深度學習(deep learning)。 SVM為受監督學習模型之一實例,其分析資料及識別圖案,且可用於分類及回歸分析。給定訓練實例之一集合,每一實例經標記為屬於兩個類別中之一者,SVM訓練演算法建置將新實例指派至一個類別或另一類別中之模型,使得其為非概率二元線性分類器。SVM模型為如空間中之點的實例之表示,經映射以使得單獨類別之實例由儘可能寬的清晰間隙分隔。接著將新實例映射至同一空間中,且基於其落在間隙之哪一側來預測其屬於的類別。 除了執行線性分類外,SVM亦可使用所謂的核方法(kernel method)來有效地執行非線性分類,隱含地將其輸入映射至高維特徵空間中。 核方法僅需要使用者指定之核函數(kernel),亦即,關於原始表示中之資料點對的相似度函數。核方法之名字歸功於核函數之使用,核函數使得其能夠在高維、隱式特徵空間中操作而無需不斷計算彼空間中之資料的座標,而是簡單地計算特徵空間中之所有資料對之影像之間的內積。此操作在計算上常常比座標之顯式計算更省事。此途徑被成為「核技巧(kernel trick)」。 SVM之有效性取決於核之選擇、核參數及軟容限參數C。共同選擇係高斯核(Gaussian kernel),其具有單一參數γ。常常藉由具有C及γ之按指數律成比例成長序列(例如, )的格點搜尋(其亦被稱作「參數掃掠」)來選定C及γ之最佳組合。 格點搜尋為經由學習演算法之超參數空間之手動指定子集的窮盡性搜尋。格點搜尋演算法必須由某一效能度量引導,該效能度量通常由對訓練集之交叉驗證或對留存驗證集之評估來量測。 可使用交叉驗證檢查參數選擇之每一組合,且選取具有最佳交叉驗證準確度之參數。 交叉驗證(其有時被稱作旋轉估計)為用於評鑑統計分析之結果將如何經一般化成獨立資料集的模型驗證技術。交叉驗證主要用於目標為預測且人們想要估計將實際上執行預測模型的精確性的情境。在預測問題中,模型通常經給定正進行訓練之已知資料的資料集(訓練資料集),及模型經測試之未知資料(或首次所見資料)之資料集(測試資料集)。交叉驗證之目標為定義用以「測試」訓練階段中之模型的資料集(亦即,驗證資料集),以便限制如同過度學習之問題,獲得對模型將如何經一般化成獨立資料集(亦即,未知資料集,例如來自真實問題)之理解等。交叉驗證之一個回合涉及將資料樣本分割成互補子集、執行對一個子集(被稱作訓練集)之分析及驗證對另一子集(被稱作驗證集或測試集)之分析。為減少變化性,使用不同分割執行多個回合之交叉驗證,且驗證結果為對該等回合求平均。 接著使用所選參數在整個訓練集上訓練可用於測試及用於將新資料分類之最終模型。 圖5A及圖5B示意性地展示根據一實施例的使用機器學習模型辨識熱點之方法的流程。圖5A示意性地展示用於訓練機器學習模型之流程。獲得器件製造程序中之測試圖案505之效能的一或多個特性510。該一或多個特性510可為器件製造程序中之測試圖案505的製程窗。可藉由模擬、藉由度量衡或藉由比較經驗資料來獲得該一或多個特性510。基於該一或多個特性510作出關於測試圖案505是否係熱點之判定520。舉例而言,可藉由比較一或多個特性510與包括測試圖案505之圖案群組的重疊製程窗來作出判定520。判定520及測試圖案505之一或多個特性530包括於訓練集540中以作為樣本。一或多個特性530係樣本之特徵向量,且判定520係樣本之標籤。在程序550中,使用訓練集來訓練機器學習模型560。測試圖案505之一或多個特性530的實例可包括測試圖案505之幾何形狀的特性、測試圖案505之像素化影像的密度分佈、測試圖案505遍及一系列基底函數之函數分解(例如,傅立葉變換、高階區域自相關(higher order local autocorrelation;HLAC))的結果、測試圖案505之分裂,及/或測試圖案505之繞射階分佈。 圖5B示意性地展示用於使用機器學習模型560來預測圖案533是否係熱點之流程。獲得圖案533之一或多個特性535。圖案533之一或多個特性535的實例可包括圖案533之幾何形狀的特性、圖案533之像素化影像的密度分佈、圖案533遍及一系列基底函數之函數分解(例如,傅立葉變換、高階區域自相關(HLAC))的結果、圖案533之分裂,及/或圖案533之繞射階分佈。在程序570中,將一或多個特性535提供為至機器學習模型560中之輸入,且獲得圖案533是否係熱點之預測580以作為來自機器學習模型560之輸出。 圖6A及圖6B示意性地展示根據一實施例的使用機器學習模型來預測熱點是否有缺陷之方法的流程。圖6A示意性地展示用於訓練機器學習模型之流程。獲得關於熱點600在器件製造程序中分別在處理程序條件620A、620B……下之效能的特性610A、610B……。特性610A、610B…可分別為藉由器件製造程序在處理程序條件620A、620B…下所產生的熱點600之影像的特性(例如,CD)。可藉由模擬、藉由度量衡或藉由比較經驗資料來獲得特性610A、610B……。基於特性610A、610B……作出關於熱點600分別在處理程序條件620A、620B……下是否有缺陷之判定630A、630B……。舉例而言,可藉由比較特性610A、610B……與熱點600之規格來作出判定630A、630B……。分別獲得處理程序條件620A、620B……之特性640A、640B……。特性640A、640B……之實例可包括焦點、劑量、倍縮光罩圖、移動標準偏差(MSD)及/或化學機械平坦化(CMP)熱圖。特性640A、640B……及判定630A、630B……包括於訓練集660中以分別作為樣本650A、650B。在程序670中,使用訓練集660來訓練機器學習模型680。 圖6B示意性地展示用於使用機器學習模型680來預測熱點600在給定處理程序條件633下是否有缺陷之流程。獲得處理程序條件633之一或多個特性635。該一或多個特性635之實例可包括焦點、劑量、倍縮光罩圖、移動標準偏差(MSD)及/或化學機械平坦化(CMP)熱圖。在程序690中,將一或多個特性635提供為至機器學習模型680中之輸入,且獲得熱點600在處理程序條件633下是否有缺陷之預測695以作為來自機器學習模型680之輸出。 圖7A及圖7B示意性地展示根據一實施例的使用機器學習模型來預測熱點是否有缺陷之方法的流程。圖7A示意性地展示用於訓練機器學習模型之流程。獲得熱點700A、700B……分別在器件製造程序中分別在處理程序條件720A、720B……下之效能的特性710A、710B……。特性710A、710B……可分別為藉由器件製造程序分別在處理程序條件720A、720B……下所產生的熱點700A、700B之影像的特性(例如,CD)。可藉由模擬、藉由度量衡或藉由比較經驗資料來獲得特性710A、710B……。基於特性710A、710B……分別作出關於熱點700A、700B分別在處理程序條件720A、720B……下是否有缺陷之判定730A、730B……。舉例而言,可分別藉由比較特性710A、710B……與熱點700A、700B……之規格來作出判定730A、730B……。分別獲得處理程序條件720A、720B……之特性740A、740B……。特性740A、740B……之實例可包括焦點、劑量、倍縮光罩圖、移動標準偏差(MSD)及/或化學機械平坦化(CMP)熱圖。分別判定熱點700A、700B……之特性750A、750B……。熱點700A、700B……之特性750A、750B……的實例可包括熱點700A、700B……之幾何形狀的特性、熱點700A、700B……之像素化影像的密度分佈、熱點700A、700B……遍及一系列基底函數之函數分解(例如,傅立葉變換、高階區域自相關(HLAC))的結果、熱點700A、700B……之分裂,及/或熱點700A、700B……之繞射階分佈。特性750A、750B……之其他實例可包括伯桑曲線及一或多個幾何特性,諸如CD、影像對數斜率、正規化影像對數斜率等等。可藉由模擬(例如,直接地或自熱點700A、700B……之模擬影像量測)獲得特性750A、750B……。特性740A、740B……、判定730A、730B……及特性750A、750B……包括於訓練集770中以分別作為樣本760A、760B……。在程序780中,使用訓練集770來訓練機器學習模型790。 圖7B示意性地展示用於使用機器學習模型790來預測熱點701在給定處理程序條件721下是否有缺陷之流程。獲得處理程序條件721之一或多個特性722。圖案之特性722的實例可包括焦點、劑量、倍縮光罩圖、移動標準偏差(MSD)及/或化學機械平坦化(CMP)熱圖。獲得熱點701之一或多個特性702。特性702之實例可包括熱點701之幾何形狀的特性、熱點701之像素化影像的密度分佈、熱點701遍及一系列基底函數之函數分解(例如,傅立葉變換、高階區域自相關(HLAC))的結果、熱點701之分裂,及/或熱點701之繞射階分佈。在程序792中,將特性702及特性722提供為至機器學習模型790中之輸入,且獲得熱點701在處理程序條件721下是否有缺陷之預測793以作為來自機器學習模型790之輸出。 圖8A、圖8B、圖8C及圖8D示意性地展示根據一實施例的使用機器學習模型來預測圖案是否有缺陷之方法的流程。圖8A示意性地展示選擇代表性熱點之流程。在程序804中基於熱點801之一或多個特性、模擬模型802及一或多個處理程序條件803來模擬設計佈局中之熱點801的度量衡資料805。在程序806中,熱點801基於熱點801之一或多個特性及其模擬度量衡資料805而集結成一或多個叢集807。在程序808中,自叢集807中之每一者選擇一代表性熱點809。舉例而言,每一叢集之代表可為叢集內最可能有缺陷的熱點。 圖8B示意性地展示用於使用熱點801之代表809來改進模擬模型802的流程。代表809在處理程序條件810下經歷用以將一或多個結構812形成於基板上之製造程序811。藉由度量衡程序813獲得基板上之一或多個結構812的度量衡資料814。在程序815中,使用回歸模型來基於處理程序條件810及度量衡資料814調諧模擬模型802之參數。自回歸模型獲得經改進模擬模型816。 圖8C示意性地展示用於自經改進模擬模型816獲得用於缺陷預測之分類模型的流程。在程序819中,基於圖案818之一或多個特性、經改進模擬模型816及一或多個處理程序條件817而模擬圖案818之群組的模擬度量衡資料820。藉由對圖案818執行之度量衡程序821而獲得實驗性度量衡資料822。基於實驗性度量衡資料822作出圖案818是否具有任何缺陷之判定823。在程序824中,模擬度量衡資料820及判定823用作用以訓練分類模型825之訓練集。 圖8D示意性地展示用於使用分類模型825來預測圖案826是否係缺陷之流程。在程序828中,基於圖案826之一或多個特性、經改進模擬模型816及處理程序條件827而模擬圖案826之模擬度量衡資料829。將模擬度量衡資料829用作至分類模型825之輸入。獲得圖案826是否係缺陷之預測830以作為來自分類模型825之輸出。 因此,在一實施例中,提供使用機器學習之缺陷預測。此方法可具有各種使用案例。在一實例使用案例中,可藉由使用機器學習找到熱點。亦即,機器學習可針對給定佈局找出熱點。在訓練階段中,對於佈局上之給定圖案,藉由使用模擬及/或實驗或使用來自圖案庫之成問題圖案來判定圖案係熱點。隨後,將原始圖案或圖案之變化形式用作輸入,且將判定結果用作用以訓練機器學習模型之輸出。若將原始圖案影像用作輸入,則存在分解影像以用於特徵向量中之若干方式,此藉由(例如)使影像像素化及將像素化密度分佈轉換成特徵向量,及/或使用諸如高階區域自相關(HLAC)之某種基礎方法來分解影像,及/或使圖案中之每一多邊形分裂成若干片段,且針對每一片段藉由考慮其所有情境分段而構建特徵向量。若將圖案之變化形式用作特徵向量之輸入,則變化形式可為(例如)圖案之繞射階分佈、圖案在頻域中之強度分佈及/或模擬影像。 在另外實例使用案例中,可使用有限數目個熱點來進行缺陷預測。在此狀況下,可在不同處理程序條件下使用度量衡資料(例如,使用rSEM/CDSEM/電子束檢測)來執行每一熱點之驗證。隨後,將處理程序條件變數(例如,來自微影裝置及/或度量衡,諸如焦點、有效劑量(在顯影之後、在蝕刻之後)、倍縮光罩圖、MSD及/或CMP熱圖)用作特徵向量的輸入,且將驗證結果(例如,是/否,或缺陷概率,或缺陷大小)用作用以訓練機器學習模型(例如,分類或回歸)之特徵向量的輸出。對於熱點中之一或多者,可建置模型,該模型稍後用以進行缺陷預測。在一實施例中,此方法可擴展成即時模式,此意謂連續地收集驗證資料,且藉由使用線上學習技術及時地更新模型。在此狀況下,輸入變數維度可僅為一維或二維。或,可使用驗證資料來擬合曲線/表面,且接著使用該曲線/表面來進行預測。 在另外實例使用案例中,可使用較大數目個熱點來進行缺陷預測。在此狀況下,對所有熱點進行驗證係不實用的。因此,可使用實驗性資料與設計資料及/或模擬資料之組合來建置缺陷預測模型。在一實施例中,機器學習輸入具有三個部分:自熱點圖案擷取之設計資料、熱點圖案之模擬資料,及處理程序條件變數(例如,來自微影裝置或度量衡)。可使用(例如)上文針對使用機器學習查找熱點之使用案例予以描述的方法來擷取設計資料。模擬資料可呈不同格式:1)在對應處理程序條件下在模擬中獲得的伯桑曲線之CD、區域、ILS、斜率等等,2)在對應處理程序條件下模擬之熱點影像,3)作為圖形之經模擬伯桑曲線,及/或4)參數化伯桑曲線(例如,擬合函數(比如多項式函數或高斯函數)之擬合參數)。添加設計資料及/或模擬資料具有兩個功能:區分用於訓練階段中之彼等熱點圖案,及預測測試階段中之彼等「未見過」熱點圖案。 可使用給出良好預測結果之任何機器學習演算法(SVM、羅吉斯回歸、KNN、AdaBoost等等)。機器學習之輸出可為Y/N、缺陷概率及/或缺陷大小。 因此,在一實施例中,可使用機器學習來實現缺陷預測,其中機器學習可僅使用設計資料來進行熱點辨識,機器學習可僅使用實驗性資料來進行缺陷預測及/或製程窗及/或良率監視,機器學習可使用實驗性資料與設計資料/模擬資料之組合來進行缺陷預測,及/或機器學習可使用設計資料/模擬資料來建置初始模型及藉由使用線上學習技術遞增地將較多實驗性資料添加至預測中。 在一實施例中,辨識熱點,且產生特定條件下之CD對焦點及劑量。隨後,預測模組在印刷熱點之後將此資訊與程序資訊(例如,來自微影裝置)組合以預測缺陷之概率及/或存在。隨後將此資訊傳遞至驗證模組上,其中可檢測到(例如,使用諸如CD-SEM、檢閱SEM等等之通用度量衡工具)經預測缺陷之子集。在一實施例中,預測模組之預測能力使用藉由機器學習實現之混合式缺陷預測模型。此模型可為分類模型及/或回歸模型。在將多個模擬資料(例如,複數個特性,其選自(例如)CD、CD-劑量斜率、CD-焦點斜率及/或ILS)與訓練機器學習演算法組合以計算基板上之缺陷的概率及/或存在的意義上,此模型係混合物。在模型之設置階段期間,最初使用(例如)設置基板之程序圖、自驗證模組量測之資料(例如,遍及熱點子集之焦點及/或劑量範圍)及針對上文所提及之熱點模擬的資訊來訓練模型。訓練模型可接著用作用於(例如)大容量製造(HVM)階段中之預測模型化的初始模型。度量衡資料(相較於在設置階段期間稀疏得多)可連續地自經曝光基板產生且用以在已收集足夠資料點時週期性地改良模型預測。因此,在模型能夠連續地學習、外推及改良其預測能力的意義上,模型可為適應性的。如應瞭解,此途徑可擴展成監視在諸如蝕刻及圖案轉印之微影步驟之後經歷另外程序之基板上的熱點。因此,在一實施例中,提供模擬資料與回歸及/或分類演算法之組合以判定在圖案化程序期間發生的基板上之缺陷的預測及/或存在。 本文中所揭示之概念及結果可用以校正或修改圖案化程序之任何模擬或數學模型,諸如模擬或模型化任何一般成像系統以用於使亞微型特徵成像之模擬或數學模型。本文中所揭示之概念及結果可用以藉由(例如)修改一或多個設計變數而控制圖案化程序。本文中所揭示之概念及結果可用以藉由(例如)修改一或多個設計變數而設計圖案化程序。本文中所揭示之概念及結果可用以藉由(例如)辨識一或多個缺陷是否已發生或一或多個缺陷是否有可能發生而監視圖案化程序。本文中所揭示之概念及結果可用以產生或校正(例如)調節缺陷之模型預測性控制系統。 圖9係說明可實施本文中所揭示之方法及/或流程之電腦系統100的方塊圖。電腦系統電腦系統100包括用以傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接、用以處理資訊的一處理器104 (或多個處理器104及105)。電腦系統100亦可包括耦接至匯流排102以儲存及/或供應待由處理器104執行之資訊及指令的主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106可用以在待由處理器104執行之指令之執行期間儲存及/或供應暫時性變數或其他中間資訊。電腦系統100可進一步包括耦接至匯流排102以儲存及/或供應用於處理器104之靜態資訊及指令的唯讀記憶體(ROM) 108或其他靜態儲存器件。可提供諸如磁碟或光碟之儲存器件110,且可將儲存器件110耦接至匯流排102以儲存及/或供應資訊及指令。 電腦系統100可經由匯流排102而耦接至用以向電腦使用者顯示資訊之顯示器112,諸如,陰極射線管(CRT)或平板顯示器或觸控面板顯示器。可將包括文數字及其他按鍵之輸入器件114耦接至匯流排102以將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件可為用以將方向資訊及命令選擇傳達至處理器104且控制顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個軸線(第一軸線(例如,x)及第二軸線(例如,y))上之兩個自由度,其允許該器件指定在平面中之位置。觸控面板(螢幕)顯示器亦可用作輸入器件。 根據一個實施例,響應於處理器104執行一或多個指令之一或多個序列,本文中所描述之方法的部分可由電腦系統100執行。在一實施例中,電腦系統100可為微影裝置之部分、度量衡系統之部分,將獨立系統連接至微影裝置及/或度量衡系統等等。 主記憶體106中可含有此等指令,且可將此等指令自諸如儲存器件110之另一電腦可讀媒體讀取至主記憶體106中。主記憶體106中所含有之指令序列之執行致使處理器104執行本文中所描述之程序步驟。可使用多處理配置中之一或多個處理器,以執行主記憶體106中所含有之指令序列。在一替代實施例中,可代替或結合軟體指令來使用硬佈線電路。因此,本文中之描述不限於硬體電路及軟體之任何特定組合。 如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可呈許多形式,包括(但不限於)非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如儲存器件110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸電纜、銅線及光纖,包括包含匯流排102的線。傳輸媒體亦可呈聲波或光波之形式,諸如在射頻(RF)及紅外(IR)資料通信期間所產生之聲波或光波。電腦可讀媒體之常見形式包括(例如)軟性磁碟、可撓性磁碟、硬碟、磁帶、任何其他磁媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。 可在將一或多個指令之一或多個序列攜載至處理器104以供執行時涉及各種形式之電腦可讀媒體。舉例而言,最初可將該等指令承載於遠端電腦之磁碟或記憶體上。遠端電腦可將該等指令載入至其動態記憶體中,且在通信路徑上方發送該等指令。電腦系統100可自路徑接收資料且將資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自該主記憶體擷取指令且執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存器件110上。 電腦系統100可包括耦接至匯流排102之通信介面118。通信介面118提供至網路鏈路120之雙向資料通信耦接,網路鏈路120連接至網路122。舉例而言,通信介面118可提供有線或無線資料通信連接。在任何此類實施中,通信介面118發送及接收攜載表示各種類型之資訊之數位資料串流的電信號、電磁信號或光學信號。 網路鏈路120通常經由一或多個網路將資料通信提供至其他資料器件。舉例而言,網路鏈路120可經由網路122而向主機電腦124或向由網際網路服務提供者(ISP) 126操作之資料設備提供連接。ISP 126繼而經由全球封包資料通信網路(現在通常被稱作「網際網路」) 128而提供資料通信服務。網路122及網際網路128皆使用攜載數位資料串流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號(該等信號將數位資料攜載至電腦系統100及攜載來自電腦系統100之數位資料)為輸送資訊之載波的例示性形式。 電腦系統100可經由網路、網路鏈路120及通信介面118發送訊息及接收資料(包括程式碼)。在網際網路實例中,伺服器130可能經由網際網路128、ISP 126、網路122及通信介面118而傳輸用於應用程式之經請求程式碼。舉例而言,一個此類經下載應用程式可提供用以實施本文中之方法的程式碼。經接收程式碼可在其被接收時由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存體中以供稍後執行。以此方式,電腦系統100可獲得呈載波形式之應用程式碼。 圖10示意性地描繪例示性微影投影裝置。該裝置包含: -照明系統IL,其用以調節輻射光束B。在此特定狀況下,照明系統亦包含輻射源SO; -第一物件台(例如,光罩台) MT,其具備用以固持圖案化器件MA (例如,倍縮光罩)之圖案化器件固持器,且連接至用以相對於項目PS來準確地定位該圖案化器件之第一定位器PM; -第二物件台(基板台) WT,其具備用以固持基板W (例如,抗蝕劑塗佈矽晶圓)之基底固持器,且連接至用以相對於項目PS來準確地定位該基板之第二定位器PW; -投影系統PS (例如,折射、反射或反射折射光學系統),其用以將圖案化器件MA之經輻照部分成像至基板W之目標部分C (例如,包含一或多個晶粒)上。 如本文中所描繪,裝置屬於透射類型(亦即,具有透射光罩)。然而,一般而言,其亦可屬於(例如)反射類型(具有反射光罩)。替代地,裝置可使用另一種圖案化器件作為經典光罩之使用的替代例;實例包括可程式化鏡面陣列或LCD矩陣。 源SO (例如,水銀燈或準分子雷射)產生輻射光束。此光束直接地或在已橫穿諸如光束擴展器之調節器之後經饋入至照明系統(照明器) IL中。照明器IL可包含調整器AD,其經組態以設定光束中之強度分佈的外部徑向範圍及/或內部徑向範圍(通常分別被稱作s外部及s內部)。另外,照明器IL通常將包含各種其他組件,諸如,積光器IN及聚光器CO。以此方式,照射於圖案化器件MA上之光束B在其橫截面中具有所要均一性及強度分佈。 關於圖10應注意,源SO可在微影投影裝置之外殼內(此常常為當源SO為(例如)水銀燈時之狀況),但其亦可在微影投影裝置之遠端,其所產生之輻射光束被引導至該裝置中(例如,憑藉合適導向鏡面BD);此後一情境常常為當源SO為準分子雷射(例如,基於KrF、ArF或F2 雷射作用)時之狀況。 光束B隨後截取被固持於圖案化器件台MT上之圖案化器件MA。在已橫穿圖案化裝置MA的情況下,光束B傳遞通過投影系統PS,投影系統PS將該光束B聚焦至基板W之目標部分C上。憑藉第二定位器PW (及干涉計IF),可準確地移動基板台WT,例如,以便將不同目標部分C定位於光束B之路徑中。相似地,第一定位器PM可用以(例如)在自圖案化器件庫機械擷取圖案化器件MA之後或在掃描期間相對於光束B之路徑而準確地定位圖案化器件MA。一般而言,將憑藉未在圖10中明確地描繪之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。 可使用光罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩) MA及基板W。儘管如所說明之基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在多於一個晶粒被提供於圖案化器件(例如,光罩) MA上之情形中,圖案化器件對準標記可位於該等晶粒之間。小對準標記亦可包括於器件特徵當中之晶粒內,在此狀況下,需要使標記儘可能地小且無需與鄰近特徵不同的任何成像或處理程序條件。 圖11示意性地描繪另一例示性微影投影裝置1000。微影投影裝置1000包括: -源收集器模組SO -照明系統(照明器) IL,其經組態以調節輻射光束B (例如,EUV輻射)。 -支撐結構(例如,光罩台) MT,其經建構以支撐圖案化器件(例如,光罩或倍縮光罩) MA,且連接至經組態以準確地定位該圖案化器件之第一定位器PM; -基板台(例如,晶圓台) WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓) W,且連接至經組態以準確地定位該基板之第二定位器PW;及 -投影系統(例如,反射投影系統) PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如,包含一或多個晶粒)上。 如此處所描繪,裝置1000屬於反射類型(例如,使用反射光罩)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以圖案化器件可具有包含(例如)鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬及矽之40層對。可藉由X射線微影來產生甚至更小的波長。由於大多數材料在EUV及x射線波長下具吸收性,所以圖案化器件構形上之經圖案化吸收材料薄件(例如,多層反射器之頂部上之TaN吸收器)定義特徵將印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)之處。 參看圖11,照明器IL自源收集器模組SO接收極紫外線(EUV)輻射光束。用以產生EUV輻射之方法包括但未必限於用在EUV範圍內之一或多種發射譜線將具有至少一種元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿「LPP」)中,可藉由運用雷射光束來輻照燃料(諸如,具有該譜線發射元素之材料小液滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射(圖11中未展示)之EUV輻射系統之部件,該雷射用以提供用以激發燃料之雷射光束。所得電漿發射輸出輻射,例如,EUV輻射,該輸出輻射係使用安置於源收集器模組中之輻射收集器來收集。舉例而言,當使用CO2 雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為分離實體。 在此等狀況下,不認為雷射形成微影裝置之部件,且輻射光束係憑藉包含(例如)合適導向鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他狀況下,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部分。 照明器IL可包含經組態以調整輻射光束之角強度分佈的調整器。一般而言,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可被用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。 輻射光束B入射於被固持於支撐結構(例如,光罩台) MT上之圖案化器件(例如,光罩) MA上,且係由該圖案化器件而圖案化。在自圖案化器件(例如,光罩) MA反射之後,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2 (例如,干涉量測器件、線性編碼器或電容式感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確地定位圖案化器件(例如,光罩) MA。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩) MA及基板W。 所描繪裝置可用於以下模式中之至少一者中: 1. 在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如,光罩台) MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝露不同目標部分C。 2. 在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,在給定方向(所謂「掃描方向」)上同步地掃描支撐結構(例如,光罩台) MT及基板台WT (亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,光罩台) MT之速度及方向。 3. 在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構(例如,光罩台) MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在掃描期間之連續輻射脈衝之間視需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如上文所提及之類型的可程式化鏡面陣列)之無光罩微影。 另外,微影裝置可屬於具有兩個或多於兩個台(例如,兩個或多於兩個基板台、兩個或多於兩個圖案化器件台,及/或一基板台及不具有基板之一台)之類型。在此等「多載物台」器件中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。 圖12更詳細地展示裝置1000,其包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置成使得可將真空環境維持於源收集器模組SO之圍封結構220中。可藉由放電產生電漿源而形成EUV輻射發射電漿210。可藉由氣體或蒸氣(例如,Xe氣體、Li蒸氣或Sn蒸氣)產生EUV輻射,其中產生極熱電漿210以發射在電磁光譜之EUV範圍內的輻射。舉例而言,藉由引起至少部分地離子化電漿之放電而產生極熱電漿210。為了輻射之有效率產生,可需要為(例如) 10 Pa之分壓之Xe、Li、Sn蒸氣或任何其他合適氣體或蒸氣。在一實施例中,提供經激發錫(Sn)電漿以產生EUV輻射。 由熱電漿210發射之輻射係經由定位於源腔室211中之開口中或後方的選用氣體障壁或污染物截留器230 (在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染物截留器230亦可包括氣體障壁,或氣體障壁與通道結構之組合。如在此項技術中為吾人所知,本文中進一步所指示之污染物截留器或污染物障壁230至少包括通道結構。 收集器腔室211可包括輻射收集器CO,其可為所謂的掠入射收集器。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可由光柵光譜濾光器240反射,從而沿著由點虛線「O」指示之光軸而聚焦在虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置成使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。 隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面器件22及琢面化光瞳鏡面器件24,琢面化場鏡面器件及琢面化光瞳鏡面器件經配置以提供在圖案化器件MA處的輻射光束21之所要角分佈,以及在圖案化器件MA處的輻射強度之所要均一性。在由支撐結構MT固持之圖案化器件MA處的輻射光束21之反射後,就形成經圖案化光束26,且由投影系統PS將經圖案化光束26經由反射元件28、30而成像至由基板台WT固持之基板W上。 比所展示之元件多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影裝置之類型,可視情況存在光柵光譜濾光器240。另外,可存在比諸圖所展示之鏡面多的鏡面,例如,在投影系統PS中可存在比圖12所展示之反射元件多1至6個的額外反射元件。 如圖12中說明之收集器光學件CO被描繪為具有掠入射反射器253、254及255的巢套式收集器,僅作為收集器(或收集器鏡面)之實例。掠入射反射器253、254及255經安置成圍繞光軸O軸向地對稱,且此類型之收集器光學件CO理想地結合放電產生電漿源(常常被稱為DPP源)予以使用。替代地,源收集器模組SO可為LPP輻射系統之部分。 本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統PS,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。 微影裝置亦可屬於如下類型:其中基板之至少一部分可由具有相對高折射率之液體(例如,水)覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加於微影裝置中之其他空間,例如,光罩與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增大投影系統之數值孔徑。如本文中所使用之術語「浸潤」並不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂液體在曝光期間位於投影系統與基板之間。 本文中所揭示之概念可用以模擬或數學地模型化涉及微影裝置之圖案化程序,且可在使用能夠產生愈來愈小大小之波長之新興成像技術的情況下尤其有用。已經在使用中之新興技術包括深紫外線(DUV)微影,其能夠藉由使用ArF雷射來產生193奈米之波長且甚至能夠藉由使用氟雷射來產生157奈米之波長。此外,EUV微影能夠產生在5奈米至20奈米之範圍內的波長。 雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上的器件製造,但應理解,所揭示之概念可與任何類型之微影成像系統一起使用,例如,用於在除了矽晶圓以外的基板上之成像的微影成像系統。 上文所提及之圖案化器件包含或可形成設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局。此程序常常被稱作電子設計自動化(EDA)。大多數CAD程式遵循一預定設計規則集合,以便產生功能設計佈局/圖案化器件。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義電路器件(諸如,閘、電容器等等)或互連線之間的空間容許度,以便確保該等電路器件或線彼此不會以不良方式相互作用。設計規則限制通常被稱作「臨界尺寸」(CD)。可將電路之臨界尺寸定義為線或孔之最小寬度,或兩條線或兩個孔之間的最小空間。因此,CD判定經設計電路之總大小及密度。當然,積體電路製作中之目標中之一者係在基板上如實地再生原始電路設計(經由圖案化器件)。 如本文所使用之術語「光罩」或「圖案化器件」可被廣泛地解譯為係指可用以向入射輻射光束賦予經圖案化橫截面之通用圖案化器件,經圖案化橫截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦可用於此內容背景中。除了經典光罩(透射或反射;二元、相移、混合式等等)以外,其他此等圖案化器件之實例亦包括: -可程式化鏡面陣列。此器件之一實例為具有黏彈性控制層及反射表面之矩陣可定址表面。此裝置所隱含之基本原理為(例如):反射表面之經定址區域將入射輻射反射為繞射輻射,而未經定址區域將入射輻射反射為非繞射輻射。在使用適當濾光器的情況下,可自經反射光束濾出該非繞射輻射,從而僅留下繞射輻射;以此方式,光束根據矩陣可定址表面之定址圖案而變得圖案化。可使用合適電子構件來執行所需矩陣定址。 -可程式化LCD陣列。 如所提及,微影蝕刻術為製造諸如IC之器件的重要步驟,其中形成於基板上之圖案定義IC之功能元件,諸如微處理器、記憶體晶片等等。相似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他器件。 供印刷尺寸小於微影投影裝置之經典解析度極限之特徵的程序根據解析度公式CD = k1 ×λ/NA通常被稱作低k1 微影,其中λ為所使用輻射之波長(當前在大多數狀況下為248奈米或193奈米),NA為微影投影裝置中之投影光學件之數值孔徑,CD為「臨界尺寸」(通常為所印刷之最小特徵大小),且k1 為經驗解析度因數。一般而言,k1 愈小,則在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用於微影投影裝置及/或設計佈局。此等微調步驟包括(例如,但不限於):NA及光學相干設定之最佳化、定製照明方案、相移圖案化器件之使用、設計佈局中之光學近接校正(OPC,有時亦被稱作「光學及程序校正」),或通常被定義為「解析度增強技術」(RET)之其他方法。 作為實例,OPC處理如下事實:投影於基板上之設計佈局之影像的最終大小及置放將不相同於或簡單地僅取決於該設計佈局在圖案化器件上之大小及置放。熟習此項技術者應認識到,尤其在微影模擬/最佳化之內容背景中,術語「光罩」/「圖案化器件」及「設計佈局」可被互換地使用,此係因為:在微影模擬/最佳化中,未必使用實體圖案化器件,而可使用設計佈局以表示實體圖案化器件。對於存在於某一設計佈局上之小特徵大小及高特徵密度,給定特徵之特定邊緣之位置將在某種程度上受到其他鄰近特徵之存在或不存在影響。此等近接效應起因於自一個特徵耦接至另一特徵的微小量之輻射及/或諸如繞射及干擾之非幾何光學效應。相似地,近接效應可起因於在通常後繼微影之曝光後烘烤(PEB)、抗蝕劑顯影及蝕刻期間之擴散及其他化學效應。 為了幫助確保設計佈局之經投影影像係根據給定目標電路設計之要求,可使用設計佈局之複雜數值模型、校正或預失真來預測及補償近接效應。在典型的高端設計中,設計佈局之幾乎每一特徵皆具有某種修改,以便達成經投影影像至目標設計之高保真度。此等修改可包括邊緣位置或線寬之移位或偏置,以及意欲輔助其他特徵之投影的「輔助」特徵之應用。 應用OPC通常不為「嚴正科學(exact science)」,而為並不總是補償所有可能近接效應之經驗反覆程序。因此,應藉由設計檢測(亦即,使用經校準數值程序模型之密集型全晶片模擬)來驗證OPC之效應(例如,在應用OPC及任何其他RET之後的設計佈局),以便使將設計瑕疵建置至圖案化器件圖案中的可能性最小化。 OPC及全晶片RET驗證兩者皆可基於數值模型化系統及方法。 一個RET係關於設計佈局之全域偏置之調整。全域偏置為設計佈局中之圖案與意欲印刷於基板上之圖案之間的差。舉例而言,具有25奈米直徑之圓形圖案可藉由設計佈局中之50奈米直徑圖案或藉由設計佈局中之20奈米直徑圖案但以高劑量而印刷於基板上。 除了對設計佈局或圖案化器件之最佳化(例如,OPC)以外,亦可與圖案化器件最佳化聯合地或分離地最佳化照明源,以致力於改良總微影保真度。術語「照明源」及「源」在此文件中可互換使用。如所已知,諸如環形、四極及偶極之離軸照明為用以解析圖案化器件中所含有之精細結構(亦即,目標特徵)的經證實方式。然而,當與傳統照明源相比時,離軸照明源通常提供針對空中影像(aerial image;AI)之較小輻射強度。因此,變得需要試圖最佳化照明源以在較精細解析度與縮減輻射強度之間達成最佳平衡。 可使用眾多照明源最佳化途徑。舉例而言,可將源分割成若干區,該等區中之每一者對應於光瞳光譜之特定區。接著,將源分佈假定為在每一源區中均一,且針對製程窗來最佳化每一區之亮度。在另一實例中,可使用基於照明器像素之方法,該方法將源最佳化問題轉換成一系列非負最小二乘最佳化。 對於低k1 光微影,源及圖案化器件兩者之最佳化有用於確保用於臨界電路圖案之投影的可行製程窗。一些演算法在空間頻域中將照明離散化成獨立源點且將圖案化器件圖案離散化成繞射階,且基於可藉由光學成像模型自源點強度及圖案化器件繞射階而預測之製程窗度量(諸如,曝光寬容度)來分離地公式化成本函數(其被定義為選定設計變數之函數)。如本文中所使用之術語「設計變數」包含裝置或器件製造程序之參數集合,例如,微影裝置之使用者可調整之參數,或使用者可藉由調整彼等參數而調整之影像特性。應瞭解,器件製造程序之任何特性(包括源、圖案化器件、投影光學件之特性及/或抗蝕劑特性)可在最佳化中之設計變數當中。成本函數常常為設計變數之非線性函數。接著使用標準最佳化技術來最小化成本函數。 全文據此以引用方式併入之PCT專利申請公開案第WO 2010/059954號描述允許在不具有約束的情況下且在可實行時間量內使用成本函數來同步地最佳化源及圖案化器件(設計佈局)之源及圖案化器件最佳化方法及系統。全文據此以引用方式併入本文中之美國專利申請公開案第2010/0315614號描述涉及藉由調整源之像素而最佳化源的另一源以及光罩最佳化方法及系統。 可使用以下條項進一步描述實施例: 1. 一種方法,其包含: 獲得一器件製造程序中之一測試圖案之效能的一特性; 基於該特性來判定該測試圖案是否係一熱點;及 藉由一硬體電腦系統,使用包含一樣本之一訓練集來訓練一機器學習模型,該樣本之特徵向量包含該特性且該樣本之標籤係該測試圖案是否係一熱點。 2. 如條項1之方法,其中該特性包含該器件製造程序中之該測試圖案的一製程窗。 3. 如條項1或2之方法,其中該特性包含該測試圖案之幾何形狀的一特性、該測試圖案之一像素化影像的一密度分佈、該測試圖案之函數分解的一結果、該測試圖案之分裂、該測試圖案之繞射階分佈、該測試圖案之一伯桑曲線,或該測試圖案之一幾何特性。 4. 如條項1至3中任一項之方法,其中獲得該特性包含執行一模擬、執行度量衡,或執行該特性與經驗資料之比較。 5. 如條項1至4中任一項之方法,其中判定該測試圖案是否係一熱點包含比較該特性與包含該測試圖案之一圖案群組的一重疊製程窗。 6. 一種方法,其包含: 獲得一熱點在一器件製造程序中分別在複數個處理程序條件下之效能特性的複數個集合; 針對該等處理程序條件中之每一者,基於彼處理程序條件下之該特性集合來判定該熱點是否有缺陷; 獲得該等處理程序條件中之每一者的特性;及 藉由一硬體電腦系統,使用包含複數個樣本之一訓練集來訓練一機器學習模型,其中該等樣本中之每一者具有包含該等處理程序條件中之每一者之特性的一特徵向量及包含該熱點在彼處理程序條件下是否有缺陷的一標籤。 7. 如條項6之方法,其中該等處理程序條件中之每一者的該等特性包含焦點、劑量、一倍縮光罩圖、移動標準偏差(MSD)或一化學機械平坦化(CMP)熱圖。 8. 如條項6或條項7之方法,其中該等效能特性之該等集合包含藉由該器件製造程序在該各別處理程序條件下所產生的該熱點之一影像的一特性。 9. 如條項6至8中任一項之方法,其中判定該熱點是否有缺陷包含比較一效能特性與該熱點之一規格。 10. 一種方法,其包含: 獲得複數個熱點分別在一器件製造程序中分別在複數個處理程序條件下之效能特性的複數個集合; 針對該等處理程序條件中之每一者,針對該等熱點中之每一者,基於彼處理程序條件下之該等特性來判定彼熱點是否有缺陷; 獲得該等處理程序條件中之每一者的特性; 獲得該等熱點中之每一者的特性;及 藉由一硬體電腦系統,使用包含複數個樣本之一訓練集來訓練一機器學習模型,其中該樣本中之每一者具有包含該等處理程序條件中之每一者的該等特性及該等熱點中之每一者的該等特性的一特徵向量,該特徵向量進一步包含一標籤,該標籤包含彼熱點在彼處理程序條件下是否有缺陷。 11. 如條項10之方法,其中該效能之該等特性的該等集合包含藉由該器件製造程序在該等各別處理程序條件下所產生的該各別熱點之一影像的一特性。 12. 如條項10或條項11之方法,其中獲得效能特性之該等集合包含執行模擬、執行度量衡,或執行效能特性與經驗資料之比較。 13. 如條項10至12中任一項之方法,其中判定該熱點是否有缺陷包含比較彼熱點之一效能特性與彼熱點之一規格。 14. 如條項10至13中任一項之方法,其中該等處理程序條件中之每一者的該等特性包含焦點、劑量、一倍縮光罩圖、移動標準偏差(MSD)或一化學機械平坦化(CMP)熱圖。 15. 如條項10至14中任一項之方法,其中該熱點之該等特性包含該熱點之幾何形狀的一特性、該熱點之一像素化影像的一密度分佈、該熱點之函數分解的一結果、該熱點之分裂、該熱點之繞射階分佈、該熱點之一伯桑曲線,或該熱點之一幾何特性。 16. 一種方法,其包含: 藉由一硬體電腦系統,基於該等熱點之一或多個特性、一模擬模型及一或多個處理程序條件而模擬一設計佈局中之熱點的度量衡資料; 基於該等熱點之一或多個特性及該第一模擬度量衡資料而將該等熱點集結成一或多個叢集;及 分別自該一或多個叢集選擇代表。 17. 如條項16之方法,其中該等叢集中之每一者的該代表係彼叢集內最可能有缺陷的一熱點。 18. 如條項16或條項17之方法,其進一步包含: 藉由使該等代表在一處理程序條件下經受一製造程序,由該等代表形成一基板上之結構; 自該基板上之該等結構獲得度量衡資料;及 藉由基於該處理程序條件及該度量衡資料來調諧該模擬模型之一或多個參數而獲得一經改進模擬模型。 19. 如條項18之方法,其進一步包含: 基於圖案之一或多個特性、該經改進模擬模型及一或多個處理程序條件,模擬該等圖案之一群組的另外度量衡資料; 獲得該等圖案之實驗性度量衡資料; 基於該實驗性度量衡資料來判定該等圖案是否具有任何缺陷;及 藉由一硬體電腦,使用一訓練集來訓練一分類模型,該訓練集包含該另外模擬度量衡資料及該等圖案是否具有任何缺陷。 20. 一種電腦程式產品,其包含一電腦可讀媒體,該電腦可讀媒體上記錄有指令,該等指令在由一電腦執行時實施如條項1至19中任一項之方法。 如本文中所使用之術語「投影光學件」應被廣泛地解譯為涵蓋各種類型之光學系統,包括(例如)折射光學件、反射光學件、孔徑及反射折射光學件。術語「投影光學件」亦可包括用於集體地或單個地導向、塑形或控制投影輻射光束的根據此等設計類型中之任一者而操作之組件。術語「投影光學件」可包括微影投影裝置中的任何光學組件,無論光學組件定位於微影投影裝置之光學路徑中的地方。投影光學件可包括用於在來自源之輻射通過圖案化器件之前塑形、調整及/或投影該輻射的光學組件,及/或用於在輻射通過圖案化器件之後塑形、調整及/或投影該輻射的光學組件。投影光學件通常排除源及圖案化器件。 儘管上文可特定地參考在光學微影之內容背景中對實施例之使用,但應瞭解,本發明之實施例可用於其他應用(例如,壓印微影)中,且在內容背景允許時不限於光學微影。在壓印微影中,圖案化器件中之構形界定產生於基板上之圖案。可將圖案化器件之構形壓入被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑固化之後,將圖案化裝置移出抗蝕劑,從而在其中留下圖案。因此,使用壓印技術之微影裝置通常包括用以固持壓印模板之一模板固持器、用以固持基板之一基板台,及用以造成基板與壓印模板之間的相對移動使得可將壓印模板之圖案壓印至基板之層上的一或多個致動器。 以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下如所描述進行修改。
10A‧‧‧微影投影裝置 12A‧‧‧輻射源 14A‧‧‧光學件/組件 16Aa‧‧‧光學件/組件 16Ab‧‧‧光學件/組件 16Ac‧‧‧光學件/組件 18A‧‧‧圖案化器件 20A‧‧‧可調整濾光器或孔隙 21‧‧‧輻射光束 22‧‧‧琢面化場鏡面器件 22A‧‧‧基板平面 24‧‧‧琢面化光瞳鏡面器件 26‧‧‧經圖案化光束 28‧‧‧反射元件 30‧‧‧反射元件 31‧‧‧源模型 32‧‧‧投影光學件模型 35‧‧‧設計佈局模型 36‧‧‧空中影像 37‧‧‧抗蝕劑及/或蝕刻模型 38‧‧‧抗蝕劑及/或蝕刻影像 100‧‧‧電腦系統 102‧‧‧匯流排 104‧‧‧處理器 105‧‧‧處理器 106‧‧‧主記憶體 108‧‧‧唯讀記憶體 110‧‧‧儲存器件 112‧‧‧顯示器 114‧‧‧輸入器件 116‧‧‧游標控制件 118‧‧‧通信介面 120‧‧‧網路鏈路 122‧‧‧網路 124‧‧‧主機電腦 126‧‧‧網際網路服務提供者(ISP) 128‧‧‧網際網路 130‧‧‧伺服器 210‧‧‧極紫外線(EUV)輻射發射電漿/極熱電漿 211‧‧‧參數/程序參數/源腔室 212‧‧‧佈局參數/收集器腔室 213‧‧‧計算或經驗模型 214‧‧‧缺陷或熱點 220‧‧‧圍封結構 221‧‧‧開口 230‧‧‧氣體障壁或污染物截留器 240‧‧‧光柵光譜濾光器 251‧‧‧上游輻射收集器側 252‧‧‧下游輻射收集器側 253‧‧‧掠入射反射器 254‧‧‧掠入射反射器 255‧‧‧掠入射反射器 505‧‧‧測試圖案 510‧‧‧特性 520‧‧‧判定 530‧‧‧特性 533‧‧‧圖案 535‧‧‧特性 540‧‧‧訓練集 550‧‧‧程序 560‧‧‧機器學習模型 570‧‧‧程序 580‧‧‧預測 600‧‧‧熱點 610A‧‧‧特性 610B‧‧‧特性 620A‧‧‧處理程序條件 620B‧‧‧處理程序條件 630A‧‧‧判定 630B‧‧‧判定 633‧‧‧給定處理程序條件 635‧‧‧特性 640A‧‧‧特性 640B‧‧‧特性 650A‧‧‧樣本 650B‧‧‧樣本 660‧‧‧訓練集 670‧‧‧程序 680‧‧‧機器學習模型 690‧‧‧程序 695‧‧‧預測 700A‧‧‧熱點 700B‧‧‧熱點 701‧‧‧熱點 702‧‧‧特性 710A‧‧‧特性 710B‧‧‧特性 720A‧‧‧處理程序條件 720B‧‧‧處理程序條件 721‧‧‧給定處理程序條件 722‧‧‧特性 730A‧‧‧判定 730B‧‧‧判定 740A‧‧‧特性 740B‧‧‧特性 750A‧‧‧特性 750B‧‧‧特性 760A‧‧‧樣本 760B‧‧‧樣本 770‧‧‧訓練集 780‧‧‧程序 790‧‧‧機器學習模型 792‧‧‧程序 793‧‧‧預測 801‧‧‧熱點 802‧‧‧模擬模型 803‧‧‧處理程序條件 804‧‧‧程序 805‧‧‧度量衡資料 806‧‧‧程序 807‧‧‧叢集 808‧‧‧程序 809‧‧‧熱點/代表 810‧‧‧處理程序條件 811‧‧‧製造程序 812‧‧‧結構 813‧‧‧度量衡程序 814‧‧‧度量衡資料 815‧‧‧程序 816‧‧‧模擬模型 817‧‧‧處理程序條件 818‧‧‧圖案 819‧‧‧程序 820‧‧‧度量衡資料 821‧‧‧度量衡程序 822‧‧‧實驗度量衡資料 823‧‧‧判定 824‧‧‧程序 825‧‧‧分類模型 826‧‧‧圖案 827‧‧‧處理程序條件 828‧‧‧程序 829‧‧‧度量衡資料 830‧‧‧預測 1000‧‧‧微影投影裝置 AD‧‧‧調整器 B‧‧‧輻射光束 BD‧‧‧導向鏡面 BK‧‧‧烘烤板 C‧‧‧目標部分 CH‧‧‧冷卻板 CO‧‧‧聚光器/輻射收集器/收集器光學件 DE‧‧‧顯影器 IF‧‧‧干涉計/虛擬源點/中間焦點 IL‧‧‧照明系統/照明器/照明光學件單元 IN‧‧‧積光器 I/O1‧‧‧輸入/輸出埠 I/O2‧‧‧輸入/輸出埠 LA‧‧‧微影裝置 LACU‧‧‧微影控制單元 LB‧‧‧裝載匣 LC‧‧‧微影製造單元 MA‧‧‧圖案化器件 MT‧‧‧第一物件台/圖案化器件台/支撐結構 M1‧‧‧光罩對準標記/圖案化器件對準標記 M2‧‧‧光罩對準標記/圖案化器件對準標記 O‧‧‧光軸 PM‧‧‧第一定位器 PS‧‧‧項目/投影系統 PS1‧‧‧位置感測器 PS2‧‧‧位置感測器 PW‧‧‧第二定位器 P1‧‧‧基板對準標記 P2‧‧‧基板對準標記 RO‧‧‧基板處置器或機器人 SC‧‧‧旋塗器 SCS‧‧‧監督控制系統 SO‧‧‧輻射源/源收集器模組 TCU‧‧‧塗佈顯影系統控制單元 W‧‧‧基板 WT‧‧‧第二物件台/基板台 X‧‧‧方向 Y‧‧‧方向
圖1係微影系統之各種子系統的方塊圖。 圖2示意性地描繪微影製造單元或叢集之一實施例。 圖3示意性地展示預測器件製造程序中之缺陷之方法。 圖4示意性地展示模擬模型之方塊圖。 圖5A及圖5B示意性地展示根據一實施例的使用機器學習模型辨識熱點之方法的流程。 圖6A及圖6B示意性地展示根據一實施例的使用機器學習模型來預測熱點是否有缺陷之方法的流程。 圖7A及圖7B示意性地展示根據一實施例的使用機器學習模型來預測任何熱點是否有缺陷之方法的流程。 圖8A、圖8B、圖8C及圖8D示意性地展示根據一實施例的使用機器學習模型來預測圖案是否有缺陷之方法的流程。 圖9係實例電腦系統之方塊圖。 圖10係微影投影裝置之示意圖。 圖11係另一微影投影裝置之示意圖。 圖12係圖11中之裝置的更詳細視圖。
505‧‧‧測試圖案
510‧‧‧特性
520‧‧‧判定
530‧‧‧特性
540‧‧‧訓練集
550‧‧‧程序
560‧‧‧機器學習模型

Claims (15)

  1. 一種方法,其包含: 獲得一器件製造程序中之一測試圖案之效能的一特性; 基於該特性來判定該測試圖案是否係一熱點;及 藉由一硬體電腦系統,使用包含一樣本之一訓練集來訓練一機器學習模型,該樣本之特徵向量包含該特性且該樣本之標籤係該測試圖案是否係一熱點。
  2. 如請求項1之方法,其中該特性包含該器件製造程序中之該測試圖案的一製程窗。
  3. 如請求項1之方法,其中該特性包含該測試圖案之幾何形狀的一特性、該測試圖案之一像素化影像的一密度分佈、該測試圖案之函數分解的一結果、該測試圖案之分裂、該測試圖案之繞射階分佈、該測試圖案之一伯桑曲線,或該測試圖案之一幾何特性。
  4. 如請求項1之方法,其中獲得該特性包含執行一模擬、執行度量衡,或執行該特性與經驗資料之比較。
  5. 如請求項1之方法,其中判定該測試圖案是否係一熱點包含:比較該特性與包含該測試圖案之一圖案群組的一重疊製程窗。
  6. 一種方法,其包含: 獲得一熱點在一器件製造程序中分別在複數個處理程序條件下之效能特性的複數個集合; 針對該等處理程序條件中之每一者,基於彼處理程序條件下之該特性集合來判定該熱點是否有缺陷; 獲得該等處理程序條件中之每一者的特性;及 藉由一硬體電腦系統,使用包含複數個樣本之一訓練集來訓練一機器學習模型,其中該等樣本中之每一者具有包含該等處理程序條件中之每一者之特性的一特徵向量及包含該熱點在彼處理程序條件下是否有缺陷的一標籤。
  7. 如請求項6之方法,其中該等處理程序條件中之每一者的該等特性包含焦點、劑量、一倍縮光罩圖、移動標準偏差(MSD)或一化學機械平坦化(CMP)熱圖。
  8. 如請求項6之方法,其中該等效能特性之該等集合包含藉由該器件製造程序在該各別處理程序條件下所產生的該熱點之一影像的一特性。
  9. 如請求項6之方法,其中判定該熱點是否有缺陷包含:比較一效能特性與該熱點之一規格。
  10. 一種方法,其包含: 獲得複數個熱點分別在一器件製造程序中分別在複數個處理程序條件下之效能特性的複數個集合; 針對該等處理程序條件中之每一者,針對該等熱點中之每一者,基於彼處理程序條件下之該等特性來判定彼熱點是否有缺陷; 獲得該等處理程序條件中之每一者的特性; 獲得該等熱點中之每一者的特性;及 藉由一硬體電腦系統,使用包含複數個樣本之一訓練集來訓練一機器學習模型,其中該樣本中之每一者具有包含該等處理程序條件中之每一者的該等特性及該等熱點中之每一者的該等特性的一特徵向量,該特徵向量進一步包含一標籤,該標籤包含彼熱點在彼處理程序條件下是否有缺陷。
  11. 如請求項10之方法,其中該效能之該等特性的該等集合包含藉由該器件製造程序在該等各別處理程序條件下所產生的該各別熱點之一影像的一特性。
  12. 如請求項10之方法,其中獲得效能特性之該等集合包含執行模擬、執行度量衡,或執行效能特性與經驗資料之比較。
  13. 如請求項10之方法,其中該等處理程序條件中之每一者的該等特性包含焦點、劑量、一倍縮光罩圖、移動標準偏差(MSD)或一化學機械平坦化(CMP)熱圖。
  14. 如請求項10之方法,其中該熱點之該等特性包含該熱點之幾何形狀的一特性、該熱點之一像素化影像的一密度分佈、該熱點之函數分解的一結果、該熱點之分裂、該熱點之繞射階分佈、該熱點之一伯桑曲線,或該熱點之一幾何特性。
  15. 一種電腦程式產品,其包含一電腦可讀媒體,該電腦可讀媒體上記錄有指令,該等指令在由一電腦執行時實施如請求項1、6或10中任一項之方法。
TW106115229A 2016-05-12 2017-05-09 用於辨識熱點之方法及電腦程式產品 TWI639887B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662335544P 2016-05-12 2016-05-12
US62/335,544 2016-05-12

Publications (2)

Publication Number Publication Date
TW201741762A true TW201741762A (zh) 2017-12-01
TWI639887B TWI639887B (zh) 2018-11-01

Family

ID=58640843

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106115229A TWI639887B (zh) 2016-05-12 2017-05-09 用於辨識熱點之方法及電腦程式產品

Country Status (4)

Country Link
US (2) US11443083B2 (zh)
KR (2) KR102376200B1 (zh)
TW (1) TWI639887B (zh)
WO (1) WO2017194281A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI691807B (zh) * 2018-02-22 2020-04-21 荷蘭商Asml荷蘭公司 基於參數之機率密度函數的控制
CN112601618A (zh) * 2018-08-27 2021-04-02 3M创新有限公司 用于机器人油漆修复的学习框架
CN113658125A (zh) * 2021-08-11 2021-11-16 全芯智造技术有限公司 用于评估版图热点的方法、设备和存储介质
TWI813871B (zh) * 2019-06-13 2023-09-01 日商日立全球先端科技股份有限公司 圖像處理程式、圖像處理裝置及圖像處理方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016128189A1 (en) * 2015-02-13 2016-08-18 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10445452B2 (en) * 2017-10-04 2019-10-15 Mentor Graphics Corporation Simulation-assisted wafer rework determination
US10691864B2 (en) * 2017-11-14 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of post optical proximity correction (OPC) printing verification by machine learning
WO2019115426A1 (en) * 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
US10664966B2 (en) 2018-01-25 2020-05-26 International Business Machines Corporation Anomaly detection using image-based physical characterization
US10679066B2 (en) * 2018-03-22 2020-06-09 General Electric Company Best image grab from video with digital grid assistance for aviation engine borescope inspection
RU2686257C1 (ru) * 2018-04-27 2019-04-24 Ационерное общество "РОТЕК" (АО "РОТЕК") Способ и система удалённой идентификации и прогнозирования развития зарождающихся дефектов объектов
US10509328B2 (en) * 2018-04-27 2019-12-17 Applied Materials, Inc. Fabrication and use of dose maps and feature size maps during substrate processing
DE102018207880A1 (de) * 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
US10713769B2 (en) 2018-06-05 2020-07-14 Kla-Tencor Corp. Active learning for defect classifier training
US11288065B2 (en) 2018-07-02 2022-03-29 International Business Machines Corporation Devops driven cognitive cost function for software defect prediction
CN112424826A (zh) 2018-07-13 2021-02-26 Asml荷兰有限公司 基于机器学习的图案分组方法
US10706205B2 (en) 2018-10-22 2020-07-07 International Business Machines Corporation Detecting hotspots in physical design layout patterns utilizing hotspot detection model with data augmentation
CN113196173A (zh) * 2018-12-14 2021-07-30 Asml荷兰有限公司 用于对图像图案分组以确定图案化过程中晶片行为的设备和方法
EP3705944A1 (en) * 2019-03-06 2020-09-09 ASML Netherlands B.V. Extracting a feature from a data set
IL287677B1 (en) * 2019-04-28 2024-02-01 נובה בע מ Manufacturing a semiconductor device with in-line detection for a sensitive area
JP2022533704A (ja) * 2019-05-20 2022-07-25 シノプシス, インコーポレイテッド 機械学習ベースの符号化を使用した電子回路レイアウト内のパターンの分類
US20210064977A1 (en) * 2019-08-29 2021-03-04 Synopsys, Inc. Neural network based mask synthesis for integrated circuits
KR20220038167A (ko) * 2019-08-30 2022-03-25 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스 지오메트리 방법 및 시스템
US10885259B2 (en) * 2019-08-30 2021-01-05 Intel Corporation Random forest model for prediction of chip layout attributes
DE112020004392T5 (de) * 2019-09-20 2022-06-02 Shibaura Machine Co., Ltd. Additives Fertigungssystem
US11842472B2 (en) 2020-03-31 2023-12-12 International Business Machines Corporation Object defect correction
US11443095B2 (en) * 2020-07-10 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Hotspot avoidance method for manufacturing integrated circuits
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
KR20220019894A (ko) * 2020-08-10 2022-02-18 삼성전자주식회사 반도체 공정의 시뮬레이션 방법 및 반도체 장치의 제조 방법
CN112578646B (zh) * 2020-12-11 2022-10-14 上海集成电路装备材料产业创新中心有限公司 一种基于图像的离线的光刻工艺稳定性控制方法
US11562118B2 (en) * 2021-01-04 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Hard-to-fix (HTF) design rule check (DRC) violations prediction
CN112949749B (zh) * 2021-03-25 2023-08-25 辽宁科技大学 一种面向不平衡钢卷外形缺陷数据的分类方法
KR20240029778A (ko) * 2021-07-06 2024-03-06 에이에스엠엘 네델란즈 비.브이. 이미지 예측에서 기계 학습 모델을 개선하기 위한 국부적 이미지 예측 에러 결정
EP4148520A1 (en) 2021-08-27 2023-03-15 EXFO Inc. Early detection of quality control test failures for manufacturing end-to-end testing optimization
CN114663671B (zh) * 2022-02-21 2023-07-18 佳都科技集团股份有限公司 一种目标检测方法、装置、设备及存储介质

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
EP1955225A4 (en) * 2005-11-18 2009-11-04 Kla Tencor Tech Corp METHOD AND SYSTEMS FOR USE OF DESIGN DATA IN COMBINATION WITH TEST DATA
US7676077B2 (en) * 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
JP5081590B2 (ja) * 2007-11-14 2012-11-28 株式会社日立ハイテクノロジーズ 欠陥観察分類方法及びその装置
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US8402397B2 (en) * 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
US9098891B2 (en) * 2013-04-08 2015-08-04 Kla-Tencor Corp. Adaptive sampling for semiconductor inspection recipe creation, defect review, and metrology
US20140358830A1 (en) * 2013-05-30 2014-12-04 Synopsys, Inc. Lithographic hotspot detection using multiple machine learning kernels
CN105849643B (zh) * 2013-12-17 2019-07-19 Asml荷兰有限公司 良品率估计和控制
WO2015120996A1 (en) * 2014-02-12 2015-08-20 Asml Netherlands B.V. Method of optimizing a process window
JP2015201055A (ja) * 2014-04-08 2015-11-12 富士通株式会社 欠陥箇所予測装置、欠陥箇所予測プログラムおよび欠陥箇所予測方法
JP6491677B2 (ja) * 2014-06-10 2019-03-27 エーエスエムエル ネザーランズ ビー.ブイ. 計算的ウェーハ検査

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI691807B (zh) * 2018-02-22 2020-04-21 荷蘭商Asml荷蘭公司 基於參數之機率密度函數的控制
US11143971B2 (en) 2018-02-22 2021-10-12 Asml Netherlands B.V. Control based on probability density function of parameter
CN112601618A (zh) * 2018-08-27 2021-04-02 3M创新有限公司 用于机器人油漆修复的学习框架
TWI813871B (zh) * 2019-06-13 2023-09-01 日商日立全球先端科技股份有限公司 圖像處理程式、圖像處理裝置及圖像處理方法
CN113658125A (zh) * 2021-08-11 2021-11-16 全芯智造技术有限公司 用于评估版图热点的方法、设备和存储介质
CN113658125B (zh) * 2021-08-11 2024-02-23 全芯智造技术有限公司 用于评估版图热点的方法、设备和存储介质

Also Published As

Publication number Publication date
US11443083B2 (en) 2022-09-13
KR20200139840A (ko) 2020-12-14
KR102188014B1 (ko) 2020-12-08
US20190147127A1 (en) 2019-05-16
WO2017194281A1 (en) 2017-11-16
TWI639887B (zh) 2018-11-01
KR102376200B1 (ko) 2022-03-18
US20220277116A1 (en) 2022-09-01
KR20190006188A (ko) 2019-01-17

Similar Documents

Publication Publication Date Title
TWI639887B (zh) 用於辨識熱點之方法及電腦程式產品
TWI724279B (zh) 藉由機器學習來判定製程模型之方法
US11119414B2 (en) Yield estimation and control
US11029605B2 (en) Optimization based on machine learning
TWI681250B (zh) 獲得輔助特徵之特性的方法及電腦程式產品
TWI617933B (zh) 藉由機器學習之特徵搜尋
TW201734662A (zh) 用於圖案化製程的測量位置選擇
TW202119133A (zh) 用於模型校準以減少模型預測不確定性的預測資料選擇