KR20210127984A - 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법 - Google Patents

기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법 Download PDF

Info

Publication number
KR20210127984A
KR20210127984A KR1020217029932A KR20217029932A KR20210127984A KR 20210127984 A KR20210127984 A KR 20210127984A KR 1020217029932 A KR1020217029932 A KR 1020217029932A KR 20217029932 A KR20217029932 A KR 20217029932A KR 20210127984 A KR20210127984 A KR 20210127984A
Authority
KR
South Korea
Prior art keywords
pattern
optical proximity
representative
proximity correction
design layout
Prior art date
Application number
KR1020217029932A
Other languages
English (en)
Inventor
자인 문
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210127984A publication Critical patent/KR20210127984A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70533Controlling abnormal operating mode, e.g. taking account of waiting time, decision to rework or rework flow
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/214Generating training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • G06N3/0454
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N5/003
    • G06N7/005
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/10Machine learning using kernel methods, e.g. support vector machines [SVM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/20Ensemble learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/01Dynamic search techniques; Heuristics; Dynamic trees; Branch-and-bound
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N7/00Computing arrangements based on specific mathematical models
    • G06N7/01Probabilistic graphical models, e.g. probabilistic networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computational Linguistics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Health & Medical Sciences (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Medical Informatics (AREA)
  • Evolutionary Biology (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Probability & Statistics with Applications (AREA)
  • Algebra (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

광 근접 보정(optical proximity correction)을 예측하기 위해 기계 학습 모델을 트레이닝하기 위한 대표 패턴을 결정하는 방법이 본 명세서에 설명된다. 상기 방법은 패턴 그룹 세트(패턴 그룹 각각은 하나 이상의 서브 그룹을 포함함)를 포함하는 디자인 레이아웃을 획득하는 단계; 패턴 그룹 세트의 대표 패턴 세트(대표 패턴은 그 인스턴스가 패턴 그룹 세트 내에 나타나는 서브 그룹임)를 결정하는 단계; 대표 패턴 세트를 사용하여 광 근접 보정 공정을 시뮬레이션함으로써, 대표 패턴 세트와 연관된 기준 광 근접 보정 데이터를 획득하는 단계; 및 대표 패턴 세트 및 기준 광 근접 보정 데이터 세트를 기반으로 디자인 레이아웃에 대한 광 근접 보정을 예측하도록 기계 학습 모델을 트레이닝하는 단계를 포함한다.

Description

기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법
본 출원은 2019년 3월 21일에 출원된 미국 출원 62/821,789의 우선권을 주장하며, 그 전문은 본 명세서에 참조로서 포함된다.
본 발명은 리소그래피 장치 및 공정에 관한 것으로, 특히 디자인 레이아웃의 광 근접 오차 보정(optical proximity error correction)를 수행하는 방법 및 툴에 관한 것이다.
리소그래피 장치는, 예를 들어 집적 회로(IC) 또는 다른 디바이스의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 디바이스의 개별 레이어에 대응하는 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 패턴이 방사선-감응재("레지스트") 층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 장치에 의해 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 장치에서는 전체 패터닝 디바이스 상의 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 스테퍼(stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게 또는 역-평행하게(anti-parallel) 기판이 이동된다. 패터닝 디바이스 상의 패턴의 상이한 부분들이 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 장치가 배율 인자(M)(일반적으로 < 1)를 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 인자(M) 배가 될 것이다.
디바이스 제조 공정의 패터닝 디바이스로부터 기판으로 패턴을 전사하는 디바이스 제작 절차에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 디바이스 제조 공정의 다양한 디바이스 제작 절차들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 및 하드 베이크(hard bake)와 같은 디바이스 제조 공정의 다른 디바이스 제작 절차들을 거칠 수 있다. 이 일련의 디바이스 제작 절차들은 디바이스, 예컨대 IC의 개별 레이어를 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 디바이스 제조 공정의 다양한 디바이스 제작 절차들을 거칠 수 있으며, 이는 모두 디바이스의 개별 레이어를 마무리하도록 의도된다. 디바이스에서 여러 레이어가 요구되는 경우, 각각의 레이어에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 복수의 디바이스들이 존재하는 경우, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 핀에 연결되는 캐리어 등에 장착될 수 있다.
따라서, 반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처들 및 다수의 레이어를 형성하기 위해 다수의 제작 공정들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 레이어 및 피처들은 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 이용하여 제조되고 처리된다. 다수 디바이스들은 기판의 복수의 다이들 상에 제작된 후, 개별적인 디바이스들로 분리될 수 있다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 기판 상에 패턴을 제공하기 위해 리소그래피 장치를 이용하는 광학 또는 나노임프린트(nanoimprint) 리소그래피와 같은 패터닝 단계를 수반하며, 통상적이지만 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치를 이용한 패턴의 에칭 등과 같은 1 이상의 관련 패턴 처리 단계를 수반한다. 또한, 1 이상의 메트롤로지 공정이 통상적으로 패터닝 공정에서 수반된다.
일 실시예에서, 광 근접 보정을 예측하기 위해 기계 학습 모델을 트레이닝하기 위한 대표 패턴을 결정하는 방법이 제공되며, 상기 방법은:
패턴 그룹 세트(상기 패턴 그룹 각각은 하나 이상의 서브 그룹을 포함함)를 포함하는 디자인 레이아웃을 획득하는 단계; 패턴 그룹 세트의 대표 패턴 세트(대표 패턴은 그 인스턴스가 패턴 그룹 세트 내에 나타나는 서브 그룹임)를 결정하는 단계; 대표 패턴 세트를 사용하여 광 근접 보정 공정을 시뮬레이션함으로써, 대표 패턴 세트와 연관된 기준 광 근접 보정 데이터를 획득하는 단계; 및 대표 패턴 세트 및 기준 광 근접 보정 데이터 세트를 기반으로 디자인 레이아웃에 대한 광 근접 보정을 예측하도록 기계 학습 모델을 트레이닝하는 단계를 포함한다.
또한, 일 실시예에서, 패터닝 공정을 위한 리소그래피 장치가 제공된다. 상기 장치는 디자인 레이아웃과 연관된 마스크; 마스크를 사용하여 이미징될 기판; 및 (i) 기판 상에 프린팅될 디자인 레이아웃의 대표 패턴 세트, 및 (ii) 대표 패턴 세트와 연관된 프로세스 조건을 획득하고; 리소그래피 장치를 통해 프로세스 조건에 따라 패터닝 공정의 파라미터를 제어하도록 구성된 프로세서를 포함한다.
또한, 일 실시예에서, 컴퓨터에 의해 실행될 때 제 1 항 내지 제 15 항 중 어느 한 항의 방법을 구현하는 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는, 컴퓨터 프로그램 제품이 제공된다.
예시적인 구현들의 앞선 일반적인 설명 및 다음의 상세한 설명은 단지 본 발명의 교시의 예시적인 실시형태들이며, 제한적인 것은 아니다.
본 명세서에 통합되고 그 일부를 구성하는 첨부된 도면들은 1 이상의 실시예를 도시하고, 설명과 함께 이 실시예들을 설명한다. 첨부된 도면들은 반드시 일정한 비율로 도시되지는 않았다. 첨부된 그래프 및 도면에 예시된 임의의 값 또는 치수는 단지 설명을 위한 것이며, 실제 또는 바람직한 값들 또는 치수들을 나타내거나 나타내지 않을 수 있다. 적용 가능하다면, 일부 또는 모든 특징들이 기본 특징들의 설명을 돕기 위해 도시되지 않을 수 있다. 도면에서:
도 1은 본 발명의 예시적인 실시예에 따른 리소그래피 시스템의 다양한 서브시스템들의 블록도이다.
도 2는 본 발명의 예시적인 실시예에 따른 리소그래피 셀(lithographic cell) 또는 클러스터(cluster)의 일 실시예를 개략적으로 도시하는 도면이다.
도 3은 본 발명의 예시적인 실시예에 따른 디자인 레이아웃에 어시스트 피처(assist feature)(주 피처에 연결되는 어시스트 피처 또는 독립적인 어시스트 피처)를 배치하는 방법을 개략적으로 도시하는 도면이다.
도 4a는 본 발명의 예시적인 실시예에 따른, 광 근접 보정을 예측하기 위해 기계 학습 모델을 트레이닝하기 위한 대표 패턴을 결정하는 방법에 대한 흐름도이다.
도 4b는 본 발명의 예시적인 실시예에 따른 도 4a의 대표 패턴 세트를 결정하기 위한 흐름도이다.
도 4c는 본 발명의 예시적인 실시예에 따른, 도 4b의 대표 패턴으로서 주어진 서브 그룹의 인스턴스를 분류하는 도면이다.
도 5는 본 발명의 예시적인 실시예에 따른 도 4a의 트레이닝된 기계 학습 모델을 사용하여 패터닝 공정의 양태(예를 들어, 마스크 패턴)를 결정하기 위한 방법에 대한 흐름도이다.
도 6은 본 발명의 예시적인 실시예에 따른, 기준 패턴에 대응하는 그레이스케일 이미지(예: CTM) 형태의 예시적인 기준 데이터를 도시한다.
도 7a는 예시적인 디자인 레이아웃이며 도 7b는 본 발명의 예시적인 실시예에 따른 디자인 레이아웃의 패턴 그룹이다.
도 8은 본 발명의 예시적인 실시예에 따른, 도 7a의 디자인 레이아웃에서 식별된 대표 패턴의 예를 도시한다.
도 9는 본 발명의 예시적인 실시예에 따른 기계 학습 모델 및 연관된 데이터를 트레이닝하는 예이다.
도 10은 본 발명의 예시적인 실시예에 따른, 신경망에 대한 예시적인 인셉션 블록(inception block)을 도시한다.
도 11은 본 발명의 예시적인 실시예에 따른, 신경망에 대한 예시적인 레지듀얼 블록(residual block)을 도시한다.
도 12는 본 발명의 예시적인 실시예에 따른 예시적인 컴퓨터 시스템의 블록도이다.
도 13은 본 발명의 예시적인 실시예에 따른 리소그래피 투영 장치의 개략도이다.
도 14는 본 발명의 예시적인 실시예에 따른, 다른 리소그래피 투영 장치의 개략도이다.
도 15는 본 발명의 예시적인 실시예에 따른 도 13의 장치의 더욱 상세한 도면이다.
도 16은 일 실시예에 따른 도 14 및 도 15의 장치의 소스 수집기 모듈(SO)의 더욱 상세한 도면이다.
이제 도면을 참조하여 실시예를 상세히 설명할 것이며, 도면은 본 기술 분야에서 통상의 지식을 가진 자가 실시예를 실시할 수 있도록 예시적인 예로서 제공된다. 특히, 아래의 도면 및 예는 범위를 단일 실시예로 제한하는 것을 의미하지 않으며, 설명되거나 예시된 요소의 일부 또는 전부의 교환을 통해 다른 실시예가 가능하다. 편리할 경우, 도면 전체에 걸쳐 동일한 참조 번호를 사용하여 동일하거나 유사한 부품을 언급할 것이다. 이들 실시예의 특정 구성요소가 공지된 구성요소를 사용하여 부분적으로 또는 전체적으로 구현될 수 있는 경우, 실시예의 이해를 위해 그러한 공지된 구성요소의 필요한 부분만이 설명될 것이며, 실시예의 설명을 모호하게 하지 않기 위해 그러한 공지된 구성요소의 다른 부분에 대해 상세한 설명은 생략될 것이다. 본 명세서에서, 단일 구성요소를 나타내는 실시예는 제한적인 것으로 간주하여서는 안 되며; 오히려, 본 명세서에서 달리 명시적으로 언급되지 않는 한, 범위는 복수의 동일한 구성요소를 포함하는 다른 실시예를 포함하도록 의도되고, 그 반대의 경우도 마찬가지이다. 또한, 출원인은 명백하게 언급되지 않는 한 명세서 또는 청구범위의 용어가 일반적이지 않거나 특수한 의미로 간주되는 것을 의도하지 않는다. 또한, 그 범위는 예시로서 본 명세서에 언급된 구성요소에 대한 현재 및 미래의 알려진 등가물을 포함한다.
첨부된 도면들과 관련하여 아래에서 설명되는 설명은 개시된 주제의 다양한 실시예들의 설명으로서 의도되며, 반드시 유일한 실시예(들)를 나타내도록 의도되지는 않는다. 어떠한 경우에, 설명은 개시된 실시예(들)의 이해를 제공하기 위한 특정한 세부사항을 포함한다. 하지만, 개시된 실시예(들)는 이러한 특정한 세부사항 없이도 실시될 수 있다는 것이 당업자에게 명백할 것이다. 일부 경우에, 잘 알려진 구조체들 및 구성요소들이 개시된 주제의 개념들을 모호하게 하지 않기 위해 블록 다이어그램 형태로 도시될 수 있다.
반도체 또는 다른 디바이스 제조 공정들이 계속해서 진보함에 따라, 통상적으로 "무어의 법칙"이라 칭하는 추세를 따라 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현재 기술 수준에서, 디바이스들의 층들은 심(deep)-자외선(예를 들어, 193nm) 조명 소스 또는 극-자외선(예를 들어, 13.52nm) 조명 소스로부터의 조명을 이용하여 기판 상으로 디자인 레이아웃을 투영하는 리소그래피 장치들을 사용하여 제조되어, 30nm보다 훨씬 낮은 치수들을 갖는 개별적인 기능 요소들을 생성한다.
리소그래피 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 이 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택되는 방사선의 파장(통상적으로, 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수"(일반적으로, 프린트되는 최소 피처 크기이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현(reproduce)하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정(fine-tuning) 단계들이 리소그래피 장치 또는 디자인 레이아웃에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤 조명 방식(customized illumination schemes), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접 보정(optical proximity correction: OPC), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다.
RET의 일 예시로서, OPC는 기판 상에 투영된 디자인 레이아웃의 이미지의 최종 크기 및 배치가 단순히 패터닝 디바이스 상의 디자인 레이아웃의 크기 및 배치에만 의존하거나 이와 동일하지 않을 것이라는 사실을 설명한다. "마스크", "레티클", "패터닝 디바이스"라는 용어들은 본 명세서에서 교환가능하게 이용된다는 것을 유의해야 한다. 또한, RET의 맥락에서 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라 디자인 레이아웃이 물리적 패터닝 디바이스를 나타내도록 사용될 수 있기 때문에, 당업자라면 "마스크", "패터닝 디바이스" 및 "디자인 레이아웃"이라는 용어가 교환가능하게 이용될 수 있음을 인식할 것이다. 일부 디자인 레이아웃에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재나 부재에 의해 어느 정도 영향을 받을 것이다. 이 근접 효과들은 한 피처에서 다른 피처로 커플링된 미세한 양의 방사선, 또는 회절 및 간섭과 같은 비-기하학적 광학 효과들로부터 일어난다. 이와 유사하게, 근접 효과들은 일반적으로 리소그래피에 따라오는 노광-후 베이크(PEB), 레지스트 현상, 및 에칭 시의 확산 및 다른 화학적 영향들로부터 일어날 수 있다.
디자인 레이아웃의 투영 이미지가 주어진 타겟 회로 디자인의 요건들에 부합될 가능성을 증가시키기 위해, 정교한 수치 모델, 디자인 레이아웃의 보정 또는 전치-왜곡(pre-distortion)을 이용하여 근접 효과들이 예측되고 보상될 수 있다. 논문 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design"(C. Spence, Proc. SPIE, Vol.5751, pp 1-14, 2005)은 현재 "모델-기반" 광 근접 보정 공정들의 개요를 제공한다. 일반적인 고성능(high-end) 디자인에서는, 타겟 디자인에 대한 투영 이미지의 고 충실도(high fidelity)를 달성하기 위해 디자인 레이아웃의 거의 모든 피처가 약간 수정된다. 이 수정들은 라인 폭 또는 에지 위치의 시프팅 또는 편향, 및 다른 피처들의 투영을 돕도록 의도되는 "어시스트" 피처들의 적용을 포함할 수 있다.
OPC의 가장 간단한 형태들 중 하나는 선택적 편향(selective bias)이다. CD 대 피치 곡선을 고려하면, 모든 상이한 피치들이 패터닝 디바이스 레벨에서 CD를 변화시킴으로써 적어도 최적 포커스 및 노광에서 동일한 CD를 생성하도록 강제될 수 있다. 따라서, 피처가 기판 레벨에서 너무 작게 프린트되는 경우, 패터닝 디바이스 레벨 피처가 공칭(nominal)보다 약간 크도록 편향될 것이며, 그 역도 마찬가지이다. 패터닝 디바이스 레벨로부터 기판 레벨로의 패턴 전사 공정이 비-선형이기 때문에, 편향의 양은 단순히 최적 포커스 및 노광에서의 측정된 CD 오차와 축소율의 곱이 아니며, 모델링 및 실험으로 적절한 편향이 결정될 수 있다. 선택적 편향은, 특히 이것이 단지 공칭 공정 조건에서 적용되는 경우, 근접 효과들의 문제에 대해 불완전한 해결책이다. 이러한 편향이 원칙적으로 최적 포커스 및 노광에서 균일한 CD 대 피치 곡선들을 제공하도록 적용될 수 있더라도, 일단 노광 공정이 공칭 조건으로부터 변동되면, 각각의 편향된 피치 곡선이 상이하게 반응하여 상이한 피처들에 대해 상이한 공정 윈도우들을 유도할 것이다. 공정 윈도우는 피처가 충분히 적절하게 생성되는 2 이상의 공정 파라미터들(예를 들어, 리소그래피 장치에서의 포커스 및 방사선 도즈)의 값들의 범위이다(예를 들어, 피처의 CD는 ±10 % 또는 ±5 %와 같은 소정 범위 내에 있음). 그러므로, 동일한 CD 대 피치를 제공하는 "최적" 편향은 심지어 전체 공정 윈도우에 부정적인 영향을 미쳐, 원하는 공정 공차 내에서 기판 상에 모든 타겟 피처들이 프린트되는 포커스 및 노광 범위를 확대하기보다는 축소할 수 있다.
앞선 1-차원 편향 예시를 넘어서는 적용을 위한 다른 더 복잡한 OPC 기술들이 개발되었다. 2-차원 근접 효과는 라인 단부 단축(line end shortening)이다. 라인 단부들은 노광 및 포커스의 함수로서 그들의 원하는 단부 지점 위치로부터 "풀백(pull back)"하는 경향이 있다. 많은 경우에, 긴 라인 단부의 단부 단축 정도는 대응하는 라인 좁힘(line narrowing)보다 수 배 클 수 있다. 이 타입의 라인 단부 풀백은 라인 단부가 소스-드레인(source-drain) 구역에 걸친 폴리실리콘 게이트 층과 같이, 덮도록 의도된 아래놓인 층에 걸쳐 완전히 교차하지 못하는 경우에 제조되고 있는 디바이스들의 파국 고장을 유도할 수 있다. 이 타입의 패턴은 포커스 및 노광에 매우 민감하므로, 단순히 라인 단부를 디자인 길이보다 길게 편향시키는 것은 최적 포커스 및 노광 또는 노출부족 상태에서의 라인이 지나치게 길어서 연장된 라인 단부가 근처 구조체들에 닿을 때 단락 회로를 유도하거나, 회로에서의 개별적인 피처들 사이에 더 많은 공간이 추가되는 경우에 불필요하게 큰 회로 크기들을 유도할 것이기 때문에 적당하지 않다. 집적 회로 디자인 및 제조의 목표들 중 하나는 칩당 필요한 영역을 최소화하면서 기능 요소들의 수를 최대화하는 것이므로, 과도한 간격을 추가하는 것은 바람직하지 않은 해결책이다.
2-차원 OPC 접근법들은 라인 단부 풀백 문제를 해결하도록 도울 수 있다. "해머헤드(hammerheads)" 또는 "세리프(serifs)"와 같은 여분의 구조체들("어시스트 피처들"로도 알려짐)이 라인 단부들에 추가되어, 이들을 제 자리에 효과적으로 고정하고 전체 공정 윈도우에 걸쳐 감소된 풀백을 제공할 수 있다. 심지어 최적 포커스 및 노광에서 이 여분의 구조체들이 분해되는 것이 아니라, 그 자체로 완전히 분해되지 않고 주 피처의 외형을 변경한다. 본 명세서에서 사용되는 바와 같은 "주 피처"는 공정 윈도우에서의 일부 또는 전체 조건들 하에 기판에 프린트되도록 의도되는 피처를 의미한다. 어시스트 피처들은, 패터닝 디바이스 상의 패턴이 더 이상 단순히 원하는 기판 패턴의 축소율로 업사이징된 것이 아닌 정도로, 라인 단부들에 추가된 단순한 해머헤드보다 훨씬 더 공격적인 형태들을 취할 수 있다. 세리프와 같은 어시스트 피처들은 단순히 라인 단부 풀백을 감소시키는 것보다 더 많은 상황에 대해 적용될 수 있다. 내측 또는 외측 세리프들이 임의의 에지, 특히 2차원 에지들에 적용되어, 코너 라운딩(corner rounding) 또는 에지 돌출을 감소시킬 수 있다. 모든 크기 및 극성(polarity)의 충분한 선택적 편향 및 어시스트 피처들로, 패터닝 디바이스 상의 피처들은 기판 레벨에서 원하는 최종 패턴과의 유사점이 점점 적어진다. 일반적으로, 패터닝 디바이스 패턴은 기판-레벨 패턴의 전치-왜곡 버전이 되며, 이때 왜곡은 제조 공정 동안 발생할 패턴 왜곡을 반전시키거나 상쇄하도록 의도되어, 가능한 한 설계자에 의해 의도된 것과 가까운 기판 상의 패턴을 생성한다.
또 다른 OPC 기술은 주 피처들에 연결된 어시스트 피처들(예를 들어, 세리프) 대신에 또는 이에 추가하여, 완전히 독립적이고 분해 불가능한(non-resolvable) 어시스트 피처들을 이용하는 것을 수반한다. 여기에서 "독립적"이라는 용어는 이 어시스트 피처들의 에지들이 주 피처들의 에지들에 연결되지 않는다는 것을 의미한다. 이 독립적인 어시스트 피처들은 기판 상의 피처들로서 프린트되기를 원하거나 의도되지 않으며, 오히려 그 주 피처의 프린트가능성 및 공정 공차를 향상시키기 위해 인근 주 피처의 에어리얼 이미지를 수정하도록 의도된다. 이 어시스트 피처들[흔히 "산란 바아(scattering bars)" 또는 "SBAR"라고 함]은 주 피처들의 에지들 내부로부터 파내어진(scooped out) 피처들인 분해능-이하 인버스 피처(sub-resolution inverse features: SRIF) 및 주 피처들의 에지들 밖의 피처들인 분해능-이하 어시스트 피처(SRAF)를 포함할 수 있다. SBAR의 존재는 패터닝 디바이스 패턴에 또 다른 복잡한 층을 추가한다. 산란 바아의 간단한 사용예는, 포커스 및 노광 공차에서 조밀한 패턴에 훨씬 더 가까운 공정 윈도우를 유도하는 조밀한 라인들의 어레이 내의 단일 라인을 더 나타내도록 격리된 라인 피처의 양측에 분해 불가능한 산란 바아들의 규칙적인 어레이가 그려지는 경우(이는 에어리얼 이미지 관점으로부터 격리된 라인이 나타나게 하는 효과를 가짐)이다. 이러한 꾸며진 격리된 피처와 조밀한 패턴 간의 공통 공정 윈도우는 패터닝 디바이스 레벨에서 격리된 대로 그려진 피처보다 포커스 및 노광 변동들에 대해 더 큰 공통 공차를 가질 것이다.
어시스트 피처는 패터닝 디바이스 상의 피처들과 디자인 레이아웃 내의 피처들 간의 차이로 간주될 수 있다. "주 피처" 및 "어시스트 피처"라는 용어는 패터닝 디바이스 상의 특정 피처가 하나 또는 다른 것으로서 표시되어야 함을 의미하지는 않는다.
간략한 도입부로서, 도 1은 예시적인 리소그래피 투영 장치(10A)를 나타낸다. 주요 구성요소들은 (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하고, 방사선 소스(12A)[이는 심-자외선 엑시머 레이저 소스 또는 극자외선(EUV) 소스를 포함한 다른 형태의 소스일 수 있음(본 명세서에서 설명되는 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없음)]로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있는 조명 광학기; 및 기판 평면(22A) 상으로 패터닝 디바이스(18A)의 패터닝 디바이스 패턴의 이미지를 투영하는 광학기(16Ac)를 포함한다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(aperture: 20A)가 기판 평면(22A) 상에 부딪히는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수 NA = sin(Θmax)를 정의한다.
리소그래피 투영 장치에서, 투영 광학기는 소스로부터 패터닝 디바이스를 통해 기판 상으로 조명을 지향하고 성형한다. 본 명세서에서, "투영 광학기"라는 용어는 방사선 빔의 파면을 변경할 수 있는 임의의 광학 구성요소를 포함하는 것으로 폭넓게 정의된다. 예를 들어, 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 기판 상의 레지스트 층이 노광되고, 그 안에 잠재적인 "레지스트 이미지"(RI)로서 에어리얼 이미지가 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 용해도(solubility)의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있다. 레지스트 모델은 레지스트 층의 속성들[예를 들어, 노광, 노광-후 베이크(PEB) 및 현상 시 일어나는 화학 공정들의 효과들]에만 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 조명, 패터닝 디바이스 및 투영 광학기의 속성들)은 에어리얼 이미지를 좌우하고, 광학 모델에서 정의될 수 있다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을, 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다. 디자인 레이아웃을 다양한 리소그래피 이미지들(예를 들어, 에어리얼 이미지, 레지스트 이미지 등)로 변환하는 데 사용되는 기술들 및 모델들, 이 기술들 및 모델들을 이용한 OPC의 적용, 및 (예를 들어, 공정 윈도우에 관한) 성능의 평가의 세부사항들은 미국 특허 출원 공개공보 US 2008-0301620호, 2007-0050749호, 2007-0031745호, 2008-0309897호, 2010-0162197호, 및 2010-0180251호에 설명되어 있으며, 이들은 각각 본 명세서에서 그 전문이 인용참조된다.
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 리소클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성할 수 있으며, 이는 기판 상에 1 이상의 노광-전(pre-exposure) 및 노광-후 공정을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층을 증착시키는 1 이상의 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 1 이상의 디벨로퍼(developer: DE), 1 이상의 칠 플레이트(chill plate: CH) 및 1 이상의 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판을 집어올리고, 이를 상이한 공정 디바이스들 사이에서 이동시키고, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이 디바이스들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋(예를 들어, 단위 시간당 처리된 기판들)과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다. 리소그래피 셀(LC)은 기판을 에칭하는 1 이상의 에처(etcher) 및 기판의 파라미터를 측정하도록 구성되는 1 이상의 측정 디바이스를 더 포함할 수 있다. 측정 디바이스는 기판의 물리적 파라미터를 측정하도록 구성되는 광학 측정 디바이스, 예컨대 스케터로미터, 스캐닝 전자 현미경 등을 포함할 수 있다. 측정 디바이스는 리소그래피 장치(LA)에 통합될 수 있다. 본 발명의 일 실시예는 감독 제어 시스템(SCS) 또는 리소그래피 제어 유닛(LACU)에서, 또는 이들과 구현될 수 있다. 예를 들어, 감독 제어 시스템(SCS) 또는 리소그래피 제어 유닛(LACU)으로부터의 데이터가 본 발명의 일 실시예에 의해 사용될 수 있으며, 본 발명의 일 실시예로부터의 1 이상의 신호가 감독 제어 시스템(SCS) 또는 리소그래피 제어 유닛(LACU)에 제공될 수 있다.
도 3은 디자인 레이아웃에 광 근접 보정들(214)을 수행하는 방법을 개략적으로 도시한다. 디자인 레이아웃은 RET의 적용 전 디자인 레이아웃 또는 RET의 적용 후 디자인 레이아웃일 수 있다. 디자인 레이아웃은 바이너리(binary) 또는 연속톤(continuous tone)일 수 있다. 본 발명에서는, 본 명세서의 기술들이 적용될 수 있는 예시적인 광 근접 보정으로서 디자인 레이아웃에 어시스트 피처들(주 피처들에 연결되는 어시스트 피처들 또는 독립적인 어시스트 피처들)을 배치하는 데 초점을 둔다. 이해하는 바와 같이, 본 명세서의 기술들은 (편향 등과 같이) 어시스트 피처들보다는 대안적인 광 근접 보정들에 적용되거나, 어시스트 피처들에 추가하여 광 근접 보정들(예를 들어, 편향 및 어시스트 피처들의 조합)에 적용될 수 있다.
1 이상의 어시스트 피처와 같은 1 이상의 광 근접 보정을 적용(예를 들어, 이의 존재, 위치, 타입, 형상 등과 같은 1 이상의 특성을 결정)하기 위해 전산(computational) 또는 경험적 모델(213)이 사용될 수 있다. 모델(213)은 디바이스 제조 공정의 1 이상의 특성(211)(처리 파라미터라고도 함), 또는 1 이상의 디자인 레이아웃 파라미터(212), 또는 둘 모두를 고려할 수 있다. 1 이상의 처리 파라미터(211)는 디바이스 제조 공정과 연계된 1 이상의 파라미터이며, 레이아웃과는 연계되지 않는다. 예를 들어, 1 이상의 처리 파라미터(211)는 조명의 특성(예를 들어, 세기, 퓨필 프로파일 등), 투영 광학기의 특성, 도즈, 포커스, 레지스트의 특성, 레지스트의 현상의 특성, 레지스트의 노광-후 베이킹의 특성, 또는 에칭의 특성을 포함할 수 있다. 1 이상의 디자인 레이아웃 파라미터(212)는 디자인 레이아웃 상의 다양한 피처들의 1 이상의 형상, 크기, 상대적 위치, 또는 절대적 위치, 및 상이한 디자인 레이아웃들 상의 피처들의 오버래핑을 포함할 수 있다. 경험적 모델에서, 이미지(예를 들어, 레지스트 이미지, 광학 이미지, 에칭 이미지)는 시뮬레이션되지 않는다; 대신에, 경험적 모델은 입력[예를 들어, 1 이상의 처리 파라미터(211) 또는 디자인 레이아웃 파라미터(212)]과 광 근접 보정 간의 상관관계에 기반하여 광학적 보정을 수행(예를 들어, 어시스트 피처를 배치)한다. 전산 모델에서는, 이미지의 특성 또는 부분이 계산되고, 계산된 이미지의 특성 또는 부분에 기초하여 광 근접 보정이 적용된다.
경험적 모델의 일 예시는 기계 학습 모델이다. 비지도(unsupervised) 기계 학습 및 지도(supervised) 기계 학습 모델들이 둘 다 광 근접 보정(예를 들어, 어시스트 피처들의 배치)을 수행하는 데 사용될 수 있다. 본 발명의 범위를 제한하지 않고, 지도 기계 학습 알고리즘들의 적용예들이 아래에서 설명된다.
지도 학습은 분류된(labeled) 트레이닝 데이터로부터 함수를 추론하는 기계 학습 작업이다. 트레이닝 데이터는 트레이닝 예시들의 세트를 포함한다. 지도 학습에서, 각각의 예시는 입력 객체(통상적으로, 벡터) 및 원하는 출력 값[감시 신호(supervisory signal)라고도 함]을 갖는 쌍이다. 지도 학습 알고리즘은 트레이닝 데이터를 분석하고, 추론된 함수를 생성하며, 이는 새로운 예시들을 매핑(map)하는 데 사용될 수 있다. 일 실시예에서, 최적 시나리오는 알고리즘으로 하여금 보이지 않는 인스턴스(unseen instance)들에 대한 클래스 라벨(class label)들을 올바르게 결정하게 할 것이다. 이는 학습 알고리즘이 "합리적인" 방식으로 트레이닝 데이터로부터 보이지 않는 상황들까지 일반화할 것을 요구한다.
xi가 i-번째 예시의 피처 벡터(feature vector)이고 yi가 그 라벨(즉, 클래스)이도록 {(x1,y1),(x2,y2),…,(xN,yN)} 형태의 N 개의 트레이닝 예시들의 세트가 주어지면, 학습 알고리즘이 함수
Figure pct00001
를 찾으며, 이때 X는 입력 공간이고 Y는 출력 공간이다. 피처 벡터는 일부 객체를 나타내는 수치적 피처(numerical feature)들의 n-차원 벡터이다. 기계 학습에서의 많은 알고리즘들이 객체들의 수치적 표현을 필요로 하는데, 이는 이러한 표현들이 처리 및 통계 분석을 용이하게 하기 때문이다. 이미지들을 나타내는 경우, 피처 값들은 이미지의 픽셀들에 대응할 수 있고, 텍스트들을 나타내는 경우에는 아마도 용어 발생 빈도(term occurrence frequency)에 대응할 수 있다. 이 벡터들과 연계된 벡터 공간은 흔히 피처 공간이라고 한다. 함수 g는 통상적으로 가설 공간이라고 하는 가능한 함수들 G의 일부 공간의 요소이다. 때로는, 최고 스코어를 제공하는 y 값을 반환하는 것으로서 g가 정의되도록 스코어링 함수(scoring function)
Figure pct00002
를 사용하여 g를 나타내는 것이 편리하며:
Figure pct00003
, 여기서 F가 스코어링 함수들의 공간을 나타낸다. G 및 F는 임의의 함수들의 공간일 수 있지만, 많은 학습 알고리즘들은 확률적 모델들일 수 있으며, 이 경우 g가 조건부 확률 모델의 형태 g(x) = P(y|x)를 취하거나, f가 동시 확률 모델의 형태 f(x,y) = P(x,y)를 취한다. 예를 들어, 나이브 베이즈(naive Bayes) 및 선형 판별 분석이 동시 확률 모델들인 반면, 로지스틱 회귀가 조건부 확률 모델이다.
f 또는 g를 선택하기 위한 2 개의 기본 접근법들: 경험적 위험 최소화 및 구조적 위험 최소화가 존재한다. 경험적 위험 최소화는 트레이닝 데이터에 가장 적합한 함수를 찾는다. 구조적 위험 최소화는 편향/분산 트레이드오프를 제어하는 페널티 함수를 포함한다.
두 경우 모두, 트레이닝 세트는 독립적이고 동일하게 분포된 쌍들(xi,yi)의 샘플을 갖는 것으로 가정된다. 함수가 트레이닝 데이터에 얼마나 잘 맞는지를 측정하기 위해, 목적 함수가 통상적으로 정의된다. 또는, 비용 또는 손실 함수
Figure pct00004
가 정의될 수 있다. 이 상황에서, 트레이닝 예시 (xi,yi)에 대해, 값
Figure pct00005
을 예측하는 손실은 L(yi,
Figure pct00006
)이다. 함수 g의 위험 R(g)은 g의 예상 손실로서 정의된다. 이는 트레이닝 데이터로부터
Figure pct00007
로서 추산될 수 있다.
지도 학습의 예시적인 모델들은 의사결정 나무, 앙상블[배깅(bagging), 부스팅, 랜덤 포레스트(random forest)], k-NN, 선형 회귀, 나이브 베이즈, 뉴럴 네트워크, 로지스틱 회귀, 퍼셉트론, SVM(support vector machine), RVM(relevance vector machine), 및 딥 러닝을 포함한다.
SVM은 지도 학습 모델의 일 예시이며, 이는 데이터를 분석하고 패턴들을 인식하며, 분류 및 회귀 분석에 사용될 수 있다. 두 카테고리 중 하나에 속하는 것으로 각각 표시되는 트레이닝 예시들의 세트가 주어지면, SVM 트레이닝 알고리즘은 새로운 예시들을 한 카테고리 또는 다른 카테고리에 할당하는 모델을 구축하여, 이를 비-확률적 이진 선형 분류기로 만든다. SVM 모델은 별도의 카테고리들의 예시들이 가능한 한 넓은 클리어 갭(clear gap)으로 나누어지도록 매핑되는, 공간 내의 지점들로서의 예시들의 표현이다. 그 후, 새로운 예시들이 그 동일한 공간으로 매핑되고 이들이 갭의 어느 쪽에 있는지에 기반하여 카테고리에 속하는 것으로 예측된다. 선형 분류를 수행하는 것에 추가하여, SVM은 그 입력들을 고차원 피처 공간들로 암시적으로 매핑하는, 커널 방법(kernel method)들이라고 하는 것을 이용하는 비-선형 분류를 효율적으로 수행할 수 있다.
커널 방법들은 사용자-지정 커널, 즉 원시 표현의 데이터 지점들의 쌍들에 걸친 유사도 함수만을 수반한다. 커널 방법들은 그 이름이 커널 함수들의 사용으로 인한 것이며, 이는 그 공간 내의 데이터 좌표들을 연산하지 않고 단순히 피처 공간 내의 모든 데이터 쌍들의 이미지들 간의 내적들을 연산함으로써 이들이 고-차원의 암시적 피처 공간에서 작동할 수 있게 한다. 이 작업은 흔히 좌표들의 명시적 계산보다 계산 비용이 싸다. 이 접근법은 "커널 트릭(kernel trick)"이라고 한다.
SVM의 유효성은 커널의 선택, 커널 파라미터들, 및 소프트 마진 파라미터(C)에 의존한다. 통상적인 선택은 가우시안 커널이며, 이는 단일 파라미터 γ를 갖는다. C 및 γ의 가장 좋은 조합은 흔히 기하급수적으로 증가하는 시퀀스들의 C 및 γ, 예를 들어 C ∈ {2-5, 2-4, …, 215, 216}; γ ∈ {2-15, 2-14, …, 24, 25}로의 그리드 검색["파라미터 스윕(parameter sweep)"이라고도 함]에 의해 선택된다.
그리드 검색은 학습 알고리즘의 하이퍼파라미터(hyperparameter) 공간의 수동으로 지정된 서브세트를 통한 철저한 검색이다. 그리드 검색 알고리즘은, 통상적으로 트레이닝 세트에 대한 교차-검증 또는 보류된 검증 세트에 대한 평가에 의해 측정되는 일부 성능 메트릭에 의해 안내된다.
교차 검증을 이용하여 파라미터 선택들의 각각의 조합이 체크될 수 있으며, 가장 우수한 교차-검증 정확성을 갖는 파라미터들이 선택된다.
때로는 회전 추산(rotation estimation)이라 하는 교차-검증은 통계 분석의 결과들이 독립적인 데이터 세트로 일반화하는 방식을 평가하기 위한 모델 검증 기술이다. 이는 주로 목표가 예측인 설정들에서 사용되며, 예측 모델이 실제로 얼마나 정확하게 수행될지를 추산하려는 것이다. 예측 문제에서, 모델에는 통상적으로 트레이닝이 실행되는 알려진 데이터의 데이터세트(트레이닝 데이터세트) 및 모델이 테스트되는 알려지지 않은 데이터(또는 처음 본 데이터)의 데이터세트(테스팅 데이터세트)가 제공된다. 교차-검증의 목표는 오버피팅과 같은 문제들을 제한하고, 모델이 독립적인 데이터 세트(즉, 예를 들어 실제 문제로부터의 알려지지 않은 데이터세트)로 일반화하는 방식에 대해 통찰을 제공하는 것 등을 위해 트레이닝 단계에서 모델을 "테스트"하는 데이터세트(즉, 검증 데이터세트)를 정의하는 것이다. 교차-검증의 한 라운드는 데이터의 샘플을 상보적인 서브세트(complementary subset)들로 분할하는 것, 한 서브세트(트레이닝 세트라고 함)에 대해 분석을 수행하는 것, 및 다른 서브세트(검증 세트 또는 테스팅 세트라고 함)에 대해 분석을 검증하는 것을 수반한다. 가변성을 감소시키기 위해, 교차-검증의 다수 라운드가 상이한 분할들을 이용하여 수행되고, 검증 결과들은 라운드들에 걸쳐 평균이 계산된다.
그 후, 새로운 데이터를 테스트하고 분류하는 데 사용될 수 있는 최종 모델은 선택된 파라미터들을 사용하여 전체 트레이닝 세트에서 트레이닝된다.
지도 학습의 또 다른 예시는 회귀이다. 회귀는 종속 변수들의 값들 및 대응하는 독립 변수들의 값들의 세트로부터, 종속 변수와 1 이상의 독립 변수 간의 관계들을 추론한다. 회귀는 독립 변수들이 주어지는 종속 변수의 조건부 기댓값을 추산할 수 있다. 추론된 관계들은 회귀 함수라고 할 수 있다. 추론된 관계들은 확률적일 수 있다.
일반적으로, 디자인 레이아웃은 수십억 개의 패턴을 가지고 있으며, 이러한 패턴의 샘플은 패터닝 공정 파라미터, 트레이닝 프로세스 모델 등을 결정하기 위해 많이 선택된다. 따라서, 디자인 레이아웃을 대표하는 다양한 패턴을 선택하는 것이 바람직하다. 그러나, 풀칩 회로 설계에서 기계 학습 SRAF를 위한 샘플을 선택하기 위해, 학습을 위한 다양한 샘플(예: 대표 패턴)을 체계적이고 효율적으로 선택할 수 있는 명확한 방법이 현재 없었다. 알려진 일 접근 방식에서, 패턴 샘플은 회로 디자인 설계의 중요한 위치 또는 알려진 핫스팟에 대한 인간의 직감 또는 지식을 기반으로 디자인 레이아웃으로부터 추출된다. 또 다른 접근 방식에서, 디자인 레이아웃에서 고유한 패턴을 식별하기 위해 패턴 검색 알고리즘이 정의된다. 이러한 검색 알고리즘에서는 원하는 패턴과 관련된 원하는 특성을 정의하고, 검색 알고리즘은 디자인 레이아웃 내에서 이러한 원하는 특성을 만족하는 패턴을 검색한다. 그러나, 이러한 검색 알고리즘은 정확하지 않고 특성이 사용자 정의되어 체계적으로 정의되지 않을 수 있다.
풀칩 회로 디자인은 패턴의 다양성이 많기 때문에 (디자인 레이아웃에 존재하는 실제 고유 패턴보다) 상대적으로 적은 고유 패턴이 기계 학습을 위해 선택될 수 있으며, 이는 과소 적합 모델(예: 기계 학습 모델)을 초래한다. 또 다른 경우, 기계 학습을 위해 비교적 많은 수의 유사한 패턴이 선택되어 과적합 모델로 이어질 수 있다. 또한, 풀칩에서 고유한 디자인을 체계적으로 캡처하는 명확한 방법이 없기 때문에, 기계 학습된 모델은 풀칩 디자인에 대한 커버리지 범위가 저조하다. 일 실시예에서, 커버리지는 대략 전체 디자인 레이아웃(예: 수십억 개의 패턴을 가짐)을 커버하거나 나타내는 패턴의 선택된 세트(예: 500,000; 250,000, 100,000 이하)를 지칭한다. 따라서, 일 실시예에서, 높은 커버리지는 모든 디자인 패턴이 선택된 패턴 세트에 의해 커버되는 범위가 상대적으로 높다는 것을 나타내는 반면, 낮은 커버리지는 비교적 적은 수의 디자인 패턴이 선택된 패턴 세트에 의해 커버된다는 것을 나타낸다.
도 4a는 광 근접 보정을 예측하기 위해 기계 학습 모델을 트레이닝하기 위한 대표 패턴을 결정하는 방법(400)의 흐름도이다. 이러한 대표 패턴은 트레이닝된 기계 학습 모델이 과적합되거나 과소 적합되지 않도록 한다. 즉, 모델(예: 기계 학습 모델)을 트레이닝하기 위해 대표 패턴을 사용하면, 트레이닝 데이터에 상대적으로 더 많은 수의 유사한 패턴이 포함되지 않아 과적합이 없고, 트레이닝 데이터가 다른 패턴을 생략하지 않아 과소 적합하지 않다.
방법(400)의 절차(P402)는, 패턴 그룹(402) 세트를 포함하는 디자인 레이아웃(403)을 획득하는 단계를 포함하며, 패턴의 각 그룹은 하나 이상의 서브 그룹(401)을 포함한다. 도 4c는 예를 들어, {G1, G2, G3, G4, G5, G7, G8, G9, G10...}과 같은 패턴 그룹(402)의 세트를 포함하는 디자인 레이아웃(403)의 예를 도시한다. 또한, 패턴 그룹은 서브 그룹 {sg1, sg2, sg3 … }을 포함하는 그룹 G1과 같이, 하나 이상의 서브 그룹(401)을 포함한다. 일 실시예에서, 패턴 그룹 세트는 디자인 레이아웃(403) 상의 상이한 위치로 퍼진다.
일 실시예에서, 패턴 그룹은 패턴의 각 그룹이 패턴의 하나 이상의 서브 그룹을 포함하는 계층 구조로 배열된다. 따라서, 서브 그룹(예: 도 4c의 sg2)은 둘 이상의 그룹(예: 도 4c의 G1 및 G3)에 나타난다. 일 실시예에서, 패턴 그룹의 계층구조는 패턴 그룹을 나타내기 위해 사용되는 데이터 구조를 지칭한다. 일 실시예에서, 계층 구조는 디자인 기준에 따라 다른 것보다 상위에 있는(예: 순위가 매겨진) 데이터 구조 내에서 배열되는 패턴의 하나 이상의 하위 그룹을 포함한다. 예를 들어, 서브 그룹은 패턴의 서브 그룹 내의 피처의 수, 패턴의 서브 그룹의 중요도(criticality), 또는 다른 기준에 기반하여 계층적으로 배열될 수 있다. 계층 구조는 여러 서브 레벨을 포함하며, 각 서브 레벨에는 패턴의 하나 이상의 서브 레벨이 포함된다. 예를 들어, G1은 최상위 레벨로 간주될 수 있고, 서브 그룹 sg1, sg2 및 sg3은 G1의 첫 번째 서브 레벨의 일부일 수 있다. 또한, 서브 그룹(예: sg1)은 제1 서브 레벨의 서브 레벨로 간주될 수 있는 다른 서브 그룹(예: sg11, sg12, sg13 등(미도시))을 포함할 수 있다. 본 발명은 디자인 레이아웃의 특정 계층 구조에 제한되지 않는다.
일 실시예에서, 계층 구조는 패턴의 특정한 서브 그룹을 찾기 위해 이러한 구조 내의 검색이 수행될 수 있도록 구성된다. 일 실시예에서, 패턴의 특정 서브 그룹 주위에 시각적 마커 또는 경계가 생성되어, 특정한 서브 그룹의 하나 이상의 위치가 디자인 레이아웃에 표시될 수 있다. 일 실시예에서, 마커 내의 피처는 트레이닝, OPC 생성, 또는 다른 애플리케이션을 위해 사용될 수 있다. 일 실시예에서, 이러한 시각적 마커는 위치의 중요도와 특정 서브 그룹의 연동을 가능하게 할 수 있다(예: 핫스팟 위치는 다른 위치에 비해 매우 중요함). 일 실시예에서, 핫스팟과 관련된 패턴은 패터닝 공정의 공정 윈도우(예: 도즈, 포커스), 공정 윈도우를 최대화하기 위한 OPC, 및/또는 하나 이상의 프로세싱 파라미터의 값을 결정하기 위해 사용될 수 있다.
일 실시예에서, 계층적 표현은 디자인 레이아웃에 체계적인 데이터 구조를 제공함으로써 디자인 레이아웃(403)의 대표 패턴에 대한 체계적인 검색을 가능하게 한다.
또한, 절차(P404)는 패턴 그룹(402)(및 확장하여 디자인 레이아웃(401))의 세트의 대표 패턴(404)을 결정하는 것을 포함한다. 대표 패턴은 해당 인스턴스가 그룹 패턴 세트에 나타나는 서브 그룹이다. 예를 들어, 도 4c와 같은 대표 패턴 세트는 서브 그룹 sg1, sg2, sg3 및 sgx를 포함하는 R이다. 도시된 바와 같이, 대표 패턴 sg1은 특히 그룹 G1, G2 및 G4에서 복수의 인스턴스를 갖는다. 도시된 바와 같이, sg1의 인스턴스는 디자인 레이아웃(403) 상의 상이한 위치에 위치한다. 일 실시예에서, 마커 또는 경계는 검색되는 패턴의 인스턴스들 각각의 인스턴스 주위에 그려질 수 있다.
검색의 예는 도 7a-7b 및 8에 시각적으로 도시되어 있다. 도 7a는 예시적인 디자인 레이아웃(700)이고, 패턴(701)의 그룹(예를 들어, 디자인 레이아웃(700)의 일부)은 도 7b에 도시되어 있다. 패턴(700)의 그룹 내에서, 대표 패턴(801)의 인스턴스(810)가 도 8에 도시되어 있다. 도 8에서, 대표 패턴(801) 주위에 아웃라인 또는 마커가 또한 생성되어, 디스플레이 화면상의 대표 패턴을 강조하기 위한 이미지에 제공될 수 있다
일 실시예에서, 도 4b의 흐름도에 도시된 바와 같이, 대표 패턴(404) 세트의 결정은 반복 프로세스이다. 반복은 절차(P414)에서 패턴 그룹(402)(예: G1-Gn)의 세트의 계층 구조 내에서 패턴(412)(예: sg1)의 주어진 서브 그룹의 인스턴스(414)를 검색하는 것을 포함한다. 또한, 절차(P416)는 대표 패턴(416)(예: 도 4C의 R)으로서 주어진 서브 그룹의 인스턴스(예: 도 4C에 도시된 바와 같이)를 분류하는 것을 포함한다. 일 실시예에서, 카테고리화는 대표 패턴(404)의 세트를 생성하는 것을 수반하며, 여기서 세트의 요소는 검색된 서브 그룹(412)의 모든 인스턴스를 나타내는 일 패턴이다.
또한, 일 실시예에서 대표 패턴과 관련된 정보가 추출될 수 있다. 예를 들어, 절차(P418)는 디자인 레이아웃으로부터 대표 패턴(예를 들어, sg1)과 연관된 패턴 정보(418)를 추출하는 것을 포함한다. 일 실시예에서, 패턴 정보(418)는 대표 패턴의 하나 이상의 특성을 포함한다. 일 실시예에서, 하나 이상의 특성은 기하학적 특성(예를 들어, 크기, 모양 등), 서로에 대한 피처의 상대적 위치, 디자인 레이아웃 내의 대표 패턴의 상대적 위치, 또는 다른 특성들을 포함한다.
전술된 바와 같이, 종래의 기술은 패턴 탐색 알고리즘을 기반으로 디자인 레이아웃 내에서 패턴을 탐색하는 것을 포함한다. 이러한 알고리즘은 패턴의 특정한 특성을 입력으로 수신하고 이러한 특성을 충족하는 디자인 레이아웃(또는 그 일부) 내의 패턴을 검색하도록 구성된다. 일 실시예에서, 검색은 패턴화 형상, 크기, 피처 간의 상대적 위치를 입력 특성과 비교하는 것을 포함한다. 그러나 검색 결과는 일반적으로 정확하지 않으며, 여러 패턴이 유사한 패턴으로 부정확하게 분류되어 특정 고유 패턴이 중복되거나 누락될 수 있다. 더욱이, 디자인 레이아웃의 수십억 패턴 또는 그 일부에 대한 이러한 특성 기반 검색은 계산 집약적이며 시간 효율적이지 않다.
한편, 본 발명에서, 패턴의 서브 그룹에 대한 검색은 주어진 서브 그룹의 패턴 모양 및 크기를 패턴 그룹 내의 패턴 모양 및 크기와 직접 비교하지 않는다. 예를 들어, 검색은 먼저 패턴의 서브 그룹과 관련된 식별자(예: 이름 또는 영숫자, 문자열 등)를 기반으로 검색한다. 예를 들어, 패턴 그룹의 각 그룹은 제1 식별자와 연관되고, 패턴의 하나 이상의 서브 그룹은 제2 식별자와 연관된다.
따라서, 절차(P404)는 식별자에 기반하여 대표 패턴 세트를 결정하도록 수정될 수 있다. 예를 들어, 절차(P404)는 주어진 서브 그룹과 연관된 제2 식별자를 패턴 세트의 각 그룹의 계층 내의 식별자와 비교하는 단계; 상기 비교에 기반하여, 동일한 제2 식별자를 가지는 패턴 그룹 내의 패턴의 서브 그룹의 인스턴스를 식별하는 단계; 및 주어진 서브 그룹의 인스턴스를 대표 패턴으로서 분류하는 단계를 포함한다.
초기 검색이 패턴 특성(예를 들어, 하나 이상의 피처의 형상 및 크기)의 비교에 기반하지 않지만, 상기 방법은 식별된 패턴의 상이한 인스턴스 사이의 특성 비교를 수행하도록 추가로 확장될 수 있다. 비교는 전체 디자인 레이아웃에 비해 상대적으로 작은 패턴 세트에서 수행되므로, 이러한 비교는 덜 계산 집약적이며 빠르다.
도 4a를 다시 참조하면, 절차(P406)의 방법(400)은 대표 패턴(404)의 세트를 사용하여 광 근접 보정 프로세스를 시뮬레이션함으로써 대표 패턴(404)의 세트와 연관된 기준 광 근접 보정 데이터(406)를 획득하는 것을 포함한다. 일 실시예에서, 기준 광 근접 보정을 획득하는 단계는 대표 패턴과 연관된 패턴 정보를 사용하여 패턴화 과정을 위한 광 근접 보정 프로세스(예: 도 3과 관련하여 논의됨)를 시뮬레이션한다.
도 6은 기준 패턴(404)에 대응하는 그레이스케일 이미지(예: CTM) 형태의 예시적인 기준 데이터(406)를 도시한다. 이 예에서, 각각의 기준 패턴(404)은 기준 CTM 이미지(406)와 연관된다. 일 실시예에서, 404 및 406 모두는 픽셀화된 이미지일 수 있고 이러한 이미지 각각의 픽셀 값은 기계 학습 모델을 트레이닝하는 데 사용될 수 있다. 이러한 방식으로 트레이닝할 경우, 기계 학습 모델은 대표 패턴의 피처와 OPC 간의 상관관계(예: CNN의 상이한 레이어들의 가중치 및 편향 값의 형태로)를 설정한다. 일 실시예에서, 그러한 상관관계는 임의의 패턴에 대한 OPC를 예측하기 위해 추가로 사용될 수 있다.
본 발명은 OPC와 같은 특정 참조 데이터에 제한되지 않는다. 당업자는 방법(400)을 수정하여 대표 패턴과 관련된 다른 특성 데이터를 생성하고 디자인 레이아웃과 연관된 특성을 예측하기 위하여 기계 학습 모델을 트레이닝하기 위해 이러한 특성 데이터를 사용할 수 있다.
일 실시예에서, 광 근접 보정은 디자인 레이아웃의 원하는 패턴과 연관된 어시스트 피처의 배치를 포함한다. 일 실시예에서, 광 근접 보정은 이미지의 형태이고, 트레이닝은 이미지 또는 이미지의 픽셀 데이터에 기반한다. 전술된 바와 같이(예: 도 3에서), 이미지는 연속 투과 마스크(CTM) 이미지 및/또는 어시스트 피처 안내 맵이며, 여기서 CTM 이미지 및 안내 맵은 대표 패턴 세트와 관련된 어시스트 피처의 위치를 제공한다. 일 실시예에서, 어시스트 피처 안내 맵은 모델 기반 OPC 시뮬레이션, 또는 룰 기반 OPC 시뮬레이션에 의해 생성된다.
일 실시예에서, OPC의 어시스트 피처의 하나 이상의 특성은 대표 패턴(404) 중 하나 이상 또는 대표 패턴의 하나 이상의 특성에 기반하여 임의의 적절한 방법을 사용하여 결정된다. 예를 들어, 어시스트 피처의 하나 이상의 특성은 미국 특허 번호 9,111,062 또는 Y. Shen, et al., Level-Set-Based Inverse Lithography For Photomask Synthesis, Optics Express, Vol. 17, pp. 23690-23701(2009)에 설명된 방법을 사용하여 결정될 수 있으며, 그 개시 내용은 그 전체가 본 명세서에 참고로서 포함되고/되거나 본 명세서에 참고로서 통합된 임의의 문서에 설명된 임의의 다른 기술을 포함한다. 예를 들어, 기준 OPC 데이터(406)는 어시스트 피처의 하나 이상의 기하학적 특성(예: 절대적 위치, 상대적 위치 또는 형상), 어시스트 피처의 하나 이상의 통계적 특성(예: 어시스트 피처의 기하학적 치수의 평균 또는 분산), 어시스트 피처의 파라미터화(예: 특정 기초 기능에 대한 투영과 같은 어시스트 피처의 함수의 하나 이상의 값) 또는 이미지 또는 이미지 데이터 보조 기능(예: 픽셀화된, 이진 맨해튼(binary Manhattan), 이진 곡선 또는 연속 톤 이미지 및/또는 연관된 위치가 있는 픽셀 값)과 같은 하나 이상의 특성을 포함할 수 있다.
트레이닝 데이터(예: 대표 패턴(404) 및 기준 데이터(406))가 이용 가능하면, 절차(P408)는 대표 패턴(404) 및 기준 광 근접 보정 데이터(406) 세트에 기반하여 디자인 레이아웃(403)에 대한 광 근접 보정(410)을 예측하도록 구성된 기계 학습 모델을 트레이닝하는 단계를 포함한다.
일 실시예에서, 디자인 레이아웃의 대표 패턴(404)의 하나 이상의 특성 및 참조 데이터(406)의 하나 이상의 특성(예: 어시스트 피처와 연관됨)의 값은 샘플로서 트레이닝 데이터에 포함된다. 일 실시예에서, 하나 이상의 특성은 샘플의 특징 벡터(feature vector)(입력 벡터라고도 함)에 포함되고 하나 이상의 특성은 샘플의 기준(감독 신호 또는 응답 벡터라고도 함)으로서 포함된다. 절차(P408)에서, 기계 학습 모델(410)은 트레이닝 데이터를 이용하여 학습에 목적 함수(예: 손실 또는 비용 함수)가 사용될 수 있도록 트레이닝된다. 목적 함수는 대표 패턴을 입력으로 하는 기계 학습 모델에 의해 출력된 참조 데이터(예: OPC 이미지)와 예측 데이터(예: 이미지)의 차이일 수 있다.
본 발명은 여러 응용이 가능하다. 일 실시예에서, 대표 패턴(404) 또는 404의 하나 이상의 특성은 트레이닝된 기계 학습 모델에 대한 입력으로 제공되고, 대표 패턴(404)에 대한 하나 이상의 어시스트 피처의 하나 이상의 특성은 트레이닝된 기계 학습의 출력으로서 획득된다. 하나 이상의 특성은 어시스트 피처의 하나 이상의 기하학적 특성(예를 들어, 절대적 위치, 상대적 위치 또는 형상)을 포함할 수 있다. 하나 이상의 특성은 특정 기초 기능에 대한 투영과 같은, 어시스트 피처의 파라미터화를 포함할 수 있다. 하나 이상의 특성은 어시스트 피처의 이미지(픽셀화된, 이진 맨해튼, 이진 곡선 또는 연속 톤) 또는 이미지 데이터(예: 연관된 위치가 있는 픽셀 값)를 포함할 수 있다. 어시스트 피처의 하나 이상의 특성은, 예를 들어 그 개시 내용의 전체가 본 명세서에 참조로서 포함되는 미국 특허 출원 공개 번호 2008/0301620에 설명된 방법을 사용하여 어시스트 피처들 사이의 충돌을 피하기 위해 조정될 수 있다.
도 9는 본 발명의 예시적인 실시예에 따른 기계 학습 모델(예를 들어, 절차(P408)에서) 및 관련 데이터를 트레이닝하는 예이다. 도 9에서, 클립(9001, 9002, 9003, 9004)들과 같은 하나 이상의 클립은 풀 칩 패턴(9000)으로부터의 대표 패턴(예: 404)일 수 있다. 이 예에서, 클립은 접촉 홀 배열에 대응한다. 풀 칩 패턴(9000)에서 볼 수 있는 바와 같이, 수십억 개의 패턴이 있을 수 있으며, 따라서 풀 칩 패턴에 대해 정확하고 일관되며 신속하게 광 근접 보정을 수행하는 것이 중요한 과제임을 알 수 있다. 전술된 바와 같이, 대표 패턴은 예를 들어 절차(P404)에서 논의된 바와 같이 체계적으로 획득된다. 반면, 종래의 접근 방식은 예를 들어 숙련된 칩 설계자의 경험적 방법, 주파수 또는 스펙트럼 분석, 또는 이들의 조합을 기반으로 한다. 이러한 접근 방식은 전술된 바와 같이 과적합 또는 과소 적합된 모델을 초래하는 단점이 있다.
일 실시예에서, 샘플 클립(9001-9004)은 렌더링된 클립(9011, 9012, 9013, 9014)과 같은 처리된 입력 데이터(9011, 9012, 9013, 9014)로 렌더링될 수 있다. 일 실시예에서, 이러한 렌더링은 필요하지 않다. 여기서, 이진화된 클립(9001, 9002, 9003, 9004)은 그레이 레벨 클립(9011, 9012, 9013, 9014)으로 변환된다. 렌더링을 위한 추가적인 또는 대안적인 가능성은 클립에 적용된 초기 RET, 예를 들어, SMO의 애플리케이션, 클립 내의 하나 이상의 피처의 바이어스 등을 포함할 수 있다.
또한, 트레이닝 프로세스는 CTM 맵(9021-9024)(도 9에 도시된 바와 같이, 어두운 피처에 인접한 밝은 회색은 SRAF와 같은 어시스트 피처에 대응함)과 같은 샘플 클립(9001-9004)에 각각 대응하는 광 근접 보정 데이터(9021, 9022, 9023, 9024)를 획득한다. 이후, 데이터(9011-9014) 및 대응하는 데이터(9021-9024)는 기계 학습 모델을 트레이닝하는 데 사용된다(예: 도 4의 절차(P408)에서 논의됨).
일 실시예에서, 기계 학습 모델은 특정 패터닝 디바이스 패턴에 고유할 수 있다. 즉, 다른 패터닝 디바이스 패턴에 대해 기계 학습 모델을 재 트레이닝할 수 있다. 일부 실시예에서, 하나의 트레이닝된 기계 학습 모델은 유사한 클립 패턴을 가지는 여러 개의 상이한 패터닝 디바이스 패턴에 사용될 수 있다.
일 실시예에서, 기계 학습 모델은 패터닝 디바이스 패턴에 사용되는 특정 디바이스 제조 공정에 고유하다. 예를 들어, 디바이스 제조 공정은 사용된 특정 조명 유형, 사용된 특정 레지스트, 특정 투영 시스템 설정 등의 양태에서 구성될 수 있다. 이러한 디바이스 제조 공정 파라미터 중 하나 이상이 "truth" 데이터를 생성하는 데 사용될 수 있고, 따라서 기계 학습 모델은 디바이스 제조 프로세스의 특정 구성에 고유할 수 있다. 이해되는 바와 같이, "truth" 데이터의 생성은 하나 이상의 프로세스 파라미터에 대한 섭동(perturbation)을 설명하는 시뮬레이션을 포함할 수 있으므로, 기계 학습 모델은 디바이스 제조 프로세스의 특정 구성의 변동으로 확장될 수 있다. 일 실시예에서, 디바이스 제조 프로세스의 특정 구성이 물질적 측면에서 변경되면, 새로운 기계 학습 모델을 트레이닝해야 하거나 이전의 유사한 기계 학습 모델을 재 트레이닝해야 할 수 있다.
일 실시예에서, 방법(400)은 패터닝 공정을 개선하기 위해 트레이닝된 기계 학습 모델(410)을 사용하는 방법(500)과 협력하여 구현될 수 있다. 예를 들어, 공정 윈도우, OPC, 수율 등과 같은 패터닝 공정의 양태 중 하나 이상을 개선할 수 있다.
일 실시예에서, 방법(500)은 절차(P501)에서 트레이닝된 기계 학습 모델(410)의 실행을 통해 주어진 디자인 레이아웃(501)과 연관된 마스크 패턴 데이터(510)를 결정하는 것을 포함한다. 또한, 절차(P501)는 기판을 이미지화하기 위한 패터닝 공정에서 사용될 마스크 패턴 데이터(510)를 출력하는 단계를 포함할 수 있다. 데이터(510)는 예를 들어, GDS 형식, 텍스트 파일, 또는 다른 적절한 형식으로 출력될 수 있다.
일 실시예에서, 마스크 패턴 데이터는 리소그래피 공정에서 사용될 마스크의 하나 이상의 특성을 포함한다. 예를 들어, 하나 이상의 특성은 마스크 패턴의 기하학적 특성(예: OPC, 패턴의 형상/크기), 마스크의 반사율, 마스크의 투과율 등일 수 있다. 일 실시예에서, 마스크 패턴 데이터는 패터닝 공정이 도즈, 포커스, 조명 강도, 및/또는 조명 퓨필을 포함하는 프로세스 파라미터 중 하나 이상을 조정하는 특성(예: OPC)을 포함한다.
일 실시예에서, 마스크 패턴 데이터(510)는 패터닝 공정과 연관된 다양한 엔티티(예: 벤더, 컴퓨터 시스템 등)에 의해 사용될 수 있다. 일 실시예에서, 마스크 패턴 데이터(510)는 예를 들어 디지털 형식(예: GDS 포맷, 텍스트 파일, 또는 임포트된 엔티티의 컴퓨터 시스템과 호환되는 다른 적절한 포맷)으로 추출되거나 마스크 제조자에게 제공될 수 있다. 따라서, 일 실시예에서, 절차(P510)는 마스크 패턴 데이터(510)를 사용하는 마스크 제조 장치를 통해, 기판을 이미지화하기 위한 리소그래피 공정에서 사용될 마스크(520)를 제조하는 단계를 포함한다. 따라서, 보다 빠르고 정확한 마스크 패턴 데이터는 마스크 제조 공정 및 그로부터 제조되는 마스크를 개선할 수 있다. 이러한 마스크가 예를 들어 리소그래피 장치를 통해 패터닝 공정에서 차례로 사용될 때, 인쇄된 패턴은 원하는 패턴과 거의 일치할 것이다.
일 실시예에서, 마스크 패턴 데이터(510)는 패터닝 공정 또는 패터닝 공정과 연관된 모델의 시뮬레이션에 대한 입력으로서 제공될 수 있다. 일 실시예에서, 절차(P530)는 트레이닝된 기계 학습 모델(410)과 협력하여 프로세스 모델(예: 광학 모델, 레지스트 모델, 에칭 모델 등)을 시뮬레이션함으로써, 주어진 디자인 레이아웃의 원하는 패턴과 연관된 프로세스 조건(530)을 결정하는 것을 포함한다. 일 실시예에서, 프로세스 조건(530)은 도즈, 포커스, 조명 강도, 및/또는 조명 퓨필을 포함하는 프로세스 파라미터 중 하나 이상의 값을 포함한다.
또한, 절차(P532)는 디자인 레이아웃(501)에 대응하는 마스크(525)를 사용하는 프로세스 조건(530)에 따라 구성된 리소그래피 장치를 통해 기판을 노광시키는 단계를 포함한다. 일 실시예에서, 마스크(525)는 전술된 절차(P510)에 따라 제조된다. 그러나, 마스크(525)(또는 그 마스크의 패턴 데이터)는 특정 마스크 제조 공정에 한정되지 않는다. 예를 들어, 시뮬레이션 단계는 종래의 프로세스 시뮬레이션 방법(예: SMO, MO 등)에 따라 생성된 임의의 마스크 패턴 데이터도 수신할 수 있다.
전술된 바와 같이, 광 근접 보정은 예를 들어 기판 상에 디자인 레이아웃을 형성하기에 충분한 공정 윈도우(PW)를 제공하는 것을 목적으로 (예를 들어, 고급 로직 디바이스의) 디자인 레이아웃을 수정한다. 예를 들어, (OPC의 일 예시로서) 어시스트 피처, 특히 SRAF는 격리된 피처들이 조밀하게 나타나는 방식으로 디자인 레이아웃의 격리된 주 피처들의 환경을 수정할 수 있으며, 이는 충분한 공정 윈도우(PW)를 제공함으로써 이러한 주 피처의 축소(scaling down)를 가능하게 할 수 있다. 따라서, 풀-칩에 걸쳐 충분하고 정확하며 일관되는 광 근접 보정이 요구된다. 하지만, 광 근접 보정의 실행 시간은 적시에 풀-칩에 광 근접 보정을 적용할 수 있도록 빨라야 한다.
광 근접 보정 기술들 중에서, 모델 기반 광 근접 보정 접근법이 우수한 정확성과 일관성으로 큰 공정 윈도우(PW)를 전달할 수 있지만, 흔히 속도를 희생한다. 예를 들어, SMO-MO는 큰 공정 윈도우(PW)를 전달할 수 있는 광 근접 보정 기술이다. 일 실시예에서, SMO-MO는 SMO 프로세스를 사용하여 최적 조명 및 패터닝 디바이스 패턴을 식별할 수 있고(이 최적화는 사용되는 OPC 보정들의 타입에 관하여, 예를 들어 어시스트 피처들의 적용 없이 제약될 수 있음), 그 후 최적 조명이 사용되어 광 근접 보정(예를 들어, 어시스트 피처들의 적용)에 관하여 패터닝 디바이스 패턴을 더 최적화한다. 일 실시예에서, SMO-MO는 연계된 비용 함수가 최소화/최대화되도록 연속톤 패터닝 디바이스 패턴을 최적화하기 위해 그래디언트-기반(gradient-based) 반복 접근법을 사용한다. 각각의 반복에서, 패터닝 디바이스 패턴의 그래디언트 맵이 계산되고 그래디언트 맵은 최적화의 방향(예를 들어, 어시스트 피처들의 배치와 같은 OPC의 적용)을 안내하기 위해 더 사용된다. SMO-MO는 매우 정확할 수 있으며, 가장 큰 공정 윈도우를 산출할 수 있다; 하지만, 실행 시간은 풀-칩 적용들에 대해 매우 길어질 수 있다.
광 근접 보정을 위한 또 다른 모델 기반 접근법은 소위 SRAF 안내 맵(SGM)(예를 들어, 앞서 본 명세서에 인용참조된 미국 특허 출원 공개공보 US 2008-0301620호 참조)을 사용하는 것이며, 이는 다른 접근법들보다 비교적 빠르지만 최적 공정 윈도우를 제공하기에는 부족할 수 있다.
다른 풀-칩 광 근접 보정들은 SMO-MO보다 비교적 빠르지만, 각각 소정의 단점을 가질 수 있다. 예를 들어, 룰 기반 광 근접 보정 접근법들은 (어시스트 피처들의 배치와 같은) 광 근접 보정들을 적용하기 위해 2차원(2D) 규칙들의 적용을 수반한다. 하지만, 규칙들의 결정 및 포괄성(comprehensiveness)은 구현하기 어려울 수 있으며, 로직 적용들에 대한 2D 규칙들의 정확성을 보장하지 않을 수 있다.
어시스트 피처와 관련하여, 일반적으로 이들의 배치는 프로세스가 적시에 완료될 수 있도록 광 근접 보정 프로세스에 사용되는 패터닝 디바이스 패턴의 픽셀 크기보다 정확도가 낮아야 한다. 예를 들어, 정확도는 0~10nm의 범위에서 선택되어야 한다. 대안적으로 또는 추가적으로, 어시스트 피처들의 배치에서의 일관성 및/또는 대칭이 중요하게 요구된다. 일관성은 실질적으로 유사한 어시스트 피처 배치를 가지는 패터닝 디바이스 패턴(예를 들어, 풀-칩 패터닝 디바이스 패턴)에서의 반복 패턴들을 지칭한다. 어시스트 피처 배치는 바람직하게는 패턴 대칭 및 조명 분포 형상 대칭을 따르는 대칭(예를 들어, 다이폴 또는 쿼드러폴 조명으로의 대칭)을 가져야 한다. 하지만, 기존 기술들은 풀-칩 레벨에서 이러한 정확성, 일관성 및/또는 대칭을 제공하지 않을 수 있다.
따라서, 일 실시예에서 그리고 도 3 및 도 4를 참조하여 앞서 어느 정도 이미 설명된 바와 같이, 기계 학습 프로세스는 (어시스트 피처들의 배치와 같은) 광 근접 보정의 정확하고 완전한 적용을 가능하게 하는 데 유리할 수 있고, 예를 들어 풀-칩 적용들에 대해 신속하게 수행될 수 있다.
기존의 딥 러닝 구조들은 이미지 인식에 이용 가능하지만, 본 명세서의 기계 학습 기술들은 트레이닝 및 트레이닝된 기계 학습 모델의 사용을 위한 기계 학습 모델에 제공되는 입력들, 기계 학습 모델로부터의 출력, 원하는 분해능 및/또는 기계 학습 모델을 트레이닝하는 데 사용되는 목적 함수를 포함하되 이에 제한되지는 않는, 여러 측면들에서 이러한 이미지 인식과 상이하다.
예를 들어, 클립 레벨(풀-칩 패터닝 패턴의 부분을 지칭함)에서 풀-칩 패터닝 디바이스 패턴까지 (SMO-MO와 같은) 전술된 기술들 중 일부의 공정 윈도우 이점들을 전파하기 위해, 풀-칩 패턴과 같은 패터닝 디바이스 패턴에 관한 데이터에 기반하여 기계 학습 모델에 의한 광 근접 보정의 예측을 가능하게 하기 위해, 예를 들어 클립 레벨에서 이러한 다른 기술들로부터의 데이터를 트레이닝 데이터로서 취하는 기계 학습 기반 광 근접 보정 기술이 본 명세서에서 설명된다. 일 실시예에 따르면, 기계 학습 모델은 SMO-MO에 의해 생성되는 트레이닝 데이터를 사용하여 트레이닝되고, 후속하여 트레이닝된 모델은 광 근접 보정 적용을 위한 풀-칩 레이아웃에 적용된다.
또한, 일 실시예에서, 딥 러닝이 광 근접 보정 예측(예를 들어, SRAF 배치 문제)에 적용될 수 있다. 통상적인 기계 학습 기술들과 비교하면, 딥 러닝은 예를 들어: 1) 수동 피처 엔지니어링을 필요로 하지 않으며, 2) 많은 양의 데이터를 학습할 수 있고, 3) 높은 정확성을 가질 수 있다.
일 실시예에서, 본 명세서의 기술들은 ASML Tachyon OPC 흐름과 같은 풀-칩 OPC 흐름으로 완벽하게 통합될 수 있다. 예를 들어, 예측된 광 근접 보정 맵(예를 들어, 어시스트 피처 맵)이 사용되어 디자인 패턴에 대한 광 근접 보정들(예를 들어, SRAF 추출)을 적용하고, 추가 OPC를 거칠 수 있다. 추가적으로, 사용자-정의 마스크 제약이 광 근접 보정들의 적용에 적용될 수 있으며, 및/또는 프린트 체크가 공정 조건들에서 (예를 들어, ASML의 LMC 툴을 사용하여) 수행될 수 있다.
일 실시예에서, 본 명세서의 기계 학습 기술들은 이미지 예측이 요구되는 OPC의 다른 적용예들, 예를 들어 고급 역계산 리소그래피 엔진의 초기화로 확장될 수 있다.
광 근접 보정 예측을 위해, 타겟 디자인 패턴(이는 클립 또는 풀칩일 수 있음)을 사용하여 그 타겟 디자인 패턴에 대한 (최적화된) 광 근접 보정 예측을 예측하는 것이 바람직하다. 하지만, 기계 학습 입력 및 출력에 대해 많은 상이한 옵션들이 존재한다. 일 실시예에서, 타겟 디자인 패턴 및 광 근접 보정된 디자인 패턴이 일반적으로, 예를 들어 제조를 위해 GDS(GDSII), OASIS 또는 다른 유사한 형식일 수 있으며, 이는 이들이 이진(binary)임을 의미한다. 기계 학습 프로세스에 대해, 광 근접 보정의 이미지를 예측하기 위해 이미지가 사용될 수 있다. 따라서, 일 실시예에서, GDS(GDSII), OASIS 또는 다른 유사한 포맷의 이진 타겟 디자인 패턴은 픽셀화된 이미지로 전환된다. 첫번째 가능성에서, 타겟 디자인 패턴은 이진 픽셀화된 이미지로 전환된다. 또 다른 가능성에서, 타겟 디자인 패턴은 그레이-스케일 픽셀화된 이미지로 전환된다. 전술된 바와 같이, 후자의 옵션 - 그레이-스케일 픽셀화된 이미지를 선택하는 것이 바람직할 수 있다. 이에 대한 근거들은, 예를 들어 다음을 포함한다: 1) 동일한 주어진 픽셀 크기(이미지 분해능)에 대해, 그레이-스케일 이미지가 그레이 레벨들의 수에 따른 "연속" 세기의 추가적인 자유도로 인해 이진 이미지보다 훨씬 더 많은 정보를 가진다. 즉, 이진 픽셀화된 이미지와 동일한 양의 정보를 유지하기 위해, 그레이-스케일 이미지는 이진 픽셀화된 이미지보다 큰 픽셀 크기를 가질 수 있고, 이에 따라 계산 속도를 높일 수 있다; 및/또는 2) 고급 마스크 최적화 엔진(예를 들어, ASML의 Tachyon 소프트웨어와 같은 SMO 또는 iOPC 소프트웨어 툴)이 주어진 타겟 디자인 패턴에 대한 CTM 이미지를 직접 제공할 수 있으며, 이 CTM 이미지는 그레이 스케일이다.
따라서, 일 실시예에서, 기계 학습 입력 이미지에 대해, 이진 타겟 디자인 패턴을 그레이-스케일 타겟 디자인 패턴 이미지로 렌더링하기 위해 마스크 모델이 사용될 수 있다. 기계 학습 출력 이미지(기계 학습에 대한 기준 데이터 포함)에 대해, CTM 이미지가 사용될 수 있으며, 이는 기계 학습 모델 트레이닝을 위한 CTM 생성 소프트웨어 프로그램을 사용하여 생성될 수 있다.
그러나 기계 학습 모델 입력 이미지에 대한 몇몇 다른 가능성들이 존재할 수 있다. 예를 들어, 그레이-스케일 타겟 디자인 패턴 이미지가 1 이상의 추가 신호 이미지를 생성하기 위해 1 이상의 광학 커널, 예를 들어 1 이상의 TCC 커널과 컨볼브(convolve)될 수 있다. 일 실시예에서, 모델에 다수의 광학 커널(예를 들어, TCC 커널들)이 존재할 수 있기 때문에, 단일 그레이-스케일 타겟 디자인 패턴 이미지가 1보다 많은 신호 이미지를 산출할 수 있다. 일 실시예에서, 모든 신호 이미지들이 기계 학습 모델 트레이닝에서 사용될 수 있거나, 1 이상의 신호 이미지의 선택이 사용될 수 있다. 다른 예시로서, 모델-기반 SGM 소프트웨어 엔진의 출력 이미지가 기계 학습 입력 이미지로서 사용될 수 있다. 대부분의 경우, 이러한 이미지는 마스크 모델에 의해 생성되는 그레이-스케일 타겟 디자인 패턴 이미지보다 CTM 이미지에 더 가깝다.
그 후, 기계 학습 모델은 기계 학습 입력 이미지를 이용해 이들 간의 차이(또는 오차)를 학습하기만 하면 되고, 이는 작업을 더욱 용이하게 만들 수 있다. 즉, 요약하자면, 기계 학습 입력에 대해, 1) 그레이-스케일 타겟 디자인 패턴 이미지, 2) 1 이상의 신호 이미지, 및/또는 3) SGM을 포함하는 수 개의 가능성들이 존재할 수 있다. 기계 학습은 하나의 입력과 동일한 크기를 갖는 이미지들을 몇 개 취할 수 있기 때문에, 기계 학습을 위한 입력으로서 이들 중 하나 또는 그 혼합이 선택될 수 있다.
수 개의 뉴럴 네트워크 구조들이 딥 러닝 작업들을 위해 설계되었다. 일 예시로서, 이미지 인식 작업들을 위해, 아키텍처들은 예를 들어 AlexNet[예를 들어, A. Krizhevsky 외, "ImageNet Classification with Deep Convolutional Neural Networks", Advances in Neural Information Processing Systems 25(NIPS 2012) 참조, 이는 그 전문이 본 명세서에 참조로서 포함됨], GoogLeNet[예를 들어, C. Szegedy 외, "Going Deeper with Convolutions", 2015 IEEE Conference on Computer Vision and Pattern Recognition(CVPR) 참조, 이는 본 명세서에서 그 전문이 본 명세서에 참조로서 포함됨], VGG[예를 들어, K. Simonyan 외, "Very Deep Convolutional Networks for Large-Scale Image Recognition", International Conference on Learning Representations(2015) 참조, 이는 그 전문이 본 명세서에 참조로서 포함됨], 및 ResNet[예를 들어, K. He 외, "Deep Residual Learning for Image Recognition", 2016 IEEE Conference on Computer Vision and Pattern Recognition(CVPR) 참조, 이는 그 전문이 본 명세서에 참조로서 포함됨]을 포함한다. 이 디자인들 각각은 자체 직관 및 강점을 갖는다. 하지만, 이들은 광 근접 보정 예측이 통상적인 딥 러닝 작업들과 상당히 상이하기 때문에, 본 명세서에 설명된 바와 같은 디자인 패턴에 대한 광 근접 보정들을 예측하는 데 반드시 직접 적용 가능한 것은 아니다. 예를 들어, 일반적인 이미지 분류 문제는 고정된 입력 및 출력 크기를 갖지만, 광 근접 보정 예측을 위해서는 상이한 크기들을 가지는 이미지들에 대처하는 것이 바람직하다. 또 다른 예시로서, (1보다 큰 보폭을 갖는) 풀링 층(pooling layer)은 흔히 차원 축소를 제공하고 국부적 불변성을 개선하기 위해 사용된다. 하지만, 이는 입력 및 출력 이미지 쌍이 일반적으로 동일한 크기를 갖기 때문에 광 근접 보정 예측에 유용하지 않은 경향이 있다. 따라서, 일 실시예에서, 광 근접 보정 예측을 위한 기계 학습 모델로서 새로운 뉴럴 네트워크가 이후 설명된다.
이 뉴럴 네트워크의 일 실시예에서, 1 이상의 기본 빌딩 블록이 제공되고, 그 후 1 이상의 기본 블록의 인스턴스들을 쌓음으로써 딥 네트워크가 구성된다. 1 이상의 기본 블록에 대해, 수 개의 옵션들이 존재한다. 기본 블록의 제 1 타입은 당업계에 알려진 컨볼루션 층(covolutional layer)이다. 또 다른 타입의 기본 블록은 인셉션 블록이다. 인셉션 블록은 보폭이 1인 최대 하나의 풀링 층 및 상이한 필터 크기들을 갖는 2 이상의 컨볼루션 층으로 이루어진다. 예시적인 인셉션 블록이 도 10에 도시되어 있다. 도 10에서, 블록들(1610 내지 1650 및 1670)은 컨볼루션 층들을 나타낸다[예를 들어, 1x1 컨볼루션(1610, 1620, 1640, 1670), 3x3 컨볼루션(1630) 및 5x5 컨볼루션(1650)이지만, 상이한 구성들이 제공될 수 있음]. 또한, 블록(1660)은 하나의 풀링 층(예를 들어, 3x3 최대 풀링)을 나타내고, 블록(1680)은 필터(예를 들어, 필터 연결)을 나타내며, 블록(1600)은 일반적으로 이전 층(예컨대, 또 다른 인셉션 블록)을 지칭한다. 다른 타입의 기본 블록은 잔차 블록이다. 잔차 블록은 2 개의 컨볼루션 층들 및 잔차 블록의 입력 및 출력을 직접 연결하기 위한 추가 경로를 갖는다. 잔차 블록의 일 예시가 도 11에 제시되어 있다. 블록들(1700 및 1710)은 컨볼루션 층들을 나타내며, 이는 이 경우에 일반적으로 입력 x의 함수 F일 수 있다. 라인(1730)은 잔차 블록의 입력 및 출력을 직접 연결하기 위한 추가 경로를 나타낸다. 이 예시에서, 경로는 값 x를 F(x)와 조합하도록 입력을 가산기에 연결하여, F(x) = x를 산출한다. 따라서, 딥 뉴럴 네트워크를 생성하기 위해, 이 기본 블록들 중 1 이상의 1 이상의 인스턴스가 연결된다. 일 실시예에서, 기본 블록의 한 타입이 전체 뉴럴 네트워크에 사용될 수 있다. 일 실시예에서, 혼합된 상이한 기본 블록들이 뉴럴 네트워크에서 사용될 수 있다. 이 기본 블록들의 장점은, 본질적으로 컨볼루션 및 풀링 작업들만이 사용되기 때문에, 이들이 유연한 입력 이미지 크기들을 지원할 수 있다는 것이다. 따라서, 최종 딥 뉴럴 네트워크는 유연한 출력 이미지 크기도 지원한다.
일 실시예에서, 리소그래피 장치는 대표 패턴(예: 404) 및/또는 트레이닝된 기계 학습 모델(410), 예를 들어 리소그래피 장치의 프로세서(예: 도 1, 2, 14, 15)와 협력하여 제어되도록 구성될 수 있다.
일 실시예에서, 디자인 레이아웃과 연관된 마스크, 마스크를 사용하여 이미징될 기판, 및 리소그래피 장치를 제어하도록 구성된 프로세서(예: 도 13의 프로세서(104))를 포함하는, 패터닝 공정을 위한 리소그래피 장치가 제공된다. 일 실시예에서, 프로세서는 (i) 기판 상에 인쇄될 디자인 레이아웃(예: 403 또는 501)의 대표 패턴 세트(예: 404), 및 (ii) 대표 패턴 세트와 연관된 프로세스 조건(예: 530)을 획득하도록 구성된다. 또한, 프로세서는 프로세스 조건에 따라 패터닝 공정의 파라미터를 리소그래피 장치를 통해 제어하도록 구성된다.
전술된 바와 같이, 일 실시예에서, 디자인 레이아웃(예: 403)은 계층적으로 배열된 패턴 그룹(예: 402)의 세트를 포함한다.
또한, 방법(400)에서 논의된 바와 같이, 대표 패턴은 그 인스턴스가 디자인 레이아웃의 그룹 패턴 세트의 계층 구조에 나타나는 패턴의 하나 이상의 서브 그룹(예를 들어, 403)을 식별함으로써 획득된다.
일 실시예에서, 전술된 바와 같이, 프로세스 조건은 리소그래피 장치의 성능 메트릭이 개선되도록 대표 패턴 세트를 사용하여 패터닝 공정을 시뮬레이션함으로써 획득된다. 일 실시예에서, 프로세스 조건은 도즈, 포커스, 조명 강도, 및/또는 조명 퓨필을 포함하는 하나 이상의 프로세스 파라미터의 값을 포함한다. 일 실시예에서, 성능 메트릭은 대표 패턴의 에지 배치 오차, 대표 패턴의 임계 치수, 또는 수율 중 적어도 하나이다.
도 12는 본 명세서에 개시된 방법 및 흐름을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하거나 공급하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함할 수 있다. 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하거나 공급하는 데 사용될 수 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하거나 공급하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함할 수 있다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되고 버스(102)에 커플링되어 정보 및 명령어들을 저장하거나 공급할 수 있다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링될 수 있다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)일 수 있다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 본 명세서에 설명된 공정의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 임의의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터 판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 임의의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 디스크 또는 메모리 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩할 수 있으며, 통신 경로를 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)이 경로로부터 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 유선 또는 무선 데이터 통신 연결을 제공할 수 있다. 임의의 이러한 구현에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 보편적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 네트워크(122) 및 인터넷(128)은 둘 다 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 예를 들어, 하나의 이러한 다운로드된 어플리케이션은 본 발명을 구현하는 코드에 대해 제공될 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있거나, 또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 13은 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)을 컨디셔닝(condition)하는 조명 시스템(IL) -이러한 특정한 경우, 조명 시스템은 방사선 소스(SO)도 포함함- ;
- 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기(PM)에 연결되는 제 1 대상물 테이블(예를 들어, 마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기(PW)에 연결되는 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투영 시스템(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭(catadioptric) 광학 시스템]을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 마스크를 가짐). 하지만, 일반적으로 이는 예를 들어 (반사 마스크를 갖는) 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 전형적인 마스크의 사용에 대한 대안예로서 또 다른 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그래밍 가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저(excimer laser)]는 방사선 빔을 생성한다. 이 빔은 곧바로 또는 빔 익스팬더(beam expander)와 같은 컨디셔너를 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하도록 구성되는 조정기(AD)를 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
도 13과 관련하여, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 [예를 들어, 적절한 지향 거울들(BD)의 도움으로] 장치 내부로 들어올 수 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 상기 빔(B)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과한다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(B)을 포커스한다. 제 2 위치설정기(PW)[및 간섭계(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM)는 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 13에 명확히 도시되지는 않는다.
패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 하나보다 많은 다이가 제공되는 상황들에서, 패터닝 디바이스 정렬 마크들은 다이들 사이에 위치될 수 있다. 또한, 디바이스 피처들 사이에서 다이들 내에 작은 정렬 마커들이 포함될 수도 있으며, 이 경우 마커들은 인접한 피처들과 상이한 임의의 이미징 또는 공정 조건들을 필요로 하지 않고, 가능한 한 작은 것이 바람직하다.
도 14는 또 다른 예시적인 리소그래피 투영 장치(LA)를 개략적으로 도시한다. 리소그래피 투영 장치(LA)는:
- 소스 컬렉터 모듈(SO);
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치(LA)는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기를 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖는다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 14를 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외(EUV) 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 14에 도시되지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울들 또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하도록 구성되는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 주어진 방향(소위 "스캔 방향")으로 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그래밍 가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그래밍 가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그래밍 가능한 거울 어레이와 같은 프로그래밍 가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 리소그래피 장치는 2 이상의 테이블(예를 들어, 2 이상의 기판 테이블, 2 이상의 패터닝 디바이스 테이블, 또는 기판 테이블과 기판이 없는 테이블)을 갖는 타입으로 이루어질 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가적인 테이블들이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비 작업 단계들이 수행될 수 있다.
도 15는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(LA)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 임의의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부(opening) 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 더 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치된 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 15에 도시된 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 15에 도시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 바람직하게는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용된다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 16에 도시된 바와 같이 LPP 방사선 시스템의 일부일 수 있다. 레이저(LA)는 제논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 증착하도록 배열되어, 수십 eV의 전자 온도를 갖는 고도로 이온화된 플라즈마(210)를 생성한다. 이들 이온의 탈여기(de-excitation) 및 재결합 동안 생성된 에너지 방사선은 플라즈마로부터 방출되고, 근 수직 입사 컬렉터 광학계(CO)에 의해 수집된 후 인클로징 구조(220)의 개구(221)에 집속된다.
실시예는 다음의 항들을 사용하여 추가로 설명될 수 있다:
1. 광 근접 보정(optical proximity correction)을 예측하기 위해 기계 학습 모델을 트레이닝하기 위한 대표 패턴을 결정하는 방법으로서, 상기 방법은:
패턴 그룹 세트 - 상기 패턴 그룹 각각은 하나 이상의 서브 그룹을 포함함 - 를 포함하는 디자인 레이아웃을 획득하는 단계;
패턴 그룹 세트의 대표 패턴 세트 - 상기 대표 패턴은 그 인스턴스가 패턴 그룹 세트 내에 나타나는 서브 그룹임 - 를 결정하는 단계;
대표 패턴 세트를 사용하여 광 근접 보정 공정을 시뮬레이션함으로써, 대표 패턴 세트와 연관된 기준 광 근접 보정 데이터를 획득하는 단계; 및
대표 패턴 세트 및 기준 광 근접 보정 데이터 세트를 기반으로 디자인 레이아웃에 대한 광 근접 보정을 예측하도록 기계 학습 모델을 트레이닝하는 단계를 포함하는, 방법.
2. 제 1 항에 있어서, 패턴 그룹은, 각각의 패턴 그룹이 하나 이상의 패턴의 서브 그룹을 포함하는 계층 구조로 배열되는 방법.
3. 제 2 항에 있어서, 대표 패턴 세트를 결정하는 단계는 반복적인 프로세스이고, 상기 반복은:
패턴 그룹 세트의 계층 구조 내에서, 주어진 패턴의 서브 그룹의 인스턴스를 검색하는 단계;
주어진 서브 그룹의 인스턴스를 대표 패턴으로서 분류하는 단계; 및
디자인 레이아웃으로부터 대표 패턴과 연관된 패턴 정보를 추출하는 단계를 포함하는, 방법.
4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서, 패턴 그룹 세트의 각 그룹은 제1 식별자와 연관되고, 하나 이상의 패턴의 서브 그룹은 제2 식별자와 연관되는, 방법.
5. 제 4 항에 있어서, 대표 패턴 세트를 결정하는 단계는,
주어진 서브 그룹과 연관된 제2 식별자를 패턴 세트의 각 그룹의 계층 구조 내의 식별자와 비교하는 단계;
비교에 기반하여, 동일한 제2 식별자를 가지는 패턴 그룹 세트 내 패턴의 서브 그룹의 인스턴스를 식별하는 단계; 및
주어진 서브 그룹의 인스턴스를 대표 패턴으로서 분류하는 단계를 포함하는, 방법.
6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 기준 광 근접 보정을 획득하는 단계는:
대표 패턴과 연관된 패턴 정보를 이용하여 광 근접 보정 공정을 시뮬레이션하는 단계; 및
패터닝 공정을 위해 대표 패턴과 연관된 광 근접 보정을 제공하는 단계를 포함하는, 방법.
7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서, 패턴의 서브 그룹을 검색하는 단계는, 패턴 그룹 세트 내의 패턴 형상 및 크기와 주어진 서브 그룹의 패턴 형상 및 크기를 직접 비교하지 않는, 방법.
8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서, 광 근접 보정은 디자인 레이아웃의 원하는 패턴과 연관된 어시스트 피처의 배치를 포함하는, 방법.
9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서, 광 근접 보정은 이미지 형태이며 트레이닝은 이미지 또는 이미지의 픽셀 데이터에 기반하는, 방법.
10. 제 9 항에 있어서, 이미지는 연속 투과 마스크(continuous transmission mask, CTM) 이미지, 및/또는 어시스트 피처 안내 맵이고, CTM 이미지 및 안내 맵은 대표 패턴 세트와 연관된 어시스트 피처의 위치를 제공하는, 방법.
11. 제 10 항에 있어서, 어시스트 피처 안내 맵은 모델 기반 OPC 시뮬레이션, 또는 룰 기반 OPC 시뮬레이션에 의해 생성되는, 방법.
12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서, 트레이닝된 기계 학습 모델을 통해, 주어진 디자인 레이아웃과 연관된 마스크 패턴 데이터를 결정하는 단계; 및
기판을 이미징하기 위한 패터닝 공정에 사용될 마스크 패턴 데이터를 출력하는 단계를 더 포함하는, 방법.
13. 제 12 항에 있어서, 마스크 패턴 데이터를 사용하는 마스크 제조 기기를 통해, 기판을 이미징하기 위한 패터닝 공정에 사용될 마스크를 제조하는 단계를 더 포함하는, 방법.
14. 제 12 항 또는 제 13 항에 있어서, 마스크 패턴 데이터는 패터닝 공정이 도즈, 포커스, 조명 강도, 및/또는 조명 퓨필을 포함하는 프로세스 파라미터 중 하나 이상을 조정하는 특성을 포함하는, 방법.
15. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서, 트레이닝된 기계 학습 모델과 협력하여 프로세스 모델을 시뮬레이션함으로써, 주어진 디자인 레이아웃의 원하는 패턴과 연관된 프로세스 조건을 결정하는 단계; 및
디자인 레이아웃에 대응하는 마스크를 사용하는 프로세스 조건에 따라 구성된 리소그래피 장치를 통해 기판을 노광시키는 단계를 더 포함하는, 방법.
16. 제 15 항에 있어서, 프로세스 조건은 도즈, 포커스, 조명 강도, 및/또는 조명 퓨필을 포함하는 프로세스 파라미터 중 하나 이상의 값을 포함하는, 방법.
17. 패터닝 공정을 위한 리소그래피 장치로서, 디자인 레이아웃과 연관된 마스크;
마스크를 사용하여 이미징될 기판; 및
프로세서를 포함하되, 상기 프로세서는:
(i) 기판 상에 인쇄될 디자인 레이아웃의 대표 패턴 세트, 및 (ii) 대표 패턴 세트와 연관된 프로세스 조건을 획득하고;
리소그래피 장치를 통해, 프로세스 조건에 따라 패터닝 공정의 파라미터를 제어하도록 구성되는, 리소그래피 장치.
18. 제 17 항에 있어서, 디자인 레이아웃은 계층 구조 방식으로 배열된 패턴 그룹 세트를 포함하는, 리소그래피 장치.
19. 제 18 항에 있어서, 대표 패턴은 그 인스턴스가 디자인 레이아웃의 그룹 패턴 세트의 계층 구조에 나타나는 패턴의 하나 이상의 서브 그룹을 식별함으로써 획득되는, 리소그래피 장치.
20, 제 17 항 내지 제 19 항 중 어느 한 항에 있어서, 프로세스 조건은, 리소그래피 장치의 성능 메트릭이 개선되도록 대표 패턴 세트를 사용하여 패터닝 공정을 시뮬레이션함으로써 획득되는, 리소그래피 장치.
21. 제 20 항에 있어서, 프로세스 조건은 도즈, 포커스, 조명 강도, 및/또는 조명 퓨필을 포함하는 하나 이상의 프로세스 파라미터의 값을 포함하는, 리소그래피 장치.
22. 제 20 항에 있어서, 성능 메트릭은 대표 패턴의 에지 배치 오차, 대표 패턴의 임계 치수, 또는 수율 중 적어도 하나인, 리소그래피 장치.
23. 컴퓨터에 의해 실행될 때 제 1 항 내지 제 22 항 중 어느 한 항의 방법을 구현하는 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는, 컴퓨터 프로그램 제품.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 방사선 노출 사용, 침지 액체 사용 또는 진공 사용과 같은 기타 요인에 대하여 적절하도록, 굴절, 반사, 반사굴절, 자기, 전자기 및 정전기 광학 시스템, 또는 이들의 조합을 포함하는 모든 유형의 투영 시스템을 포함하는 것으로서 광범위하게 해석되어야 한다.
본 명세서에 개시된 개념은 리소그래피 장치를 포함하는 임의의 장치 제조 공정에 적용될 수 있고, 점점 더 작은 크기의 파장을 생성할 수 있게 되는 새로운 이미징 기술에 특히 유용할 수 있다. 이미 사용 중인 새로운 기술에는 ArF 레이저를 사용하여 193nm 파장을 생성하는 것과, 불소 레이저를 사용하여 157nm 파장을 생성할 수 있는 DUV(심자외선) 리소그래피 또한 포함된다. 또한, EUV 리소그래피는 5-20 nm 범위 내의 파장을 생성할 수 있다.
본 명세서에 개시된 개념은 실리콘 웨이퍼와 같은 기판 상의 장치 제조에 사용될 수 있지만, 실리콘 웨이퍼 이외에도 임의의 유형의 리소그래피 이미징 시스템, 예를 들어 기판 상의 이미징에 사용되는 시스템과 함께 사용될 수 있음을 이해해야 한다.
전술된 패터닝 디바이스는 디자인 레이아웃을 포함하거나 형성할 수 있다. 디자인 레이아웃은 CAD(Computer-Aided Design) 프로그램을 사용하여 생성할 수 있다. 이러한 프로세스를 흔히 EDA(electronic design automation)라고 한다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 만들기 위해 기결정된 디자인 규칙 세트를 따른다. 이러한 규칙은 처리 및 디자인 제한에 의해 설정된다. 예를 들어, 디자인 규칙은 회로 장치 또는 라인이 바람직하지 않은 방식으로 서로 상호 작용하지 않도록 하기 위한 회로 장치(예: 게이트, 커패시터 등) 또는 상호 연결 라인 사이의 간격 공차를 정의한다. 디자인 규칙 제한은 일반적으로 "임계 치수"(CD)라고 한다. 회로의 임계 치수는 선이나 홀의 최소 너비 또는 두 개의 선이나 두 개의 홀 사이의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기와 밀도를 결정한다. 물론, 집적 회로 제조의 목표 중 하나는 (패터닝 디바이스를 통해) 기판에 본래의 회로 디자인을 충실하게 재현하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 여타의 이러한 패터닝 디바이스의 예시들로 다음을 포함한다:
- 프로그래밍 가능한 거울 어레이. 이러한 디바이스의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선(diffracted radiation)으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 회절 방사선을 필터링하여 회절 방사선만이 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다.
- 프로그래밍 가능한 LCD 어레이.
본 명세서에서는, IC의 제조에 대하여 특히 언급되지만, 본 명세서의 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 한다는 것을 이해할 것이다.
따라서, 언급된 바와 같이, IC와 같은 디바이스들의 제조에 있어서 마이크로리소그래피가 중요한 단계이며, 이때 기판들 상에 형성되는 패턴들이 마이크로프로세서, 메모리 칩 등과 같은 IC의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이, MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성 시 사용된다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 패터닝의 결과들 및/또는 공정들이 1 이상의 바람직한 특성, 예컨대 기판 상의 디자인 레이아웃의 더 높은 전사 정확성, 더 큰 공정 윈도우 등을 갖도록 패터닝 공정 장치, 패터닝 공정의 1 이상의 단계를 조정하는 것을 칭하거나 의미한다. 따라서, 본 명세서에서 사용되는 "최적화하는" 및 "최적화"라는 용어는 1 이상의 파라미터에 대한 1 이상의 값의 초기 세트에 비해, 적어도 하나의 관련 메트릭에서 개선, 예를 들어 국부적 최적을 제공하는 1 이상의 파라미터에 대한 1 이상의 값을 식별하는 공정을 칭하거나 의미한다. "최적" 및 다른 관련 용어들은 이에 따라 해석되어야 한다. 일 실시예에서, 최적화 단계들은 1 이상의 메트릭에서 추가 개선을 제공하도록 반복적으로 적용될 수 있다.
블록도에서, 예시된 구성요소들은 개별 기능 블록들로서 도시되어 있지만, 실시예들은 본 명세서에 설명된 기능이 예시된 바와 같이 구성되는 시스템들로 제한되지 않는다. 구성요소들 각각에 의해 제공되는 기능은 현재 도시된 것과 상이하게 구성되는 소프트웨어 또는 하드웨어 모듈들에 의해 제공될 수 있으며, 예를 들어 이러한 소프트웨어 또는 하드웨어는 (예를 들어, 데이터 센터 내에서 또는 지리적으로) 혼합, 결합, 복제, 분리, 분포, 또는 달리 상이하게 구성될 수 있다. 본 명세서에 설명된 기능은 유형의 비-일시적 기계 판독가능한 매체 상에 저장된 코드를 실행하는 1 이상의 컴퓨터의 1 이상의 프로세서에 의해 제공될 수 있다. 몇몇 경우, 타사의 콘텐츠 전송 네트워크가 네트워크를 통해 전달되는 정보의 일부 또는 전부를 호스팅할 수 있으며, 이 경우 정보(예를 들어, 콘텐츠)가 공급되거나 달리 제공되라고 하는 범위에 대하여, 정보는 콘텐츠 전송 네트워크로부터 그 정보를 검색하도록 명령어들을 송신함으로써 제공될 수 있다.
달리 특정적으로 명시되지 않는 한, 논의에서 명백한 바와 같이, 본 명세서 전반에 걸쳐 "처리", "연산", "계산", "결정" 등과 같은 용어를 사용한 설명들은 특수 목적 컴퓨터 또는 유사한 특수 목적 전자 처리/연산 디바이스와 같은 특정한 장치의 동작 또는 공정을 지칭한다는 것을 이해한다.
본 출원은 수 개의 발명들을 설명한다는 것을 이해하여야 한다. 이러한 발명들을 다수의 개별 특허 출원들로 분리하기보다는, 출원인은 이 발명들을 단일 문서로 그룹화하였는데, 이는 이들의 관련 대상이 출원 과정에서의 절약에 적합하기 때문이다. 하지만, 이러한 발명들의 별개의 장점들 및 측면들은 합쳐지지 않아야 한다. 몇몇 경우, 실시예들이 본 명세서에 명시된 결점들을 모두 해결하지만, 본 발명들은 독립적으로 유용하며, 몇몇 실시예들은 이러한 문제들의 서브세트만을 해결하거나 본 기재내용을 검토하는 당업자에게 명백할 언급되지 않은 다른 이점들을 제공한다는 것을 이해하여야 한다. 비용의 제약으로 인해, 본 명세서에 개시된 일부 발명들은 현재 청구되지 않을 수 있으며, 본 청구항을 보정함으로써 또는 계속 출원과 같이 추후 출원에서 청구될 수 있다. 유사하게, 공간 제약으로 인해, 본 문서의 초록(Abstract)이나 요약(Summary) 부분들은 이러한 발명들 전부의 포괄적인 목록 또는 이러한 발명들의 모든 실시형태들을 포함하는 것으로 간주되어서는 안 된다.
설명 및 도면들은 본 발명을 개시된 특정 형태로 제한하려는 것이 아니라, 반대로 첨부된 청구항에 의해 정의되는 본 발명의 기술사상 및 범위 내에 있는 모든 변형예, 균등물 및 대안예를 포함하기 위한 것임을 이해하여야 한다.
본 발명의 다양한 실시형태들의 변형예들 및 대안적인 실시예들은 이 설명을 고려하여 당업자에게 명백할 것이다. 따라서, 이 설명 및 도면들은 단지 예시적인 것으로서 해석되어야 하며, 본 발명을 수행하는 일반적인 방식을 당업자에게 교시하기 위한 것이다. 본 명세서에 도시되고 설명된 본 발명의 형태들은 실시예들의 예시들로서 취해진 것으로 이해되어야 한다. 본 명세서에 도시되고 기술된 것들을 대신하여 요소들 및 재료들이 대체될 수 있으며, 부품들 및 공정들은 역전되거나 생략될 수 있고, 소정 특징들은 독립적으로 이용될 수 있으며, 실시예들 또는 실시예들의 특징들은 조합될 수 있고, 이는 모두 이러한 설명의 이점을 가진 후에 당업자에게 명백할 것이다. 다음 청구항들에 기재된 본 발명의 기술사상 및 범위를 벗어나지 않고 본 명세서에 설명된 요소들이 변경될 수 있다. 본 명세서에 사용된 표제는 단지 편제의 목적만을 위한 것이며, 설명의 범위를 제한하는 데 사용되지는 않는다.
본 출원 전반에 걸쳐 사용된 바와 같이, 단어 "할 수 있다(may)"는 의무적인 의미(즉, 해야 함을 의미함)보다는 허용의 의미(즉, 가능성을 가짐을 의미함)로 사용된다. "포함한다" 및 "포함하는" 등의 단어는 포함하지만 이에 제한되지는 않는다는 것을 의미한다. 본 출원 전반에 걸쳐 사용된 바와 같이, 단수 형태 "a", "an" 및 "the"는 내용이 명시적으로 달리 지시하지 않는 한 복수의 대상을 포함한다. 따라서, 예를 들어 "하나"의 요소에 대한 언급은 "하나 또는 그 이상"과 같은 1 이상의 요소에 대한 다른 용어 및 어구의 사용에도 불구하고 2 이상의 요소들의 조합을 포함한다. "또는(or)"이라는 용어는 달리 명시되지 않는 한, 비-배타적이며, 즉 "및(and)"과 "또는(or)"을 모두 포괄한다. 예를 들어, "X에 응답하여, Y", "X 때, Y", "X라면, Y", "X의 경우, Y" 등과 같은 조건부 관계를 설명하는 용어는, 선행 조건이 필요 원인 조건이거나, 선행 조건이 충분 원인 조건이거나, 또는 선행 조건이 결과의 기여 원인 조건인 인과 관계들을 포괄하고, 예를 들어 "조건 Y를 얻을 때 상태 X가 발생한다"는 "X는 Y에서만 발생한다" 및 "X는 Y와 Z에서 발생한다"에 일반적이다. 이러한 조건부 관계들은 일부 결과가 지연될 수 있기 때문에 선행 조건을 얻은 바로 후의 결과들에 제한되지 않으며, 조건부 진술에서 선행 조건은 그 결과들에 연결되고, 예를 들어 선행 조건은 결과 발생의 가능성과 관련이 있다. 복수의 속성들 또는 기능들이 복수의 대상들(예를 들어, 단계 A, 단계 B, 단계 C 및 단계 D를 수행하는 1 이상의 프로세서)에 매핑된다는 언급은, 달리 지시되지 않는 한, 이러한 모든 대상에 매핑되는 이러한 모든 속성들 또는 기능들, 및 속성들 또는 기능들의 서브세트들에 매핑되는 속성들 또는 기능들의 서브세트들을 둘 다(예를 들어, 단계 A 내지 단계 D를 각각 수행하는 모든 프로세서들, 및 프로세서 1이 단계 A를 수행하고, 프로세서 2가 단계 B 및 단계 C의 일부를 수행하고, 프로세서 3이 단계 C의 일부와 단계 D를 수행하는 경우 둘 다) 포괄한다. 나아가, 달리 지시되지 않는 한, 하나의 값 또는 동작이 또 다른 조건 또는 값에 "기초한다"는 언급은, 조건 또는 값이 유일한 인자인 인스턴스들 및 조건 또는 값이 복수의 인자들 중 하나의 인자인 인스턴스들을 둘 다 포괄한다. 달리 지시되지 않는 한, 일부 집합의 "각각"의 인스턴스가 일부 속성을 갖는다는 언급들은, 더 큰 집합의 달리 동일하거나 유사한 일부 멤버들이 해당 속성을 갖지 않는 경우를 제외하는 것으로 읽혀서는 안 되며, 즉 각각(each)이 반드시 각각 및 모든 것(each and every)을 의미하는 것은 아니다.
앞선 설명에서, 흐름도에서의 임의의 공정들, 설명들 또는 블록들은 모듈들, 세그먼트들 또는 공정에서의 특정한 논리 기능들 또는 단계들을 구현하기 위한 1 이상의 실행가능한 명령어를 포함하는 코드의 부분들을 나타내는 것으로 이해되어야 하며, 당업자라면 이해하는 바와 같이, 관련 기능에 따라 실질적으로 동시에 또는 역순으로 수행되는 것을 포함하여, 기능들이 도시되거나 논의된 순서를 벗어나 실행될 수 있는 대안적인 구현들이 본 발명의 예시적인 실시예들의 범위 내에 포함된다.
특정 실시예들이 설명되었지만, 이 실시예들은 단지 예시의 방식으로 제시되었으며, 본 발명의 범위를 제한하도록 의도되지 않는다. 실제로, 본 명세서에 기술된 신규한 방법들, 장치들 및 시스템들은 다양한 다른 형태들로 구현될 수 있다; 또한, 본 명세서에 기술된 방법들, 장치들 및 시스템들의 형태에서의 다양한 생략, 대체 및 변경이 본 발명의 기술사상을 벗어나지 않고 행해질 수 있다. 첨부된 청구항 및 그 균등물은 본 발명의 기술사상 및 범위 내에 속하는 이러한 형태 또는 변형예를 포함하도록 의도된다. 예를 들어, 이 기술은 단일 기능이 네트워크를 통해 복수의 장치들 사이에서 공유되고 협력하여 처리되는 클라우드 컴퓨팅(cloud computing)을 위한 구조일 수 있다.

Claims (15)

  1. 광 근접 보정(optical proximity correction)을 예측하기 위해 기계 학습 모델을 트레이닝하기 위한 대표 패턴을 결정하는 방법으로서, 상기 방법은:
    패턴 그룹 세트 - 패턴 그룹 각각은 하나 이상의 서브 그룹을 포함함 - 를 포함하는 디자인 레이아웃을 획득하는 단계;
    상기 패턴 그룹 세트의 대표 패턴 세트 - 대표 패턴은 그 인스턴스가 상기 패턴 그룹 세트 내에 나타나는 서브 그룹임 - 를 결정하는 단계;
    상기 대표 패턴 세트를 사용하여 광 근접 보정 공정을 시뮬레이션함으로써, 상기 대표 패턴 세트와 연관된 기준 광 근접 보정 데이터를 획득하는 단계; 및
    상기 대표 패턴 세트 및 상기 기준 광 근접 보정 데이터 세트를 기반으로 상기 디자인 레이아웃에 대한 광 근접 보정을 예측하도록 기계 학습 모델을 트레이닝하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 패턴 그룹은, 각각의 패턴 그룹이 상기 하나 이상의 패턴의 서브 그룹을 포함하는 계층 구조로 배열되는 방법.
  3. 제 2 항에 있어서,
    상기 대표 패턴 세트를 결정하는 단계는 반복적인 프로세스이고, 상기 반복은:
    상기 패턴 그룹 세트의 상기 계층 구조 내에서, 패턴의 주어진 서브 그룹의 인스턴스를 검색하는 단계;
    상기 주어진 서브 그룹의 상기 인스턴스를 상기 대표 패턴으로서 분류하는 단계; 및
    상기 디자인 레이아웃으로부터 상기 대표 패턴과 연관된 패턴 정보를 추출하는 단계를 포함하는, 방법.
  4. 제 1 항에 있어서,
    상기 패턴 그룹 세트의 각 그룹은 제1 식별자와 연관되고, 상기 하나 이상의 패턴의 서브 그룹은 제2 식별자와 연관되는, 방법.
  5. 제 4 항에 있어서,
    상기 대표 패턴 세트를 결정하는 단계는,
    상기 주어진 서브 그룹과 연관된 상기 제2 식별자를 상기 패턴 세트의 각 그룹의 상기 계층 구조 내의 식별자와 비교하는 단계;
    상기 비교에 기반하여, 동일한 제2 식별자를 가지는 상기 패턴 그룹 세트 내 패턴의 서브 그룹의 인스턴스를 식별하는 단계; 및
    상기 주어진 서브 그룹의 상기 인스턴스를 상기 대표 패턴으로서 분류하는 단계를 포함하는, 방법.
  6. 제 1 항에 있어서,
    상기 기준 광 근접 보정을 획득하는 단계는:
    상기 대표 패턴과 연관된 상기 패턴 정보를 이용하여 상기 광 근접 보정 공정을 시뮬레이션하는 단계; 및
    패터닝 공정을 위해 상기 대표 패턴과 연관된 상기 광 근접 보정을 제공하는 단계를 포함하는, 방법.
  7. 제 1 항에 있어서,
    상기 패턴의 서브 그룹을 검색하는 단계는, 상기 패턴 그룹 세트 내의 패턴 형상 및 크기와 상기 주어진 서브 그룹의 패턴 형상 및 크기를 직접 비교하지 않는, 방법.
  8. 제 1 항에 있어서,
    상기 광 근접 보정은 상기 디자인 레이아웃의 원하는 패턴과 연관된 어시스트 피처의 배치를 포함하는, 방법.
  9. 제 1 항에 있어서,
    상기 광 근접 보정은 이미지 형태이며 상기 트레이닝은 상기 이미지 또는 상기 이미지의 픽셀 데이터에 기반하는, 방법.
  10. 제 9 항에 있어서,
    상기 이미지는 연속 투과 마스크(continuous transmission mask, CTM) 이미지, 및/또는 어시스트 피처 안내 맵이며, 상기 CTM 이미지 및 상기 안내 맵은 상기 대표 패턴 세트와 연관된 어시스트 피처의 위치를 제공하고/하거나,
    상기 어시스트 피처 안내 맵은 모델 기반 OPC 시뮬레이션 또는 룰 기반 OPC 시뮬레이션에 의해 생성되는, 방법.
  11. 제 1 항에 있어서,
    상기 트레이닝된 기계 학습 모델을 통해, 주어진 디자인 레이아웃과 연관된 마스크 패턴 데이터를 결정하는 단계; 및
    기판을 이미징하기 위한 패터닝 공정에 사용될 상기 마스크 패턴 데이터를 출력하는 단계를 더 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 마스크 패턴 데이터를 사용하는 마스크 제조 기기를 통해, 상기 기판을 이미징하기 위한 상기 패터닝 공정에 사용될 마스크를 제조하는 단계를 더 포함하고/하거나,
    상기 마스크 패턴 데이터는 상기 패터닝 공정이 도즈, 포커스, 조명 강도, 및/또는 조명 퓨필을 포함하는 프로세스 파라미터 중 하나 이상을 조정하는 특성을 포함하는, 방법.
  13. 제 1 항에 있어서,
    상기 트레이닝된 기계 학습 모델과 협력하여 프로세스 모델을 시뮬레이션함으로써, 상기 주어진 디자인 레이아웃의 원하는 패턴과 연관된 프로세스 조건을 결정하는 단계; 및
    상기 디자인 레이아웃에 대응하는 마스크를 사용하는 상기 프로세스 조건에 따라 구성된 리소그래피 장치를 통해 기판을 노광시키는 단계를 더 포함하는, 방법.
  14. 제 13 항에 있어서,
    상기 프로세스 조건은 도즈, 포커스, 조명 강도, 및/또는 조명 퓨필을 포함하는 프로세스 파라미터 중 하나 이상의 값을 포함하는, 방법.
  15. 컴퓨터에 의해 실행될 때 제 1 항의 방법을 구현하는 명령어가 기록된 비일시적 컴퓨터 판독 가능 매체를 포함하는, 컴퓨터 프로그램 제품.
KR1020217029932A 2019-03-21 2020-03-05 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법 KR20210127984A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962821789P 2019-03-21 2019-03-21
US62/821,789 2019-03-21
PCT/EP2020/055783 WO2020187578A1 (en) 2019-03-21 2020-03-05 Training method for machine learning assisted optical proximity error correction

Publications (1)

Publication Number Publication Date
KR20210127984A true KR20210127984A (ko) 2021-10-25

Family

ID=69784421

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217029932A KR20210127984A (ko) 2019-03-21 2020-03-05 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법

Country Status (5)

Country Link
US (1) US11815820B2 (ko)
KR (1) KR20210127984A (ko)
CN (1) CN113614638A (ko)
TW (1) TWI739343B (ko)
WO (1) WO2020187578A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
KR20220051868A (ko) * 2020-10-19 2022-04-27 삼성전자주식회사 반도체 장치의 제조를 위한 방법 및 컴퓨팅 장치
US20230393458A1 (en) * 2020-10-23 2023-12-07 Asml Netherlands B.V. Method for generating mask pattern
EP4298478A1 (en) * 2021-02-23 2024-01-03 ASML Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
CN113093470A (zh) * 2021-02-25 2021-07-09 广东省大湾区集成电路与系统应用研究院 基于平面型全耗尽绝缘体上硅器件的图形解析能力的提升方法
KR20230153443A (ko) * 2021-03-05 2023-11-06 어플라이드 머티어리얼스, 인코포레이티드 이미지들의 시간 기반 시퀀스를 사용하는 cmp 컴포넌트의 이탈 검출
CN113238460B (zh) * 2021-04-16 2022-02-11 厦门大学 一种基于深度学习的用于超紫外的光学邻近校正方法
WO2023046385A1 (en) * 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
CN115457350B (zh) * 2022-11-08 2023-04-18 华芯程(杭州)科技有限公司 光学邻近修正的蚀刻模型训练方法及光学邻近修正方法
CN116819911B (zh) * 2023-08-31 2023-10-31 光科芯图(北京)科技有限公司 掩模图案的优化方法、装置、曝光设备及存储介质

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101258498B (zh) 2005-08-08 2011-04-13 Asml荷兰有限公司 用于形成光刻工艺的焦点曝光模型的系统和方法
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
JP5629691B2 (ja) 2008-11-21 2014-11-26 エーエスエムエル ネザーランズ ビー.ブイ. 高速自由形式ソース・マスク同時最適化方法
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
WO2016096308A1 (en) * 2014-12-18 2016-06-23 Asml Netherlands B.V. Feature search by machine learning
US20180046072A1 (en) 2016-08-10 2018-02-15 Globalfoundries Inc. Automated full-chip design space sampling using unsupervised machine learning
KR20200010496A (ko) 2017-05-26 2020-01-30 에이에스엠엘 네델란즈 비.브이. 기계 학습에 기초한 어시스트 피처 배치
KR102405686B1 (ko) * 2017-09-08 2022-06-07 에이에스엠엘 네델란즈 비.브이. 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법들
US10386726B2 (en) * 2017-09-29 2019-08-20 Globalfoundries Inc. Geometry vectorization for mask process correction
CN107908071B (zh) * 2017-11-28 2021-01-29 上海集成电路研发中心有限公司 一种基于神经网络模型的光学邻近校正方法

Also Published As

Publication number Publication date
US20220155695A1 (en) 2022-05-19
TWI739343B (zh) 2021-09-11
WO2020187578A1 (en) 2020-09-24
TW202040259A (zh) 2020-11-01
CN113614638A (zh) 2021-11-05
US11815820B2 (en) 2023-11-14

Similar Documents

Publication Publication Date Title
KR102550350B1 (ko) 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법들
TWI681250B (zh) 獲得輔助特徵之特性的方法及電腦程式產品
US11029605B2 (en) Optimization based on machine learning
KR102644214B1 (ko) 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법
US20210271172A1 (en) Methods of determining process models by machine learning
US11815820B2 (en) Training method for machine learning assisted optical proximity error correction
KR102048918B1 (ko) 기계 학습에 의한 피처 검색
US20190147127A1 (en) Identification of hot spots or defects by machine learning

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal