TWI600977B - 用於三維特徵之微影模型 - Google Patents

用於三維特徵之微影模型 Download PDF

Info

Publication number
TWI600977B
TWI600977B TW104141956A TW104141956A TWI600977B TW I600977 B TWI600977 B TW I600977B TW 104141956 A TW104141956 A TW 104141956A TW 104141956 A TW104141956 A TW 104141956A TW I600977 B TWI600977 B TW I600977B
Authority
TW
Taiwan
Prior art keywords
image
substrate
regions
radiation
source
Prior art date
Application number
TW104141956A
Other languages
English (en)
Other versions
TW201626116A (zh
Inventor
鵬 劉
Original Assignee
Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml荷蘭公司 filed Critical Asml荷蘭公司
Publication of TW201626116A publication Critical patent/TW201626116A/zh
Application granted granted Critical
Publication of TWI600977B publication Critical patent/TWI600977B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/704162.5D lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70941Stray fields and charges, e.g. stray light, scattered light, flare, transmission loss
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Description

用於三維特徵之微影模型
本文中之描述係關於微影裝置及程序,且更特定言之,係關於一種用於最佳化供微影裝置或程序中使用之照明源或圖案化器件之方法或工具。
微影裝置可用於(例如)積體電路(IC)之製造中。在此狀況下,圖案化器件(例如,光罩)可含有或提供對應於IC之個別層之電路圖案(「設計佈局」),且可藉由諸如經由圖案化器件上之電路圖案而輻照已被塗佈有輻射敏感材料(「抗蝕劑」層之基板(例如,矽晶圓)上之目標部分(例如,包含一或多個晶粒)的方法而將此電路圖案轉印至該目標部分上。一般而言,單一基板含有複數個鄰近目標部分,電路圖案係由微影裝置逐次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型之微影裝置中,將整個圖案化器件上之電路圖案一次性轉印至一個目標部分上;此裝置通常被稱作晶圓步進器(wafer stepper)。在通常被稱作步進掃描裝置(step-and-scan apparatus)之替代裝置中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化器件進行掃描,同時平行或反平行於此參考方向而同步地移動基板。圖案化器件上之電路圖案之不同部分被漸進地轉印至一個目標部分。一般而言,因為微影裝置將具有放大因數M(通常<1),所以基板被移動之速度F將為投影光束掃描圖案化器件之速度的因數M倍。可(例如)自以 引用的方式併入本文中之US 6,046,792搜集到關於如本文中所描述之微影器件的更多資訊。
在將電路圖案自圖案化器件轉印至基板之前,基板可經歷各種工序,諸如上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他工序,諸如曝光後烘烤(post-exposure bake;PEB)、顯影、硬烘烤,及經轉印電路圖案之量測/檢測。此工序陣列用作製造一器件(例如,IC)之個別層的基礎。基板接著可經歷各種程序,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械拋光等等,該等程序皆意欲精整器件之個別層。若在器件中需要若干層,則針對每一層重複整個工序或其變體。最終,在基板上之每一目標部分中將存在一器件。接著藉由諸如切割或鋸切之技術而使此等器件彼此分離,由此可將個別器件安裝於載體上、連接至銷釘,等等。
如所提及,微影蝕刻術(microlithography)為IC之製造中的中心步驟,其中形成於基板上之圖案界定IC之功能元件,諸如微處理器、記憶體晶片等等。相似微影技術亦用於形成平板顯示器、微機電系統(micro-electro mechanical system;MEMS)及其他器件。
本文中揭示一種用於一器件製造程序之影像模擬之電腦實施方法,該方法包含:自一基板或一圖案化器件之一部分或一基板或一圖案化器件之一整體識別均一光學屬性之區,其中光學屬性在該等區中之每一者內均一;獲得用於該等區中之每一者之一影像,其中若該基板或該圖案化器件之該整體與該區具有相同均一光學屬性,則該影像為將由該基板形成的影像;藉由根據該圖案化器件之該基板之該部分或該圖案化器件之該基板之該整體中的該等區之部位來拼接用於該等區中之每一者之該影像而形成一經拼接影像;藉由將調整應用於該經拼接影像以用於至少部分地校正該等區之有限大小的一效應或至少部 分地模仿該等區之有限大小的一效應而形成一經調整影像。
本文中亦揭示:一種包含一電腦可讀媒體之電腦程式產品,該電腦可讀媒體具有記錄於其上之指令,該等指令在由一電腦執行時實施以上方法;一種包含一電腦可讀媒體之電腦程式產品,該電腦可讀媒體具有記錄於其上的均一光學屬性之區的影像之一資料庫;及一種包含一電腦可讀媒體之電腦程式產品,該電腦可讀媒體具有表示均一光學屬性之區之特性之物理效應的核心函數之一資料庫。
12‧‧‧照明源
14‧‧‧光學件
16a‧‧‧光學件
16b‧‧‧光學件
16c‧‧‧透射光學件
18‧‧‧圖案化器件
20‧‧‧可調整濾光器/孔徑
21‧‧‧輻射光束
22‧‧‧基板平面/琢面化場鏡面器件
24‧‧‧光瞳鏡面器件
26‧‧‧經圖案化光束
28‧‧‧反射元件
30‧‧‧反射元件
31‧‧‧源模型
32‧‧‧投影光學件模型
33‧‧‧設計佈局模型
36‧‧‧空中影像
37‧‧‧抗蝕劑模型
38‧‧‧抗蝕劑影像
40‧‧‧上游影像
42‧‧‧模型
100‧‧‧電腦系統
102‧‧‧匯流排
104‧‧‧處理器
105‧‧‧處理器
106‧‧‧主記憶體
108‧‧‧唯獨記憶體(ROM)
110‧‧‧儲存器件
112‧‧‧顯示器
114‧‧‧輸入器件
116‧‧‧游標控制件
118‧‧‧通信介面
120‧‧‧網路鏈路
122‧‧‧區域網路
124‧‧‧主機電腦
126‧‧‧網際網路服務提供者(ISP)
128‧‧‧網際網路
130‧‧‧伺服器
210‧‧‧EUV輻射發射電漿/高度離子化電漿
211‧‧‧源腔室
212‧‧‧收集器腔室
220‧‧‧圍封結構
221‧‧‧開口
230‧‧‧污染物截留器/污染物障壁
240‧‧‧光柵光譜濾光器
251‧‧‧上游輻射收集器側
252‧‧‧下游輻射收集器側
253‧‧‧掠入射反射器
254‧‧‧掠入射反射器
255‧‧‧掠入射反射器
300‧‧‧反射圖案化器件
305‧‧‧透射圖案化器件
307‧‧‧基板
308‧‧‧抗蝕劑層
310‧‧‧入射輻射
312‧‧‧散射輻射
313‧‧‧散射輻射
314‧‧‧散射輻射
315‧‧‧散射輻射
320‧‧‧入射輻射
322‧‧‧散射輻射
323‧‧‧散射輻射
325‧‧‧散射輻射
330‧‧‧入射輻射
332‧‧‧散射輻射
333‧‧‧散射輻射
335‧‧‧散射輻射
370‧‧‧特徵
380‧‧‧特徵
390‧‧‧結構
400‧‧‧影像資料庫
410‧‧‧步驟
420‧‧‧步驟
430‧‧‧步驟
440‧‧‧步驟
501‧‧‧區
502‧‧‧區
503‧‧‧區
504‧‧‧區
505‧‧‧區
510‧‧‧抗蝕劑層
520‧‧‧基板
530‧‧‧材料層
540‧‧‧材料層
600‧‧‧基板之部分
610‧‧‧經拼接影像
615‧‧‧經拼接影像
620‧‧‧影像
630‧‧‧影像
700‧‧‧基板之部分
701‧‧‧點線圓
710‧‧‧經拼接影像
801‧‧‧點線方框
901‧‧‧點線圓
1001‧‧‧點線圓
1020‧‧‧經拼接影像
1000‧‧‧微影裝置
2810‧‧‧源收集器模組(SO)
2820‧‧‧照明光學件
2840‧‧‧圖案化器件
i1‧‧‧影像
i2‧‧‧影像
i3‧‧‧影像
i4‧‧‧影像
i5‧‧‧影像
B‧‧‧輻射光束
C‧‧‧目標部分
CO‧‧‧輻射收集器
IF‧‧‧虛擬源點/中間焦點
IL‧‧‧照明器
LA‧‧‧雷射
M1‧‧‧圖案化器件對準標記
M2‧‧‧圖案化器件對準標記
MA‧‧‧圖案化器件
MT‧‧‧支撐結構
O‧‧‧光軸
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一定位器
PS‧‧‧投影系統
PS1‧‧‧位置感測器
PS2‧‧‧位置感測器
PW‧‧‧第二定位器
SO‧‧‧源收集器模組
W‧‧‧基板
WT‧‧‧基板台
對於一般熟習此項技術者而言,在結合隨附圖式而檢閱特定實施例之以下描述後,以上態樣及其他態樣及特徵就將變得顯而易見,在該等圖式中:圖1A為根據一實施例之微影系統之各種子系統的方塊圖;圖1B展示照明源之示意圖;圖1B展示包括源收集器模組及照明光學件之EUV照明源;圖2A展示用於模擬微影裝置中之微影的流程圖;圖2B展示模擬光學系統(例如,圖案化器件、光罩等等)之通用流程;圖3A示意性地展示反射圖案化器件上具有有限高度之特徵之散射;圖3B示意性地展示透射圖案化器件上具有有限高度之特徵之散射;圖3C示意性地展示基板上具有有限高度之結構之散射;圖4展示根據一實施例之方法的流程圖,該方法允許對基板上之抗蝕劑層中之影像或圖案化器件下游之影像進行計算成本較少的模型化,其中至少考量結構之有限高度及空間分佈對基板的效應或特徵之有限高度及空間分佈對圖案化器件的效應; 圖5展示基板上的均一光學屬性之五個實例;圖6展示基板之部分,其中該部分可被劃分成均一光學屬性之兩個區;圖7A展示基板之部分,其中該部分可被劃分成均一光學屬性之六個區;圖7B展示對經拼接影像之一個調整以便在抗蝕劑層中形成一影像;圖8展示對經拼接影像之另一調整以便在抗蝕劑層中形成一影像;圖9展示對經拼接影像之另一調整以便在抗蝕劑層中形成一影像;圖10展示對經拼接影像之另一調整以便在抗蝕劑層中形成一影像;圖11為可供實施實施例之實例電腦系統的方塊圖;圖12為另一微影裝置之示意圖;圖13為圖12中之裝置的更詳細視圖;圖14為圖12及圖13之裝置之源收集器模組SO的更詳細視圖。
現在將參看圖式詳細地描述實施例,該等圖式被提供為說明性實例以便使得熟習此項技術者能夠實踐該等實施例。值得注意地,以下諸圖及實例並不意謂將範疇限於單一實施例,而是藉由所描述或所說明元件中之一些或全部的互換而使其他實施例成為可能。在任何方便之處,將貫穿圖式使用相同元件符號以係指相同或類似部件。在可使用已知組件來部分地或完全地實施此等實施例之某些元素的情況下,將僅描述為理解該等實施例所必要的此等已知組件之該等部分,且將省略此等已知組件之其他部分之詳細描述以免混淆該等實施例之 描述。在本說明書中,展示單數組件之實施例不應被視為限制性的;實情為,範疇意欲涵蓋包括複數個相同組件之其他實施例,且反之亦然,除非本文中另有明確陳述。此外,申請人並不意欲使本說明書或申請專利範圍中之任何術語歸結於不常見或特殊涵義,除非有如此明確闡述。另外,範疇涵蓋本文中作為說明而提及之組件的目前及未來已知等效者。
隨著半導體製造程序不斷地進步,幾十年來,功能元件之尺寸已不斷地縮減,而每器件的功能元件(諸如電晶體)之量已在穩定地增加,此遵循通常被稱作「莫耳定律(Moore's law)」之趨勢。在目前先進技術下,使用微影裝置來製造器件層,微影裝置使用來自深紫外線(例如,13.52奈米)照明源或極紫外線照明源之照明而將設計佈局投影至基板上,從而產生具有充分地低於30奈米之尺寸之個別功能元件。
印刷尺寸小於微影裝置之經典解析度極限之特徵的此程序根據解析度公式CD=k1×λ/NA而通常被稱為低k1微影,其中λ為所使用輻射之波長(當前在大多數狀況下為248奈米或193奈米),NA為微影裝置中之投影光學件之數值孔徑,CD為「臨界尺寸」(通常為所印刷之最小特徵大小),且k1為經驗解析度因數。一般而言,k1愈小,則在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用於微影裝置及/或設計佈局。此等步驟包括(例如,但不限於)NA及光學相干設定之最佳化、自訂照明方案、相移圖案化器件之使用、設計佈局中之光學近接校正(OPC,有時亦被稱作「光學及程序校正」),或通常被定義為「解析度增強技術」(RET)之其他方法。如本文中所使用之術語「投影光學件」應被廣泛地解譯為涵蓋各種類型之光學系統,包括(例如)折射光學件、反射光學件、孔徑及反射折射光學件。術語「投影光學件」亦可包括根據此等設計類型中之任一者而操作以 用於集體地或單個地導向、塑形或控制投影輻射光束的組件。術語「投影光學件」可包括微影裝置中之任何光學組件,而不管該光學組件在微影裝置之光學路徑上位於何處。投影光學件可包括用於在來自源之輻射通過圖案化器件之前塑形、調整及/或投影該輻射的光學組件,及/或用於在該輻射通過圖案化器件之後塑形、調整及/或投影該輻射的光學組件。投影光學件通常排除源及圖案化器件。
作為一實例,OPC處理如下事實:投影於基板上之設計佈局之影像之最終大小及置放將不相同於或簡單地僅取決於該設計佈局在圖案化器件上之大小及置放。應注意,術語「光罩」、「比例光罩」、「圖案化器件」在本文中可被互換地利用。又,熟習此項技術者將認識到,尤其是在微影模擬/最佳化之情況中,術語「光罩」、「圖案化器件」及「設計佈局」可被互換地使用,此係因為在微影模擬/最佳化中未必使用實體圖案化器件,但可使用設計佈局以表示實體圖案化器件。對於存在於某一設計佈局上之小特徵大小及高特徵密度,給定特徵之特定邊緣之位置將在某種程度上受到其他鄰近特徵之存在或不存在的影響。此等近接效應起因於自一個特徵耦合至另一特徵的微小量之輻射及/或諸如繞射及干涉之非幾何光學效應。相似地,近接效應可起因於在通常遵循微影之曝光後烘烤(PEB)、抗蝕劑顯影及蝕刻期間之擴散及其他化學效應。
為了確保設計佈局之經投影影像係根據給定目標電路設計之要求,需要使用設計佈局之複雜數值模型、校正或預失真來預測及補償近接效應。論文「Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing IC Design」(C.Spence,Proc.SPIE,第5751卷,第1至14頁(2005年))提供當前「以模型為基礎」之光學近接校正程序之概觀。在典型的高端設計中,設計佈局之幾乎每一特徵皆具有某種修改,以便達成經投影影像至目標設計之高保真度。此等修 改可包括邊緣位置或線寬之移位或偏置,以及意欲輔助其他特徵之投影的「輔助」特徵之應用。
在一晶片設計中通常存在數百萬個特徵的情況下,將以模型為基礎之OPC應用於目標設計涉及良好的程序模型及相當多的計算資源。然而,應用OPC通常不為嚴正科學,而為並不總是補償全部可能近接效應之經驗反覆程序。因此,需要藉由設計檢測(亦即,使用經校準數值程序模型之密集型全晶片模擬)來驗證OPC之效應(例如,在應用OPC及任何其他RET之後的設計佈局),以便最小化將設計瑕疵建置至圖案化器件圖案中之可能性。此情形係藉由如下各者驅動:製造高端圖案化器件之巨大成本,其在數百萬美元的範圍內;以及對產品製作時程之影響,其係由重做或修復實際圖案化器件(一旦其已被製造)導致。
OPC之最簡單形式中之一者為選擇性偏置。在給出CD相對於間距曲線的情況下,可至少在最佳聚焦及曝光時藉由改變光罩層級處之CD而迫使全部不同間距產生相同CD。因此,若特徵在晶圓層級處過小地印刷,則光罩層級特徵將被偏置成稍微大於標稱,且反之亦然。因為自光罩層級至晶圓層級之圖案轉印程序為非線性的,所以偏置之量並非簡單地為在最佳聚焦及曝光時所量測的CD誤差乘以縮減比率,但在運用模型化及實驗的情況下,可判定適當偏置。選擇性偏置為對近接效應之問題的不完全解決方案,特別是在其僅在標稱程序條件下予以應用的情況下。儘管此偏置原則上可經應用以給出最佳聚焦及曝光時之均一CD相對於間距曲線,但一旦曝光程序在標稱條件範圍內變化,每一偏置間距曲線就將作出不同回應,從而引起用於不同特徵之不同程序窗。因此,用以給出相同CD相對於間距之「最佳」偏置甚至可具有對總程序窗之負面影響,從而縮減而非放大全部目標特徵在所要程序容許度內印刷於晶圓上之聚焦及曝光範圍。
已開發出其他更複雜的OPC技術以用於超出以上一維偏置實例之應用。二維近接效應為線端縮短(line end shortening)。線端具有依據曝光及聚焦而自其所要端點部位「拉回(pull back)」之趨勢。在許多狀況下,長線端之端縮短程度可比對應線窄化大若干倍。此類型之線端拉回可在線端未能完全地橫越其意欲覆蓋之底層(諸如源極-汲極區上方之多晶矽閘極層)的情況下引起所製造之器件發生嚴重故障。因為此類型之圖案對聚焦及曝光高度地敏感,所以使線端簡單地偏置為長於設計長度為不夠的,此係因為在最佳聚焦及曝光時或在曝光不足條件下之線將過長,從而在經延伸線端觸碰相鄰結構時引起短路,或在電路中之個別特徵之間添加更多空間的情況下引起不必要大的電路大小。因為積體電路設計及製造之關鍵目標中之一者係最大化功能元件之數目同時最小化每晶片所要求之面積,所以添加過量間隔為高度不合意的解決方案。
二維OPC途徑可幫助解決線端拉回問題。可將諸如「錘頭」或「襯線」之額外結構(亦被稱作「輔助特徵」)添加至線端以將該等線端有效地錨定於適當位置且遍及整個程序窗提供經縮減拉回。即使在最佳聚焦及曝光時,此等額外結構仍未被解析,但其變更主特徵之外觀而未被獨自完全地解析。如本文中所使用之「主特徵」意謂意欲在程序窗中之一些或全部條件下印刷於晶圓上之特徵。輔助特徵相較於被添加至線端之簡單錘頭可呈更具攻擊性的形式,而達光罩上之圖案不再簡單地為藉由縮減比率而規模擴大的所要晶圓圖案之程度。諸如襯線之輔助特徵可應用於比簡單地縮減線端拉回更多的狀況。內部襯線或外部襯線可應用於任何邊緣(尤其是兩個維度邊緣),以縮減隅角圓化或邊緣擠壓。在運用足夠選擇性偏置以及全部大小及極性之輔助特徵的情況下,光罩上之特徵承受與晶圓層級處所需要之最終圖案的愈來愈小的類似性。一般而言,光罩圖案變成晶圓層級圖案之經預失 真版本,其中失真意欲抵消或反轉將在微影程序期間發生之圖案變形,該微影程序用以在晶圓上產生儘可能地接近於由設計者預期之圖案的圖案。
代替連接至主特徵之該等輔助特徵(例如,襯線)或除了連接至主特徵之該等輔助特徵(例如,襯線)以外,另一OPC技術亦涉及使用完全獨立且不可解析之輔助特徵。此處之術語「獨立」意謂此等輔助特徵之邊緣未連接至主特徵之邊緣。此等獨立輔助特徵不意欲或需要作為特徵而印刷於晶圓上,而是意欲修改附近主特徵之空中影像,以增強該主特徵之可印刷性及程序容許度。此等輔助特徵(常常被稱作「散射桿體」或「SBAR」)可包括:子解析度輔助特徵(SRAF),其為主特徵之邊緣外部之特徵;及子解析度逆特徵(SRIF),其為自主特徵之邊緣內部掘出的特徵。SBAR之存在將又一層複雜度添加至光罩。散射桿體之使用之簡單實例為:其中在經隔離線特徵之兩側上拖曳不可解析散射桿體之規則陣列,根據空中影像觀點,此情形具有使經隔離線呈現為更多地表示緻密線陣列內之單一線的效應,從而引起程序窗在聚焦及曝光容許度方面更接近於緻密圖案之程序窗。此裝飾性經隔離特徵與緻密圖案之間的共同程序窗相較於如在光罩層級處隔離而拖曳的特徵之情形將具有對聚焦及曝光變化之較大共同容許度。
OPC及全晶片RET驗證兩者可基於如(例如)美國專利申請案第10/815,573號及Y.Cao等人的名為「Optimized Hardware and Software For Fast,Full Chip Simulation」(Proc.SPIE,第5754卷,405(2005年))之論文中所描述的數值模型化系統及方法。
一個RET係關於設計佈局之全域偏置之調整。全域偏置為設計佈局中之圖案與意欲印刷於基板上之圖案之間的差。舉例而言,25奈米直徑之圓形圖案可藉由設計佈局中之50奈米直徑圖案或藉由設計佈局中之20奈米直徑圖案但以高劑量而印刷於基板上。
除了對設計佈局或圖案化器件之最佳化(例如,OPC)以外,亦可與圖案化器件最佳化聯合地或分離地最佳化照明源,以致力於改良總微影保真度。術語「照明源」及「源」在此文件中可被互換地使用。自1990年代以來,已引入諸如環形、四極及偶極之許多離軸照明源,且該等離軸照明源已提供針對OPC設計之更多自由度,藉此改良成像結果。如吾人所知,離軸照明為用以解析圖案化器件中含有之精細結構(亦即,目標特徵)之經證實方式。然而,與傳統照明源相比較,離軸照明源通常提供針對空中影像(aerial image;AI)之較少輻射強度。因此,變得需要嘗試最佳化照明源以在較精細解析度與經縮減輻射強度之間達成最佳平衡。
舉例而言,可在Rosenbluth等人的名為「Optimum Mask and Source Patterns to Print A Given Shape」(Journal of Microlithography,Microfabrication,Microsystems 1(1),第13至20頁,(2002年))之論文中找到眾多照明源最佳化途徑。將源分割成若干區,該等區中之每一者對應於光瞳光譜之某一區。接著,將源分佈假定為在每一源極區中均一,且針對程序窗來最佳化每一區之亮度。然而,源分佈在每一源極區中均一之此假定並不總是有效,且因此,此途徑之有效性受損害。在Granik的名為「Source Optimization for Image Fidelity and Throughput」(Journal of Microlithography,Microfabrication,Microsystems 3(4),第509至522頁,(2004年))之論文中所闡述的另一實例中,概述若干現有源最佳化途徑,且提出將源最佳化問題轉換成一系列非負最小平方最佳化的基於照明器像素之方法。儘管此等方法已示範一些成功,但其通常要求多次複雜反覆以進行收斂。另外,可難以判定用於一些額外參數(諸如Granik方法中之γ)之適當/最佳值,此情形規定在最佳化用於基板影像保真度之源與該源之平滑度要求之間的取捨。
對於低k1光微影,源及圖案化器件兩者之最佳化有用於確保用於臨界電路圖案的投影之可用程序窗。一些演算法(例如,Socha等人之Proc.SPIE,2005年,第5853卷,第180頁)在空間頻域中將照明分隔成獨立源點且將光罩分隔成繞射階,且基於可由光學成像模型自源點強度及圖案化器件繞射階而預測之程序窗度量(諸如曝光寬容度)來分離地公式化成本函數(其被定義為經選擇設計變數之函數)。如本文中所使用之術語「設計變數」包含微影裝置之參數集合,例如,微影裝置之使用者可調整之參數。應瞭解,微影投影程序之任何特性(包括源之特性、圖案化器件之特性、投影光學件之特性及/或抗蝕劑特性)可在最佳化中之設計變數當中。成本函數常常為設計變數之非線性函數。接著使用標準最佳化技術以最小化成本函數。
相關地,不斷減低之設計規則之壓力已驅動半導體晶片製造者在現有193奈米ArF微影的情況下更深入至低k1微影時代。朝向較低k1之微影施予對RET、曝光工具及針對微影親和設計的需要之大量需求。未來可使用1.35 ArF超數值孔徑(NA)曝光工具。為了幫助確保電路設計可運用可工作程序窗而產生至基板上,源圖案化器件最佳化(在本文中被稱作源光罩最佳化(source-mask optimization)或SMO)正變成用於2x奈米節點之顯著RET。
2009年11月20日申請且被公開為WO2010/059954的名為「Fast Freeform Source and Mask Co-Optimization Method」的共同讓渡之國際專利申請案第PCT/US2009/065359號中描述允許在無約束的情況下且在可實行之時間量內使用成本函數來同時最佳化源及圖案化器件的源及圖案化器件(設計佈局)最佳化方法及系統,該專利申請案之全文以引用的方式併入本文中。
2010年6月10日申請且被公開為美國專利申請公開案第2010/0315614號的名為「Source-Mask Optimization in Lithographic Apparatus」的共同讓渡之美國專利申請案第12/813456號中描述涉及藉由調整源之像素而最佳化源的另一源及圖案化器件最佳化方法及系統,該專利申請案之全文以引用的方式併入本文中。
儘管可在本文中特定地參考在IC之製造中的實施例之使用,但應明確地理解,該等實施例具有許多其他可能應用。舉例而言,其可用來製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等等。熟習此項技術者將瞭解,在此等替代應用之情況中,在本文中對術語「比例光罩」、「晶圓」或「晶粒」之任何使用應被視為可分別與更一般之術語「光罩」、「基板」及「目標部分」互換。
在本文件中,術語「輻射」及「光束」用以涵蓋全部類型之電磁輻射,包括紫外線輻射(例如,具有365奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線輻射(EUV,例如,具有在5奈米至20奈米之範圍內之波長)。
如本文中所使用之術語「最佳化(optimizing/optimization)」意謂:調整微影裝置,使得微影之結果及/或程序具有更合意的特性,諸如設計佈局在基板上之投影之較高準確度、較大程序窗等等。
另外,微影裝置可屬於具有兩個或兩個以上基板台(及/或兩個或兩個以上圖案化器件台)之類型。在此等「多載物台」器件中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,以引用的方式併入本文中之US 5,969,441中描述雙載物台微影裝置。
上文所提及之圖案化器件包含設計佈局。可利用電腦輔助設計(computer-aided design;CAD)程式來產生設計佈局,此程序常常被稱作電子設計自動化(electronic design automation;EDA)。大多數CAD程式遵循設計規則集合,以便產生功能設計佈局/圖案化器件。藉由 處理及設計限制來設定此等規則。舉例而言,設計規則界定電路器件(諸如閘極、電容器等等)或互連線之間的空間容許度,以便確保電路器件或線不會以不合意的方式彼此相互作用。設計規則限制通常被稱作「臨界尺寸」(CD)。可將電路之臨界尺寸定義為線或孔之最小寬度,或兩條線或兩個孔之間的最小空間。因此,CD判定經設計電路之總大小及密度。積體電路製造中之目標中之一者係在基板上如實地再生原始電路設計(經由圖案化器件)。
如本文中所使用之術語圖案化器件可被廣泛地解譯為係指可用以向入射輻射光束賦予經圖案化橫截面之通用圖案化器件,該經圖案化橫截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦可用於此情況中。除了經典光罩(透射或反射;二元、相移、混合式等等)以外,其他此等圖案化器件之實例亦包括:
-可程式化鏡面陣列。此器件之一實例為具有黏彈性控制層及反射表面之矩陣可定址表面。此裝置所隱含之基本原理為(例如):反射表面之經定址區域使入射輻射反射為繞射輻射,而未經定址區域使入射輻射反射為非繞射輻射。在使用適當濾光器的情況下,可自反射光束濾出該非繞射輻射,從而僅留下繞射輻射;以此方式,光束根據矩陣可定址表面之定址圖案而變得圖案化。可使用適合電子件來執行矩陣定址。可(例如)自以引用的方式併入本文中之美國專利第5,296,891號及第5,523,193號搜集到關於此等鏡面陣列之更多資訊。
-可程式化LCD陣列。以引用的方式併入本文中之美國專利第5,229,872號中給出此建構之一實例。
作為簡明介紹,圖1A說明微影裝置。主要組件為:照明源12,其可為深紫外線準分子雷射源或包括極紫外線(EUV)源的其他類型之源;照明光學件,其界定部分相干性(被表示為均方偏差)且可包括塑形來自源12之輻射之光學件14、16a及16b;圖案化器件(例如,光罩 或比例光罩)18;及透射光學件16c,其將圖案化器件圖案之影像投影至基板平面22上。投影光學件之光瞳平面處的可調整濾光器或孔徑20可限定照射於基板平面22上的光束角之範圍,其中最大可能角界定投影光學件之數值孔徑NA=sin(Θmax)。如本文中所使用之術語「源」及「照明源」可包括照明光學件。舉例而言,圖1B展示包括源收集器模組2810及照明光學件2820之EUV照明源。在源收集器模組2810中,可由電漿產生EUV輻射。EUV輻射接著由照明光學件2820塑形且被導向至圖案化器件2840。圖案化器件2840與照明光學件2820之間的平面處之光瞳可被稱作照明光瞳。照明源之「形狀」係指照明光瞳處之強度及/或相位分佈。
在微影裝置中,源提供照明(亦即,輻射);投影光學件經由圖案化器件而導向及塑形照明,且將照明導向及塑形至基板上。此處,術語「投影光學件」被廣泛地定義為包括可變更輻射光束之波前之任何光學組件。舉例而言,投影光學件可包括組件14、16a、16b及16c中之至少一些。空中影像(AI)為基板上之輻射強度分佈。曝光基板上之抗蝕劑層,且將空中影像轉印至抗蝕劑層以在其中作為潛伏「抗蝕劑影像」(RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑的溶解度之空間分佈。可使用抗蝕劑模型以自空中影像計算抗蝕劑影像,可在揭示內容之全文以引用的方式併入本文中之共同讓渡之美國專利申請案第12/315,849號中找到此情形之實例。抗蝕劑模型僅係關於抗蝕劑層之屬性(例如,在曝光、PEB及顯影期間發生之化學程序之效應)。微影裝置之光學屬性(例如,源、圖案化器件及投影光學件之屬性)規定空中影像。因為可改變微影裝置中所使用之圖案化器件,所以需要將圖案化器件之光學屬性與至少包括源及投影光學件的微影裝置之其餘部分之光學屬性分離。
圖2A中說明用於模擬微影裝置中之微影的流程圖。源模型31表 示源之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學件模型32表示投影光學件之光學特性(包括由投影光學件造成的對輻射強度分佈及/或相位分佈之改變)。投影光學件模型32可包括由各種因素造成之像差,該等因素係例如投影光學件之組件之加熱,由投影光學件之組件之機械連接造成的應力。源模型31及投影光學件模型32可被組合成透射交叉係數(transmission cross coefficient;TCC)模型。設計佈局模型33表示設計佈局之光學特性(包括由給定設計佈局造成的對輻射強度分佈及/或相位分佈之改變),該設計佈局為圖案化器件之特徵的配置之表示。可自源模型31、投影光學件模型32及設計佈局模型33模擬空中影像36。可使用抗蝕劑模型37而自空中影像36模擬抗蝕劑影像38。舉例而言,微影之模擬可預測抗蝕劑影像之輪廓及CD。
更具體言之,應注意,源模型31可表示源之光學特性,該等光學特性包括但不限於NA均方偏差(σ)設定以及任何特定照明源形狀(例如,離軸輻射源,諸如環形、四極及偶極等等)。投影光學件模型32可表示投影光學件之光學特性,該等光學特性包括像差、失真、折射率、實體大小、實體尺寸、吸收率等等。設計佈局模型33亦可表示實體圖案化器件之物理屬性,如(例如)全文以引用的方式併入之美國專利第7,587,704號中所描述。模擬之目標係準確地預測(例如)邊緣置放及CD,可接著將該等邊緣置放及CD與預期設計進行比較。預期設計通常被定義為可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式而提供之預OPC設計佈局。
自此設計佈局,可識別被稱作「剪輯(clip)」之一或多個部分。在一特定實施例中,提取剪輯集合,其表示設計佈局中之複雜圖案(通常為約50個至1000個剪輯,但可使用任何數目個剪輯)。熟習此項技術者將瞭解,此等圖案或剪輯表示設計之小部分(亦即,電路、胞元或圖案),且特別地,該等剪輯表示需要特定關注及/或驗證之小部 分。換言之,剪輯可為設計佈局之部分,或可相似或具有臨界特徵係藉由體驗而識別(包括由客戶提供之剪輯)、藉由試誤法而識別或藉由執行全晶片模擬而識別的設計佈局之部分的相似行為。剪輯通常含有一或多個測試圖案或量規圖案。
可由客戶基於設計佈局中要求特定影像最佳化之已知臨界特徵區域而先驗地提供初始較大剪輯集合。替代地,在另一實施例中,可藉由使用識別臨界特徵區域之某種自動化(諸如機器視覺)或手動演算法而自整個設計佈局提取初始較大剪輯集合。
微影程序之模擬當然不限於圖2A所說明之流程。微影程序中所使用之微影裝置(包括照明光學件、圖案化器件、投影光學件且可能地包括基板)為光學系統之實例。光學系統將沿著光學路徑之上游影像變換為下游影像。在使用圖1B中之照明源作為一實例的情況下,照明光學件將電漿之影像變換為照明光瞳上之影像。在使用圖1A中之微影裝置作為一實例的情況下,圖案化器件18及透射光學件16c集體地將照明光瞳上之影像變換為基板平面上之影像。基板自身亦可被視為光學系統,其將基板上之任何抗蝕劑層之頂部表面處的影像變換為抗蝕劑層內部(例如,在抗蝕劑層中之某一深度處之平面上)之影像。圖2B展示模擬光學系統(例如,圖案化器件、光罩等等)之通用流程。可自光學系統之給定上游影像40及模型42模擬下游影像。此流程中之關鍵為模型42,其理想地捕捉光學系統之光學行為。有時,理想光學模型可非常難以建構,或計算上非常昂貴。近似模型可更實用。
模擬可有用於最佳化微影裝置或程序。在系統或程序之最佳化程序中,可將系統之優值表示為成本函數。最佳化程序歸結為找到最小化成本函數之系統之參數(設計變數)集合的程序。成本函數可取決於最佳化之目標而具有任何適合形式。舉例而言,成本函數可為系統或程序之某些特性(評估點)相對於此等特性之預期值(例如,理想值) 的偏差之加權均方根(RMS);成本函數亦可為此等偏差之最大值。本文中之術語「評估點」應被廣泛地解譯為包括系統或程序之任何特性。歸因於系統之實施的實務性,系統或程序之設計變數可被約束為有限範圍及/或可相互相依。在微影裝置或程序之狀況下,約束常常與硬體之物理屬性及特性(諸如可調諧範圍及/或圖案化器件可製造性設計規則)相關聯,且評估點可包括基板上之抗蝕劑影像上之實體點,以及諸如劑量及聚焦之非物理特性。如本文中所使用,影像意謂輻射在表面上之空間強度分佈(包括模糊強度分佈)、空間相位分佈,或空間強度分佈及空間相位分佈兩者。表面可為垂直於光學系統之光軸的平面。
可(例如)在2010年10月28日申請之美國專利申請案第12/914,946號中找到最佳化方法之實例,該專利申請案之揭示內容的全文以引用的方式併入本文中。
模擬中所使用之模型應考量影響微影程序之物理效應。一種此類效應為圖案化器件上之特徵的有限高度。圖案化器件上之特徵的有限高度(不可忽略)可促成圖案位移錯誤(pattern displacement error(PDE)/pattern shift error)。PDE為圖案自其在經模擬或實際影像(例如,空中影像、抗蝕劑影像及經蝕刻影像)中之預期部位的移位之量測。圖案化器件上之特徵的有限高度及空間分佈亦可藉由使入射輻射散射偏離此等特徵之邊緣、表面及隅角而改變來自圖案化器件下游之影像。如本文中所使用之術語「散射(scatter/scattering)」意謂對入射輻射之效應之組合,其可包括反射、繞射及折射。
圖3A示意性地展示反射圖案化器件300上具有有限高度之特徵370之散射。特徵370可為自源吸收入射輻射310之吸收材料。入射角可為非零。圖案化器件上之部位處之入射角可針對來自照明光瞳之不同部分之輻射而不同。對於來自照明光瞳之同一部分的輻射在不同部 位處之入射角可不同。可使用EUV輻射而在微影裝置中找到反射圖案化器件。特徵370可使入射輻射310自該特徵之各種部分(諸如其面、邊緣及隅角)散射。來自此等部分之散射輻射(例如,來自特徵370之面的散射輻射312(若存在)、來自特徵370之前邊緣的散射輻射313、來自特徵370之後邊緣的散射輻射314,及來自特徵370之側邊緣及隅角的散射輻射(圖中未繪示)等等)及來自不具有任何吸收材料的圖案化器件之區域的散射輻射315可具有諸如不同方向、強度、相位之不同特性。圖案化器件300下游之影像(例如,在圖案化器件下方之平面處、在投影光學件之入射光瞳處)受到此等散射輻射影響。
圖3B示意性地展示透射圖案化器件305上具有有限高度之特徵380之散射。特徵380可為自源吸收入射輻射320之吸收材料層中之開口。入射角可為但未必為零。入射輻射320可具有多個入射角。即,入射輻射320可來自多個方向。可使用DUV輻射而在微影裝置中找到透射圖案化器件。特徵380可使入射輻射320自該特徵之各種部分(諸如其面、邊緣及隅角)散射。來自此等部分之散射輻射(例如,來自特徵380之面的散射輻射322、來自特徵380之邊緣的散射輻射323,及來自特徵380之隅角的散射輻射(圖中未繪示)等等)及來自由吸收材料層覆蓋之圖案化器件的區域之散射輻射325(若存在)可具有諸如不同方向、強度、相位之不同特性。圖案化器件305下游之影像(例如,在圖案化器件下方之平面處、在投影光學件之入射光瞳處)受到此等散射輻射影響。
另一此類效應為基板上之結構的有限(不可忽略)高度及空間分佈。此等結構之邊緣、表面及隅角亦可使輻射散射,且因此改變基板上之抗蝕劑層中之抗蝕劑影像。圖3C示意性地展示基板307上具有有限高度之結構390之散射。結構390可為基板307上之凸塊或凹部,且可在抗蝕劑層308下方。結構390可包含金屬材料、介電質材料或其他 材料。結構390對於入射輻射330可為透明的或不透明的。入射角可為但未必為零。入射輻射330可具有多個入射角。即,入射輻射330可來自多個方向。結構390可使入射輻射330自該結構之各種部分(諸如其面、邊緣及隅角)散射。來自此等部分之散射輻射(例如,來自結構390之面的散射輻射332、來自結構390之邊緣的散射輻射333,及來自結構390之隅角的散射輻射(圖中未繪示)等等)及來自不具有任何結構的基板之區域(亦即,上方僅具有抗蝕劑層308之裸基板)之散射輻射355(若存在)可具有諸如不同方向、強度、相位之不同特性。抗蝕劑層308中之影像(例如,在抗蝕劑層308中之平面處)受到此等散射輻射影響。舉例而言,散射輻射可干涉入射輻射330,且因此改變抗蝕劑層308中之輻射強度的空間分佈。
可使用馬克士威方程式(Maxwell's equation)來嚴密地模型化此等效應,然而,此在計算上昂貴,且無法符合現實地應用於基板的全部或圖案化器件的全部。
圖4展示根據一實施例之方法的流程圖,該方法允許對基板上之抗蝕劑層之影像或圖案化器件之下游(downstream)之影像進行計算成本較少的模型化,其中至少考量結構之有限高度及空間分佈對基板的效應或特徵之有限高度及空間分佈對圖案化器件的效應。在步驟410中,自基板或圖案化器件之部分或基板或圖案化器件之整體識別均一光學屬性之區(例如,如以下所述之區:在該等區中之每一者內,結構或特徵之堆疊(stack of structures or features)在平行於基板或圖案化器件之方向上係實質上均一的)。此處,即使有任何實質上不會貢獻(contribute)該堆疊之光學屬性的特徵或結構(例如,平坦化層下方之層、反射層下方之層等等)係不均一的,結構或特徵之堆疊仍被視為實質上均一。舉例而言,在圖案化器件之情況中,均一光學屬性之區可為在該區中如由吸光度(亦被稱作光學密度)、折射率、厚度等等所 規定的光學屬性係均一的整個區;在基板之情況中,均一光學屬性之區可為在該區中如由抗蝕劑中或下方之結構之組合物、次序、厚度、反射等等所規定的光學屬性係均一的整個區。在步驟420中,對於每一均一光學屬性之區獲得一影像(例如,自影像資料庫400擷取),其中若基板或圖案化器件之整體與該區具有相同的均一光學屬性,則經獲得影像為將由基板或圖案化器件形成的影像。舉例而言,對於基板上之均一光學屬性之區,其具有包括(按自基板至其上之抗蝕劑的次序)100奈米之金層、500奈米之氮化矽層及1000奈米之PMMA抗蝕劑層的結構之堆疊,經獲得影像將為在基板之整體上都具有完全相同之堆疊結構(100奈米之金層、500奈米之氮化矽層及1000奈米之PMMA抗蝕劑層)的該基板所形成之影像。為了便於拼接,經獲得影像可在同一影像平面上。若影像不在同一影像平面上,則仍然可將其拼接。影像在同一影像平面上並不意謂抗蝕劑層必定是平坦的。經獲得影像可為在除了圖案化器件或基板以外之條件(例如,投影光學件之條件、源之條件)皆相同的情況下所獲得的影像。在步驟430中,根據基板或圖案化器件之部分或基板或圖案化器件之整體中的區之部位來藉由拼接用於均一光學屬性之區之經獲得影像而形成經拼接影像。在步驟440中,藉由將調整應用於經拼接影像而形成基板上之抗蝕劑層之影像或圖案化器件之下游之影像,其中該調整至少部分地校正該等區之有限大小的效應或至少部分地模仿該等區之有限大小的效應(例如,來自該等區之隅角、該等區之邊緣、該等區之界面及該等區之其他部分的散射)。舉例而言,1階調整可呈在經拼接影像之間的平滑過渡之形式。
圖5至圖10展示用以說明圖4之方法的示意圖。
圖5展示基板520上之均一光學屬性之區501至505的五個實例。區501由基板520上之抗蝕劑層510組成。區501中不存在其他結構。區 502由抗蝕劑層510及抗蝕劑層510下方的基板520上之材料層530組成。區503亦由抗蝕劑層510及抗蝕劑層510下方的基板520上之材料層530組成,但區503中之材料層530厚於區502中之材料層530。區504由基板520上之材料層530、直接在材料層530上之材料層540及直接在材料層540上之抗蝕劑層510組成。區505由抗蝕劑層510及抗蝕劑層510下方的基板520上之材料層540組成。在給定條件下,由在基板520之整個整體上都具有區501至505之基板520形成的抗蝕劑層510中之影像分別為影像i1至i5。此等影像i1至i5可被強健地計算,且被編入資料庫400中以供未來使用。該資料庫可儲存在多種條件下形成的多種均一光學屬性之影像。
圖6展示基板之部分600。部分600可被劃分成均一光學屬性之兩個區501及502。分別針對均一光學屬性之此兩個區501及502獲得影像i1及i2。可自諸如資料庫400之資料庫擷取影像i1及i2,或嚴密地計算影像i1及i2。接著根據均一光學屬性之兩個區501及502的部位來拼接影像i1及i2以形成經拼接影像610。
圖7A展示基板之部分700。部分700可被劃分成均一光學屬性之六個區501、502、504及505(六個區中之兩個區502彼此不鄰近,但兩者皆具有相同光學屬性;六個區中之另外兩個區505彼此不鄰近,但兩者皆具有相同光學屬性)。分別針對均一光學屬性之此六個區501、502、504及505獲得影像i1、i2、i4及i5。可自諸如資料庫400之資料庫擷取影像i1、i2、i4及i5,或嚴密地計算影像i1、i2、i4及i5。接著根據均一光學屬性之六個區501、502、504及505的部位來拼接影像i1、i2、i4及i5以形成經拼接影像710。
圖7B展示對經拼接影像610之一個調整以便在抗蝕劑層中形成影像615。在此實例中,1階調整致使在經拼接影像中之影像(例如,i1、i2、i4及i5)之邊界處發生平滑過渡。物理學規定實際影像不應在邊界 處具有突然改變。1階調整由影像i1與i2之間的邊界處之點線圓701所示。將影像i2描繪為黑色,且將影像i1描繪為白色,以便藉由自黑色至白色之灰階過渡而示意性地展示其間的平滑過渡。在一實例中,在影像i1與i2之間的此邊界處,可將抗蝕劑層中之影像表達為i 2w(-x)+i 1w(x),其中x為垂直於邊界之方向;在邊界處,x=0;x在邊界之右側為正且在邊界之左側為負;w(x)為滿足lim x→- w(x)=0及lim x w(x)=1之平滑函數。在一實例中, ,其中erf(x)為高斯誤差函數(Gauss error function)。
圖8展示對影像615之調整以便在抗蝕劑層中形成影像620。在此實例中,應用反映自區502之邊緣之散射之2階調整(例如,在點線方框801中)。可將此調整寫為,其中表示均一光學屬性之區之邊緣的部位,其藉由在靠近這些邊緣處具有非零值且在其他處具有零值而表示,如示意性地所展示;為核心函數,其表示此等邊緣處之任何物理效應(例如,散射)。
圖9展示對經拼接影像615之另一調整以便在抗蝕劑層中形成影像630。在此實例中,應用反映自區502之四個隅角之散射的2階調整(例如,在點線圓901中)。可將此調整寫為,其中表示均一光學屬性之區的隅角,其藉由在靠近這些隅角處具有非零值且在其他處具有零值而表示,如示意性地所展示;為核心函數,其表示此等隅角處之任何物理效應(例如,散射)。
圖10展示針對具有兩個區502之基板而對經拼接影像1020之又一調整,且該基板之其餘部分為區501。在此實例中,應用反映自區502之兩個鄰近邊緣之散射的2階調整(在點線圓1001中)。可將此調整寫為,其中表示均一光學屬性之區的鄰近邊緣,其藉由在靠近這些鄰近邊緣處具有非零值且在其他處具有零值而表示,如示意性地所展示;為核心函數,其表示此等鄰近邊緣處之任何物理效 應(例如,散射)。
可將對經拼接影像之調整在數學上表達為如下通用形式:,其中為表示均一光學屬性之區的某些特性(諸如邊緣、隅角、鄰近邊緣等等之幾何特性)之一函數,其藉由在靠近這些特徵處具有非零值且在其他處具有零值而表示。為一核心函數,其表示此等某些特性之任何物理效應(例如,散射)。針對各種特徵之核心函數可被嚴密地計算,且被編入資料庫中。核心函數可取決於與基板或圖案化器件無關之條件。
圖11為說明可輔助實施本文中所揭示之最佳化方法及流程之電腦系統100的方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之一處理器104(或多個處理器104及105)。電腦系統100亦包括耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令的主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106亦可用於在執行待由處理器104執行之指令期間儲存暫時變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令的唯讀記憶體(ROM)108或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件110,且儲存器件110耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102而耦接至用於向電腦使用者顯示資訊之顯示器112,諸如陰極射線管(cathode ray tube;CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入器件114耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個軸線(第一軸線(例 如,x)及第二軸線(例如,y))上之兩個自由度,其允許器件指定在平面中之位置。觸控面板(螢幕)顯示器亦可用作輸入器件。
根據一個實施例,可由電腦系統100回應於處理器104執行主記憶體106中含有的一或多個指令之一或多個序列而執行最佳化程序之部分。可將此等指令自另一電腦可讀媒體(諸如儲存器件110)讀取至主記憶體106中。主記憶體106中含有之指令序列的執行致使處理器104執行本文中所描述之程序步驟。亦可使用呈多處理配置之一或多個處理器以執行主記憶體106中含有之指令序列。在替代實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,實施例不限於硬體電路系統與軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可採取許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如儲存器件110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸纜線、銅線及光纖,其包括包含匯流排102之電線。傳輸媒體亦可採取聲波或光波之形式,諸如在射頻(RF)及紅外線(IR)資料通信期間產生之聲波或光波。電腦可讀媒體之常見形式包括(例如)軟性磁碟、可撓性磁碟、硬碟、磁帶、任何其他磁媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。
可在將一或多個指令之一或多個序列攜載至處理器104以供執行時涉及各種形式之電腦可讀媒體。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線而發送指令。在電腦系統100本端之數據機 可接收電話線上之資料,且使用紅外線傳輸器以將資料轉換至紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中攜載之資料且將該資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自主記憶體106擷取及執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存器件110上。
電腦系統100亦可包括耦接至匯流排102之通信介面118。通信介面118提供對網路鏈路120之雙向資料通信耦接,網路鏈路120連接至區域網路122。舉例而言,通信介面118可為整合式服務數位網路(ISDN)卡或數據機以提供至對應類型之電話線之資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以提供至相容LAN之資料通信連接。亦可實施無線鏈路。在任何此類實施中,通信介面118發送及接收攜載表示各種類型之資訊之數位資料串流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路而將資料通信提供至其他資料器件。舉例而言,網路鏈路120可經由區域網路122而向主機電腦124或向由網際網路服務提供者(ISP)126操作之資料設備提供連接。ISP 126又經由全球封包資料通信網路(現在通常被稱作「網際網路」128)而提供資料通信服務。區域網路122及網際網路128兩者皆使用攜載數位資料串流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號(該等信號將數位資料攜載至電腦系統100且自電腦系統100攜載數位資料)為輸送資訊的載波之形式。
電腦系統100可經由網路、網路鏈路120及通信介面118而發送訊息且接收資料(包括程式碼)。在網際網路實例中,伺服器130可能經由網際網路128、ISP 126、區域網路122及通信介面118而傳輸用於應 用程式之經請求程式碼。根據一或多個實施例,一個此類經下載應用程式提供(例如)實施例之照明最佳化。經接收程式碼可在其被接收時由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波形式之應用程式碼。
圖12示意性地描繪另一微影裝置1000,其照明源可利用本文中所描述之方法予以最佳化。
微影裝置1000包括:-源收集器模組SO-照明系統(照明器)IL,其經組態以調節輻射光束B(例如,EUV輻射);-支撐結構(例如,光罩台)MT,其經建構以支撐圖案化器件(例如,光罩或比例光罩)MA,且連接至經組態以準確地定位該圖案化器件之第一定位器PM;-基板台(例如,晶圓台)WT,其經建構以固持基板(例如,塗佈有抗蝕劑之晶圓)W,且連接至經組態以準確地定位該基板之第二定位器PW;及-投影系統(例如,反射投影系統)PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上。
如此處所描繪,裝置1000屬於反射類型(例如,使用反射光罩)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以光罩可具有包含(例如)鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可運用X射線微影來產生甚至更小的波長。因為大多數材料在EUV及x射線波長下具吸收性,所以圖案化器件構形(topography)上之經圖案 化吸收材料薄片段(例如,多層反射器之頂部上之TaN吸收體)界定特徵將印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)之處。
參看圖12,照明器IL自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但未必限於運用在EUV範圍中之一或多個發射譜線將具有至少一個元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿(「LPP」))中,可藉由運用雷射光束來輻照燃料(諸如具有譜線發射元素之材料的小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射(圖12中未繪示)之EUV輻射系統之部件,以用於提供激發燃料之雷射光束。所得電漿發射輸出輻射,例如,EUV輻射,該輸出輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為分離實體。
在此等狀況下,雷射不被視為形成微影裝置之部件,且輻射光束係憑藉包含(例如)適合導向鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他狀況下,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部件。
照明器IL可包含用於調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部(σ-outer)及σ內部(σ-inner))。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於支撐結構(例如,光罩台)MT上之圖案化器件(例如,光罩)MA上,且藉由該圖案化器件而圖案化。在自 圖案化器件(例如,光罩)MA反射之後,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2(例如,干涉量測器件、線性編碼器或電容性感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確地定位圖案化器件(例如,光罩)MA。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩)MA及基板W。
所描繪裝置1000可用於以下模式中之至少一者中:
1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如,光罩台)MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。
2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如,光罩台)MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,光罩台)MT之速度及方向。
3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構(例如,光罩台)MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在掃描期間之逐次輻射脈衝之間視需要而更新可程式化圖案化器件。此操作模式可容易地應用於利用可程式化圖案化器件(諸如上文所提及之類型的可程式化鏡面陣列)之無光罩微影。
圖13更詳細地展示裝置1000,其包括源收集器模組SO、照明系 統IL及投影系統PS。源收集器模組SO經建構及配置成使得可將真空環境維持於源收集器模組SO之圍封結構220中。可藉由放電產生電漿源而形成EUV輻射發射電漿210。可藉由氣體或蒸汽(例如,氙氣體、鋰蒸汽或錫蒸汽)而產生EUV輻射,其中產生極熱電漿210以發射在電磁光譜之EUV範圍內之輻射。舉例而言,藉由造成至少部分離子化電漿之放電而產生極熱電漿210。為了輻射之有效率產生,可要求為(例如)10Pa之分壓之氙、鋰、錫蒸汽或任何其他適合氣體或蒸汽。在一實施例中,提供經激發錫(Sn)電漿以產生EUV輻射。
由熱電漿210發射之輻射係經由定位於源腔室211中之開口中或後方的選用氣體障壁或污染物截留器230(在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染物截留器230亦可包括氣體障壁,或氣體障壁與通道結構之組合。本文中進一步所指示之污染物截留器或污染物障壁230至少包括通道結構,如此項技術中所知。
收集器腔室211可包括可為所謂的掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵光譜濾光器240反射以沿著由點虛線「O」指示之光軸而聚焦於虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置成使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面器件22及琢面化光瞳鏡面器件24,琢面化場鏡面器件22及琢面化光瞳鏡面器件24經配置以提供在圖案化器件MA處的輻射光束21之所要角分佈,以及在圖案化器件MA處的輻射強度之所要均一性。在由支撐結構MT固持之圖案化器件MA處的輻射光束21之反射後,就形成經圖案化光束26,且由投影系統PS將經圖案化光束26經由反射元件28、30而 成像至由基板台WT固持之基板W上。
比所展示之元件多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影裝置之類型,可視情況存在光柵光譜濾光器240。另外,可存在比諸圖所展示之鏡面更多的鏡面,例如,在投影系統PS中可存在比圖13所展示之反射元件多1至6個的額外反射元件。
如圖13所說明之收集器光學件CO被描繪為具有掠入射反射器253、254及255之巢狀集光器,僅僅作為收集器(或收集器鏡面)之一實例。掠入射反射器253、254及255圍繞光軸O軸向地對稱安置,且此類型之收集器光學件CO較佳地結合放電產生電漿源(常常被稱作DPP源)而使用。
替代地,源收集器模組SO可為如圖14所展示之LPP輻射系統之部件。雷射LA經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數十電子伏特之電子溫度之高度離子化電漿210。在此等離子之去激發及再組合期間產生之高能輻射係自電漿發射、由近正入射角收集器光學件CO收集,且聚焦至圍封結構220中之開口221上。
可使用以下條項來進一步描述本發明:
1.一種用於一器件製造程序之影像模擬之電腦實施方法,該方法包含:自一基板之一部分或該基板之一整體識別均一(uniform)光學屬性之區,其中光學屬性在該等區中之每一者內係均一的;獲得用於該等區中之每一者之一影像,其中若該基板之該整體與該區具有相同的均一光學屬性,則該影像為將由該基板形成的影像;根據該基板之該部分或該基板之該整體中的該等區之部位來藉由拼接(stitch)用於該等區中之每一者之該影像而形成一經拼接影像; 由一電腦藉由將調整應用於該經拼接影像而形成一經調整影像,以用於至少部分地校正該等區之有限大小的一效應或至少部分地模仿該等區之有限大小的一效應。
2.如條項1之方法,其中該等區中之至少一些區包含具有一有限高度之結構。
3.如條項1至2中任一項之方法,其中該經調整影像為該基板上之一抗蝕劑層之一影像。
4.如條項1至3中任一項之方法,其中該等區中之每一者內的結構之一堆疊係實質上均一。
5.如條項1至4中任一項之方法,其中該等光學屬性係由選自由以下各者組成之一群組的參數所規定:一抗蝕劑層中或下方之結構的吸光度、折射率、厚度、組合物、次序、反射,及其一組合。
6.如條項1至5中任一項之方法,其中獲得用於該等區中之每一者之該影像包含自一資料庫擷取該影像。
7.如條項1至6中任一項之方法,其中用於該等區中之每一者之該影像係在一同一影像平面上。
8.如條項1至7中任一項之方法,其中用於該等區中之每一者之該影像係在除了該基板以外之相同條件下而獲得。
9.如條項4之方法,其中該調整反映該等結構之有限高度的一效應。
10.如條項1至9中任一項之方法,其中該調整包含在用於該等區之該等影像之間的邊界處的一平滑過渡,或自該等區之邊緣之散射的一效應。
11.如條項1至10中任一項之方法,其中該調整反映自該等區之隅角之散射的一效應。
12.如條項1至11中任一項之方法,其中該調整反映自該等區之 兩個鄰近邊緣之散射的一效應。
13.如條項1至12中任一項之方法,應用該調整包含表示該等區之特性之部位之一部位函數與表示該等特性之物理效應之一核心函數的一迴旋(convolution)。
14.如條項13之方法,其中應用該調整包含自一資料庫擷取該核心函數(kernel function)。
15.如條項13之方法,其中應用該調整包含自該基板計算該部位函數(location function)。
16.如條項4之方法,其中該等結構在該基板上之一抗蝕劑層下方。
17.一種用於一器件製造程序之影像模擬之電腦實施方法,該方法包含:自一圖案化器件之一部分或該圖案化器件之一整體識別均一光學屬性之區,其中光學屬性在該等區中之每一者內係均一的;獲得用於該等區中之每一者之一影像,其中若該圖案化器件之該整體與該區具有相同的均一光學屬性,則該影像為將由該圖案化器件形成的影像;根據該圖案化器件之該部分或該圖案化器件之該整體中的該等區之部位來藉由拼接用於該等區中之每一者之該影像而形成一經拼接影像;藉由將調整應用於該經拼接影像而形成一經調整影像,以用於模仿該等區之有限大小的一效應。
18.如條項17之方法,其中該等區中之至少一些區包含具有一有限高度之特徵。
19.如條項17至18中任一項之方法,其中該經調整影像為該圖案化器件之下游(downstream)之一影像。
20.如條項17至19中任一項之方法,其中該等區中之每一者內的結構之一堆疊(a stack of features)係均一的。
21.如條項17至20中任一項之方法,其中該等光學屬性係由選自由以下各者組成之一群組的參數所規定:吸光度、折射率、厚度、組合物、次序,及其一組合。
22.如條項17至21中任一項之方法,其中獲得用於該等區中之每一者之該影像包含自一資料庫擷取該影像。
23.如條項17至22中任一項之方法,其中用於該等區中之每一者之該影像係在一同一影像平面上。
24.如條項17至23中任一項之方法,其中用於該等區中之每一者之該影像係在除了該圖案化器件以外之相同條件下而獲得。
25.如條項20之方法,其中該調整反映該等特徵之有限高度的一效應。
26.如條項17至25中任一項之方法,其中該調整包含在用於該等區之該等影像之間的邊界處的一平滑過渡。
27.如條項17至26中任一項之方法,其中該調整反映自該等區之隅角之散射的一效應。
28.如條項17至27中任一項之方法,其中該調整反映自該等區之兩個鄰近邊緣之散射的一效應。
29.如條項17至28中任一項之方法,應用該調整包含表示該等區之特性之部位之一部位函數與表示該等特性之物理效應之一核心函數的一迴旋。
30.如條項29之方法,其中應用該調整包含自一資料庫擷取該核心函數。
31.如條項29之方法,其中應用該調整包含自該圖案化器件計算該部位函數。
32.如條項1至31中任一項之方法,該器件製造程序為使用極紫外線光以將該圖案化器件上之一設計佈局曝光至該基板之一微影程序。
33.一種包含一電腦可讀媒體之電腦程式產品,該電腦可讀媒體具有記錄於其上之指令,該等指令在由一電腦執行時實施如以上條項中任一項之方法。
34.一種包含一電腦可讀媒體之電腦程式產品,該電腦可讀媒體具有記錄於其上的均一光學屬性之區的影像之一資料庫。
35.一種包含一電腦可讀媒體之電腦程式產品,該電腦可讀媒體具有表示均一光學屬性之區之特性之物理效應的核心函數之一資料庫。
本文中所揭示之概念可模擬或數學上模型化用於成像次波長特徵之任何通用成像系統,且可尤其有用於能夠產生具有愈來愈小之大小之波長的新興成像技術。已經在使用中之新興技術包括極紫外線(EUV)微影,其能夠藉由使用ArF雷射而產生193奈米之波長,且甚至能夠藉由使用氟雷射而產生157奈米之波長。此外,EUV微影能夠藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體或電漿)而產生在20奈米至5奈米之範圍內之波長,以便產生在此範圍內之光子。
雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上之成像,但應理解,所揭示之概念可用於任何類型之微影成像系統,例如,用於在除了矽晶圓以外的基板上之成像的微影成像系統。
可以任何方便形式來實施本發明之態樣。舉例而言,可藉由一或多個適當電腦程式來實施實施例,該一或多個適當電腦程式可在可為有形載體媒體(例如,磁碟)或無形載體媒體(例如,通信信號)之適當載體媒體上進行。可使用可特定地採取可程式化電腦之形式的適合裝置來實施本發明之實施例,該可程式化電腦執行經配置以實施如本 文中所描述的方法之電腦程式。
以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者而言將顯而易見,可在不脫離下文所闡明的申請專利範圍之範疇的情況下對所描述之實施例作出修改。
307‧‧‧基板
308‧‧‧抗蝕劑層
330‧‧‧入射輻射
332‧‧‧散射輻射
333‧‧‧散射輻射
335‧‧‧散射輻射
390‧‧‧結構

Claims (15)

  1. 一種用於一器件製造程序之影像模擬之電腦實施方法,該方法包含:自一基板之一部分或該基板之一整體識別均一(uniform)光學屬性之區,其中光學屬性在該等區中之每一者內係均一的;獲得用於該等區中之每一者之一影像,其中若該基板之該整體與該區具有相同的均一光學屬性,則該影像為將由該基板形成的影像;根據該基板之該部分或該基板之該整體中的該等區之部位(locations)來藉由拼接(stitch)用於該等區中之每一者之該影像而形成一經拼接影像;由一電腦藉由將調整應用於該經拼接影像而形成一經調整影像,以用於至少部分地校正該等區之有限大小的一效應或至少部分地模仿該等區之有限大小的一效應。
  2. 如請求項1之方法,其中該等區中之至少一些區包含具有一有限高度之結構。
  3. 如請求項1之方法,其中該經調整影像為該基板上之一抗蝕劑層之一影像。
  4. 如請求項1之方法,其中該等區中之每一者內的結構之一堆疊係實質上均一。
  5. 如請求項1之方法,其中該等光學屬性係由選自由以下各者組成之一群組的參數所規定:一抗蝕劑層中或下方之結構的吸光度、折射率、厚度、組合物、次序、反射,及其一組合。
  6. 如請求項1之方法,其中獲得用於該等區中之每一者之該影像包含:自一資料庫擷取該影像。
  7. 如請求項1之方法,其中用於該等區中之每一者之該影像係在一同一影像平面上、及/或係在除了該基板以外之相同條件下而獲得。
  8. 如請求項4之方法,其中該調整反映該等結構之有限高度的一效應。
  9. 如請求項1之方法,其中該調整包含:在用於該等區之該等影像之間的邊界處的一平滑過渡,或自該等區之邊緣之散射的一效應。
  10. 如請求項1之方法,其中該調整反映自該等區之隅角及/或自該等區之兩個鄰近邊緣之散射的一效應。
  11. 如請求項1之方法,應用該調整包含:表示該等區之特性之部位之一部位函數與表示該等特性之物理效應之一核心函數的一迴旋(convolution)。
  12. 如請求項11之方法,其中應用該調整包含:自一資料庫擷取該核心函數(kernel function);及/或自該基板計算該部位函數(location function)。
  13. 如請求項4之方法,其中該等結構在該基板上之一抗蝕劑層下方。
  14. 如請求項1之方法,該器件製造程序為使用極紫外線光以將該圖案化器件上之一設計佈局曝光至該基板之一微影程序。
  15. 一種包含一電腦可讀媒體之電腦程式產品,該電腦可讀媒體具有記錄於其上之指令,該等指令在由一電腦執行時實施如請求項1之方法。
TW104141956A 2014-12-18 2015-12-14 用於三維特徵之微影模型 TWI600977B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201462093916P 2014-12-18 2014-12-18

Publications (2)

Publication Number Publication Date
TW201626116A TW201626116A (zh) 2016-07-16
TWI600977B true TWI600977B (zh) 2017-10-01

Family

ID=54703970

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104141956A TWI600977B (zh) 2014-12-18 2015-12-14 用於三維特徵之微影模型

Country Status (3)

Country Link
US (1) US10685158B2 (zh)
TW (1) TWI600977B (zh)
WO (1) WO2016096333A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102063229B1 (ko) * 2015-05-29 2020-01-07 에이에스엠엘 네델란즈 비.브이. 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
US11294289B2 (en) 2016-10-14 2022-04-05 Asml Netherlands B.V. Selecting a set of locations associated with a measurement or feature on a substrate
EP3339959A1 (en) 2016-12-23 2018-06-27 ASML Netherlands B.V. Method of determining a position of a feature
US10212404B2 (en) 2017-06-14 2019-02-19 Newtonoid Technologies, L.L.C. Projection mapping system and apparatus
US10027937B1 (en) * 2017-06-14 2018-07-17 Newtonoid Technologies, L.L.C. Projection mapping system and apparatus
US10495961B2 (en) 2017-06-14 2019-12-03 Newtonoid Technologies, L.L.C. Projection mapping system and apparatus
US11856336B2 (en) 2017-06-14 2023-12-26 Newtonold Technologies, L.L.C. Projection mapping system and apparatus
WO2023239890A1 (en) * 2022-06-09 2023-12-14 Applied Materials, Inc. Automated metrology method for large devices
TWI821027B (zh) * 2022-11-17 2023-11-01 英業達股份有限公司 元件匹配和報告方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130042211A1 (en) * 2011-08-09 2013-02-14 Asml Netherlands B.V. Lithography Model for 3D Topographic Wafers
US20130204594A1 (en) * 2012-02-03 2013-08-08 Asml Netherlands B.V. Lithography Model For 3D Resist Profile Simulations
US8799832B1 (en) * 2013-02-08 2014-08-05 Mentor Graphics Corporation Optical proximity correction for topographically non-uniform substrates

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
KR100512450B1 (ko) 1996-12-24 2006-01-27 에이에스엠엘 네델란즈 비.브이. 두개의물체홀더를가진이차원적으로안정화된위치설정장치와이런위치설정장치를구비한리소그래픽장치
US6833234B1 (en) * 2000-08-04 2004-12-21 Massachusetts Institute Of Technology Stereolithographic patterning with variable size exposure areas
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4954211B2 (ja) 2005-09-09 2012-06-13 エーエスエムエル ネザーランズ ビー.ブイ. 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130042211A1 (en) * 2011-08-09 2013-02-14 Asml Netherlands B.V. Lithography Model for 3D Topographic Wafers
US20130204594A1 (en) * 2012-02-03 2013-08-08 Asml Netherlands B.V. Lithography Model For 3D Resist Profile Simulations
US8799832B1 (en) * 2013-02-08 2014-08-05 Mentor Graphics Corporation Optical proximity correction for topographically non-uniform substrates

Also Published As

Publication number Publication date
US10685158B2 (en) 2020-06-16
TW201626116A (zh) 2016-07-16
WO2016096333A1 (en) 2016-06-23
US20170262564A1 (en) 2017-09-14

Similar Documents

Publication Publication Date Title
TWI600977B (zh) 用於三維特徵之微影模型
TWI579656B (zh) 輔助特徵及光源之最佳化
CN111868625B (zh) 用于确定图案形成装置的曲线图案的方法
TWI714966B (zh) 判定用於圖案化器件之光罩圖案之方法及電腦程式產品
TW201539226A (zh) 用於微影程序之最佳化流程
TWI778305B (zh) 基於可製造性判定圖案化器件圖案之方法
TWI614567B (zh) 用以改良圖案化程序之電腦實施方法及電腦程式產品
TWI723292B (zh) 圖案化製程之最佳化流程
TWI545392B (zh) 用於模擬圖案化器件之散射輻射場的電腦實施方法及相關電腦程式產品
US20240095437A1 (en) Method for generating patterning device pattern at patch boundary
TWI651760B (zh) 基於位移之疊對或對準
TWI718017B (zh) 繞射圖案導引之源光罩最佳化的方法及裝置
US10796063B2 (en) Mapping of patterns between design layout and patterning device
CN114746806A (zh) 使用非均匀照射强度分布进行优化
TW202213165A (zh) 驗證光罩設計之自由曲線特徵
TW202127147A (zh) 用於增強成像至基板上之圖案的目標特徵之方法和系統