KR20240052072A - 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법 - Google Patents

패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법 Download PDF

Info

Publication number
KR20240052072A
KR20240052072A KR1020247011520A KR20247011520A KR20240052072A KR 20240052072 A KR20240052072 A KR 20240052072A KR 1020247011520 A KR1020247011520 A KR 1020247011520A KR 20247011520 A KR20247011520 A KR 20247011520A KR 20240052072 A KR20240052072 A KR 20240052072A
Authority
KR
South Korea
Prior art keywords
mask pattern
patch
curved
level set
image
Prior art date
Application number
KR1020247011520A
Other languages
English (en)
Inventor
추안 장
용-주 조
장난 주
보양 황
빈-데르 첸
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20240052072A publication Critical patent/KR20240052072A/ko

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Abstract

본 명세서에서 곡선형 마스크 패턴의 레벨 세트 함수를 재구성하는 방법이 설명된다. 방법은 (i) 곡선형 마스크 패턴(701) 및 대응하는 임계 값, (ii) 곡선형 마스크 패턴으로부터 렌더링된 초기 이미지(702)를 획득하는 단계(P701); 및 프로세서를 통해, 곡선형 마스크 패턴의 보간된 값과 임계 값 사이의 차이가 감소되도록 초기 이미지를 반복적으로 수정하여 곡선형 마스크 패턴의 레벨 세트 함수를 생성하는 단계(P703)를 포함한다.

Description

패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법{METHOD FOR GENERATING PATTERNING DEVICE PATTERN AT PATCH BOUNDARY}
관련 출원에 대한 상호 참조
본 출원은 2018년 12월 28일자로 출원된 US 출원 62/785,981에 대한 우선권을 주장하고 이는 전부 참조에 의해 본원에 원용된다.
기술 분야
본 명세서의 설명은 일반적으로 패터닝 프로세스 및 설계 레이아웃에 대응하는 패터닝 디바이스의 패턴을 결정하는 장치 및 방법에 관한 것이다.
리소그래피 투영 장치는 예를 들어, 집적 회로(IC)의 제조에 사용될 수 있다. 이러한 경우에, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개개의 층("설계 레이아웃")에 대응하는 패턴을 포함하거나 제공할 수도 있고, 이 패턴은 방사선 민감성 재료("레지스트")의 층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상에 (예를 들어, 하나 이상의 다이를 포함하는)타겟 부분 상으로, 패터닝 디바이스 상의 패턴을 통해 타겟 부분을 조사하는 것과 같은 방법에 의해, 전사될 수 있다. 일반적으로, 단일 기판은, 한 번에 하나의 타겟 부분씩, 리소그래피 투영 장치에 의해 패턴이 연속적으로 전사되는 복수의 인접한 타겟 부분을 포함한다. 하나의 유형의 리소그래피 투영 장치에서, 전체 패터닝 디바이스 상의 패턴은 한꺼번에 하나의 타겟 부분으로 전사되며; 이러한 장치를 일반적으로 스텝퍼(stepper)라고 한다. 일반적으로 스텝-앤드-스캔(step-and-scan) 장치라고 하는 대안의 장치에서, 투영 빔은 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스 상을 스캔하는 한편, 동시에 기판을 이 기준 방향에 대해 평행 또는 역평행하게 기판을 이동시킨다. 패터닝 디바이스 상의 패턴의 상이한 부분들은 점진적으로 하나의 타겟 부분으로 전사된다. 일반적으로, 리소그래피 투영 장치는 감소 비 M(예를 들어, 4)을 가질 것이기 때문에, 기판이 이동되는 속도 F는 투영 빔이 패터닝 디바이스를 스캔하는 속도의 1/M 배가 될 것이다. 본 명세서에 설명된 바와 같은 리소그래피 디바이스에 관한 더 많은 정보는 예를 들어 본 명세서에 참조에 의해 원용되는 US 6,046,792 로부터 얻어질 수 있다.
패턴을 패터닝 디바이스에서 기판으로 전사하기 전에, 기판은 프라이밍(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차를 거칠 수도 있다. 노출 후, 기판은 전사된 패턴의 노출 후 베이크(PEB), 현상, 하드 베이크 및 측정/검사와 같은 다른 절차("노출 후 절차")를 받을 수도 있다. 이러한 배열의 절차들은 디바이스, 예를 들어, IC의 개개의 층을 제조하기 위한 기초로 사용된다. 그런 다음 기판은 식각, 이온 주입(도핑), 금속화, 산화, 화학-기계적 연마 등과 같은 다양한 프로세스를 거치며, 모두 디바이스의 개개의 층을 마무리하기 위한 것이다. 디바이스에서 여러 층이 필요한 경우, 전체 절차 또는 그 변형이 각각의 층에 대해 반복된다. 결국, 디바이스는 기판 상의 각각의 타겟 부분에 존재할 것이다. 그런 다음 이들 디바이스는 다이싱 또는 소잉과 같은 기술을 통해 서로 분리되어, 개개의 디바이스는 핀 등에 접속된 캐리어 상에 장착될 수 있다.
따라서, 반도체 디바이스와 같은 제조 디바이스는 일반적으로 디바이스의 다양한 피처(feature) 및 다수의 층을 형성하기 위해 많은 제조 프로세스를 사용하여 기판(예를 들어, 반도체 웨이퍼)을 프로세싱하는 것을 수반한다. 이러한 층 및 피처는 일반적으로 예를 들어 성막, 리소그래피, 식각, 화학 기계 연마 및 이온 주입을 사용하여 제조 및 프로세싱된다. 다수의 디바이스는 기판 상의 복수의 다이 상에 제작된 다음 개개의 디바이스로 분리될 수도 있다. 이 디바이스 제조 프로세스는 패터닝 프로세스로 간주될 수도 있다. 패터닝 프로세스는, 패터닝 디바이스 상의 패턴을 기판에 전사하기 위해, 리소그래피 장치에서 패터닝 디바이스를 사용하는 광학 및/또는 나노 임프린트 리소그래피와 같은 패터닝 단계를 포함하고, 통상적으로 그러나 선택적으로는, 현상 장치에 의한 레지스트 현상, 베이크 도구를 이용한 기판의 베이킹, 식각 장치를 사용하여 패턴을 사용한 식각 등과 같은 하나 이상의 관련된 패턴 프로세싱 단계를 포함한다.
언급했듯이, 리소그래피는 IC와 같은 디바이스 제조에 있어서 중심적인 단계이며, 여기서 기판 상에 형성된 패턴은 마이크로프로세서, 메모리 칩 등과 같은 디바이스의 기능적 요소를 정의한다. 유사한 리소그래피 기술이 평판 디스플레이, MEMS(micro-electromechanical system) 및 다른 디바이스의 형성에도 사용된다.
반도체 제조 프로세스가 계속 발전함에 따라, 기능적 요소의 치수는 지속적으로 감소되는 반면, 디바이스 당, 트랜지스터와 같은, 기능적 요소의 양은, 일반적으로 "무어의 법칙" 이라고 하는 추세를 따라, 수십 년간 꾸준히 증가해 오고 있다. 현재 기술 상태에서, 디바이스의 층은 심 자외선 조명 소스로부터의 조명을 사용하여 기판 상에 설계 레이아웃을 투영하여, 100nm 를 상당히 밑도는, 즉 조명 소스(예를 들어, 193nm 조명 소스)로부터의 방사선의 파장의 절반 미만의 치수를 갖는 개개의 기능적 요소를 생성하는, 리소그래피 투영 장치를 사용하여 제조된다.
리소그래피 투영 장치의 고전적 해상도 한계보다 작은 치수를 가진 피처가 인쇄되는 이 프로세스는, 해상도 공식 CD = k1×λ/NA 에 따라, 일반적으로 저-k1 리소그래피로 알려져 있으며, 식중 λ는 사용된 방사선의 파장(현재 대부분의 경우 248nm 또는 193nm)이고, NA 는 리소그래피 투영 장치에서 투영 광학계(projection optics)의 개구 수, CD는 "임계 치수"-일반적으로 인쇄되는 최소 피처 크기-이며 k1 은 경험적인 해상도 인자(empirical resolution factor)이다. 일반적으로, k1이 작을수록, 특정 전기적인 기능성 및 성능을 달성하기 위해 설계자에 의해 계획되는 형상 및 치수와 비슷한 패턴을 기판 상에 재현하는 것이 더 어려워진다. 이러한 어려움을 극복하기 위해, 정교한 미세 조정 단계가 리소그래피 투영 장치, 설계 레이아웃 또는 패터닝 디바이스에 적용된다. 이것들은, 예를 들어, NA 및 광학 코히어런스 설정의 최적화, 맞춤형 조명 체계, 위상 시프트 패터닝 디바이스의 사용, 설계 레이아웃에서의 광학 근접 보정(OPC, 때로는 "광학 및 프로세스 보정"이라고도 함), 또는 일반적으로 "해상도 향상 기술"(RET)로 정의되는 다른 방법을 포함하지만, 이들에 한정되지는 않는다. 본 명세서에서 사용되는 용어 "투영 광학계"는, 예를 들어, 굴절 광학계, 반사 광학계, 개구 및 카톱트릭(catoptric) 광학계를 포함하는, 다양한 유형의 광학 시스템을 포함하는 것으로 넓게 해석되어야 한다. "투영 광학계" 라는 용어는 또한 방사선의 투영 빔을 집합적으로 또는 단독으로 지향, 성형(shaping) 또는 제어하기 위해 이들 설계 유형 중 어느 것에 따라 작동하는 구성 요소를 포함할 수도 있다. "투영 광학계" 라는 용어는 광학 구성 요소가 리소그래피 투영 장치의 광학 경로 상에서 위치되는 곳에 상관없이, 리소그래피 투영 장치에서 임의의 광학 구성 요소를 포함할 수도 있다. 투영 광학계는 방사선이 패터닝 디바이스를 통과하기 전에 소스로부터 방사선을 성형, 조정 및/또는 투영하기 위한 광학 구성 요소, 및/또는 방사선이 패터닝 디바이스를 통과한 후 방사선을 성형, 조정 및/또는 투영하기 위한 광학 구성 요소를 포함할 수도 있다. 투영 광학계는 일반적으로 소스와 패터닝 디바이스를 제외한다.
개요
일 실시 형태에서, 패터닝 프로세스에 사용될 마스크 패턴을 결정하는 방법이 제공된다. 그 방법은 (i) 초기 마스크 패턴의 제1 다각형 부분을 포함하는 제1 피처 패치(feature patch), 및 (ii) 초기 마스크 패턴의 제2 다각형 부분을 포함하는 제2 패처 패치를 획득하는 단계; 패치 경계에서 제1 다각형 부분과 제2 다각형 부분 사이의 차이가 감소되도록 제1 피처 패치와 제2 피처 패치 사이의 패치 경계에서 제2 다각형 부분을 조정하는 단계; 및 패치 경계에서 제1 다각형 부분과 조정된 제2 다각형 부분을 조합하여 마스크 패턴을 형성하는 단계.
실시 형태에서, 초기 마스크 패턴은 패터닝 프로세스를 받은 기판 상에 이미지화될 복수의 피처를 포함하는 설계 레이아웃이다.
실시 형태에서, 제1 다각형 부분 및 제2 다각형 부분은 초기 마스크 패턴의 피처에 대응하는 양태이다.
실시 형태에서, 양태은 타겟 피처에 대응하는 보조 피처(assist feature)이며, 보조 피처는 광학 근접 보정, 소스 최적화 및/또는 소스-마스크 최적화를 통해 획득된다.
실시 형태에서, 방법은 패치 경계에서 제1 다각형 부분과 제2 다각형 부분 사이의 차이가 감소되도록 제1 피처 패치와 제2 피처 패치 사이의 패치 경계에서 제1 다각형 부분을 조정하는 단계; 및 패치 경계에서 조정된 제1 다각형 부분과 제2 다각형 부분의 조합을 포함하도록 마스크 패턴을 결정하는 단계를 더 포함한다.
실시 형태에서, 제1 다각형 부분 및/또는 제2 다각형 부분을 조정하는 단계는, 패치 경계에서, 제1 다각형 부분 및 제2 다각형 부분을, 이음매 없이 합치도록 구성된 스티칭 함수(stitching function)를 결정하는 것을 포함하며, 여기서 스티칭 함수는 패치 경계에서 제1 다각형 부분과 제2 다각형 부분 사이의 차이를 감소시키는 수학적 성형 함수이다.
실시 형태에서, 제1 다각형 부분과 제2 다각형 부분 사이의 차이는 스텝(step) 또는 점프(jump)이다.
실시 형태에서, 스티칭 함수는 패치 경계에서 다각형의 다각형 부분을 이동시켜 스텝을 램프 또는 곡선으로 전환한다.
실시 형태에서, 스티칭 함수는 또한, 마스크 패턴의 제조 가능성과 관련된 제조 가능성 체크 사양을 만족시키는 조건을 포함하도록 구성된다.
실시 형태에서 , 초기 마스크 패턴은 시퀀스로 배열된 복수의 패치를 포함하고, 각각의 패치는 시퀀스 내에서 우선순위 값을 갖는다.
실시 형태에서, 마스크 패턴을 결정하는 단계는 반복 프로세스를 더 포함하고, 반복은 복수의 패치의 시퀀스 내에서 상대적으로 낮은 우선순위 값을 갖는 패치를 선택하는 단계; 다각형 부분들 사이의 차이가 감소되도록 선택된 패치 내의 다각형 부분 및/또는 선택된 패치의 인접한 패치 내의 다른 다각형 부분을 조정하는 단계; 및 동일한 우선 순위를 갖는 하나 이상의 패치를 복수의 패치 중 대응하는 인접한 하나 이상의 패치와 조합함으로써 마스크 패턴을 생성하는 단계를 포함한다.
실시 형태에서, 차이는 최소화된다.
실시 형태에서, 제1 피처 패치 및 제2 피처 패치는 서로 인접해 있다.
실시 형태에서, 초기 마스크 패턴 및/또는 마스크 패턴은 곡선형 마스크 패턴이다.
또한, 실시 형태에서, 곡선형 마스크 패턴의 레벨 세트 함수(level-set function)를 재구성하는 방법이 제공되며, 그 방법은 (i) 곡선형 마스크 패턴 및 대응하는 임계 값, (ii) 곡선형 마스크 패턴으로부터 렌더링된 초기 이미지를 획득하는 단계; 및 프로세서를 통해, 곡선형 마스크 패턴의 보간된 값과 임계 값 사이의 차이가 감소되도록 초기 이미지를 반복적으로 수정하여 곡선형 마스크 패턴의 레벨 세트 함수를 생성하는 단계를 포함한다.
실시 형태에서, 마스크 이미지는 복수의 픽셀을 포함하는 픽셀화된 이미지이며, 각각의 픽셀은 마스크 패턴의 피처를 나타내는 픽셀 값을 갖는다.
실시 형태에서, 레벨 세트 함수를 생성하는 단계는 곡선형 마스크 패턴을 따라 위치 세트를 식별하는 단계; 마스크 이미지 내의 위치 세트에 대응하는 픽셀의 픽셀 값에 기초하여 레벨 세트 함수의 출력 값을 결정하는 단계 - 레벨 세트 함수는 마스크 이미지의 곡선형 마스크 패턴을 나타내는 수학적 함수이다 -; 레벨 세트 함수의 출력 값과 임계 값 사이의 전체 차이를 결정하는 단계; 및 전체 차이가 감소되게, 마스크 이미지의 픽셀의 하나 이상의 픽셀 값을 수정하는 단계를 포함한다.
실시 형태에서, 마스크 이미지는 곡선형 마스크 패턴의 렌더링된 마스크 이미지이다.
실시 형태에서, 방법은 현재 패치에 대해, 경계 영역에서 이웃하는 패치의 다각형을 획득하는 단계 - 현재 패치 및 이웃하는 패치는 곡선형 마스크 패턴의 일부임 -; 이웃하는 패치의 다각형의 레벨 세트 함수를 재구성하는 단계; 재구성된 레벨 세트 함수에 기초하여, 현재 패치의 경계 영역에서의 함수 값을 결정하는 단계; 및 함수 값을 사용하는 광학 근접 보정 프로세스를 통해, 전체 현재 패치의 곡선형 마스크 패턴을 조정하는 단계를 더 포함한다.
실시 형태에서, 복수의 패치를 획득하는 단계는 곡선형 마스크 패턴을 복수의 패치로 분해하는 단계를 포함하며, 여기서 윤곽은 인접한 패치 사이의 패치 경계에서 분할된다.
또한, 실시 형태에서, 프로세서로 하여금 전술한 방법 중 어느 방법의 단계들을 수행하게 하기 위한 머신 판독 가능 명령을 포함하는 비일시적 컴퓨터 프로그램 제품이 제공된다.
도 1은 실시 형태에 따른 리소그래피 시스템의 다양한 서브시스템의 블록도를 도시한다.
도 2는 실시 형태에 따른 이미지에서 패턴의 적어도 일부 또는 패턴의 특징을 시뮬레이션하는 방법을 위한 흐름도를 예시한다.
도 3은 실시 형태에 따른 패터닝 프로세스에 사용될 최종 마스크 패턴을 설계 레이아웃/초기 마스크 패턴의 패치에 기초하여 생성하는 방법의 흐름도이다.
도 4a 및 도 4b는 실시 형태에 따른 예시적인 직선형 마스크 패턴 및 곡선형 마스크 패턴을 각각 예시한다.
도 5a는 도 4a의 패턴과 관련하여 제1 윤곽 부분 및 제2 윤곽 부분을 포함하는 예시적인 패치를 예시한다.
도 5b는 도 5b의 패턴과 관련하여 제1 윤곽 부분 및 제2 윤곽 부분을 포함하는 예시적인 패치를 예시한다.
도 6은 스티칭 함수에 의해 생성된 예시적인 스티칭 곡선(620)을 예시한다.
도 7a 및 도 7b는 곡선형 마스크 패턴의 레벨 세트 함수를 재구성하는 방법의 흐름도이다.
도 8은 실시형태에 따른 예시적인 컴퓨터 시스템의 블록도이다.
도 9는 실시형태에 따른 리소그래피 투영 장치의 개략도이다.
도 10는 실시형태에 따른 다른 리소그래피 투영 장치의 개략도이다.
도 11은 실시 형태에 따른, 도 9에 있는 장치의 보다 상세한 도면이다.
도 12는 실시 형태에 따른, 도 10 및 도 11의 장치의 소스 콜렉터 모듈(SO)의 보다 상세한 도면이다.
상세한 설명
본 명세서에서 IC 의 제조에 대해 구체적 언급이 이루어질 수도 있지만, 여기서의 설명은 다른 많은 가능한 응용을 가지고 있음이 명백히 이해되어야 한다. 예를 들어, 그것은 통합 광학 시스템, 자기 도메인 메모리용 가이드 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조에 사용될 수도 있다.- - 숙련된 기술자는 이러한 대안의 응용의 맥락에서, 본 명세서에서 "레티클", "웨이퍼"또는 "다이"라는 용어의 어느 사용도 보다 일반적인 용어 "마스크", "기판" ”및“타겟 부분” 과 각각 상호 교환 가능한 것으로 간주되어야 함을 인식할 것이다.
본 명세서에서 "방사선" 및 "빔" 이라는 용어는 자외선(예들 들어, 파장이 365, 248, 193, 157 또는 126 nm) 및 EUV(극 자외 방사선, 예를 들어, 약 5-100 nm 범위 파장을 가짐)를 포함한 모든 유형의 전자기 방사선을 포괄하는 데 사용된다.
패터닝 디바이스는 하나 이상의 설계 레이아웃을 포함할 수 있거나 또는 형성할 수 있다. CAD(computer-aided design) 프로그램을 사용하여 설계 레이아웃을 생성할 수 있으며, 이 프로세스는 종종 EDA(electronic design automation)라고 한다. 대부분의 CAD 프로그램은 기능적인 설계 레이아웃/패터닝 디바이스를 만들기 위해 미리 정해진 설계 규칙 세트를 따른다. 이들 규칙은 프로세싱 및 설계 제한에 의해 설정된다. 예를 들어, 설계 규칙은 디바이스(이를테면, 게이트, 커패시터 등) 또는 인터커넥트 라인 간의 공간 공차(space tolerance)를 정의하여, 디바이스 또는 라인이 바람직하지 않은 방식으로 서로 상호 작용하지 않도록 보장한다. 설계 규칙 제한 중 하나 이상은 "임계 치수"(CD)라고 지칭될 수도 있다. 디바이스의 임계 치수는 라인 또는 구멍의 최소 너비 또는 2개의 라인 또는 2개의 구멍 사이의 최소 공간으로 정의될 수 있다. 따라서, CD는 설계된 디바이스의 전체 크기와 밀도를 결정한다. 물론, 디바이스 제작에 있어서의 목표 중 하나는 (패터닝 디바이스를 통해) 기판에 원래의 설계 의도를 충실하게 재현하는 것이다.
패턴 레이아웃 설계는, 예로서, 광학 근접 보정(OPC)과 같은 해상도 향상 기술의 적용을 포함할 수도 있다. OPC는 기판 상에 투영된 설계 레이아웃의 이미지의 최종 크기와 배치가 패터닝 디바이스 상의 설계 레이아웃의 크기와 배치와 동일하지 않거나, 또는 단순히 그에만 의존하지 않을 것이라는 사실을 다룬다. 용어 "마스크", "레티클", "패터닝 디바이스" 는 본 명세서에서 상호 교환 가능하게 사용된다는 점에 유의한다. 또한, 기술 분야의 숙련된 자는, RET의 맥락에서, 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라 설계 레이아웃이 물리적 패터닝 디바이스를 표현하기 위해 사용될 수 있으므로, 용어 "마스크", "패터닝 디바이스" 및 "설계 레이아웃"은 상호 교환 가능하게 사용될 수 있다는 것을 인식할 것이다. 일부 설계 레이아웃 상에 존재하는 작은 피처 크기 및 높은 피처 밀도의 경우, 주어진 피처의 특정 에지 위치는 다른 인접 피처의 존재 또는 부재에 의해 어느 정도로 영향을 받을 것이다. 이러한 근접 효과는 하나의 피처에서 다른 피처로 커플링되는 미세한 양의 방사선 또는 회절 및 간섭과 같은 비기하학적 광학 효과로부터 발생한다. 유사하게, 근접 효과는 일반적으로 리소그래피를 따르는 노출 후 베이크(PEB), 레지스트 현상 및 식각 중에 확산 및 다른 화학적 효과로부터 발생할 수도 있다.
설계 레이아웃의 투영된 이미지가 주어진 타겟 회로 설계의 요구 사항을 따르는 가능성을 높이기 위해, 설계 레이아웃의 정교한 수치 모델, 보정 또는 사전 왜곡을 사용하여 근접 효과를 예측하고 보상할 수 있다. 논문 “Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design”, C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005)는 현재 "모델 기반" 광 근접 보정 프로세스에 대한 개요를 제공한다. 통상적인 하이엔드 설계에서 설계 레이아웃의 거의 모든 피처는 타겟 설계에 대한 투영된 이미지의 높은 충실도를 달성하기 위해 약간의 수정을 갖는다. 이들 수정은 에지 위치 또는 라인 너비의 시프팅 또는 바이어싱뿐만 아니라 다른 피처의 투영을 보조하기 위해 의도된 "보조" 피처의 적용을 포함할 수도 있다.
OPC 의 가장 간단한 형태 중 하나는 선택적 바이어스(selective bias)이다. CD 대 피치 곡선이 주어지면, 패터닝 디바이스 레벨에서 CD를 변경하여, 적어도 최상의 포커스와 노출에서, 모든 상이한 피치가 동일한 CD를 생성하도록 강제될 수 있다. 따라서, 피처가 기판 레벨에서 너무 작게 인쇄되는 경우, 패터닝 디바이스 레벨 피처는 공칭(nominal)보다 약간 더 크게 바이어싱되고, 그 반대의 경우도 마찬가지이다. 패터닝 디바이스 레벨로부터 기판 레벨로의 패턴 전사 프로세스는 비선형적이기 때문에, 바이어스의 양은 단순히 최상의 포커스 및 노출에서 측정된 CD 오차와 감소 비의 곱이 아니라, 모델링 및 실험으로 적절한 바이어스를 결정할 수 있다. 선택적 바이어스는, 특히 그것이 공칭 프로세스 조건에서만 적용되는 경우, 근접 효과 문제에 대한 불완전한 해결책이다. 원칙적으로, 이러한 바이어스를 적용하여 최상의 포커스 및 노출에서 균일한 CD 대 피치 곡선을 제공할 수 있더라도, 일단 노출 프로세스가 공칭 조건에서 벗어나게 되면, 각각의 바이어싱된 피치 곡선이 상이하게 반응하여, 상이한 피처에 대해 상이한 프로세스 윈도우(process window)를 초래한다. 프로세스 윈도우는, 피처가 충분히 적절하게 만들어지는 (예를 들어, 피처의 CD가 ±10% 또는 ±5% 와 같은 특정 범위 내에 있는) 둘 이상의 프로세스 파라미터(예를 들어, 리소그래피 장치에서 포커스 및 방사선 도우즈)의 값들의 범위이다. 따라서, 동일한 CD 대 피치를 제공하기 위한 "최상의"바이어스는 심지어 전체 프로세스 윈도우에 부정적인 영향을 미쳐, 모든 타겟 피처들이 원하는 프로세스 공차내에서 기판 상에 인쇄되는 포커스 및 노출 범위를 확대하기 보다는 감소시킬 수도 있다.
위의 1차원 바이어스 예를 넘어서 적용하기 위해 다른 더 복잡한 OPC 기술이 개발되었다. 2차원 근접 효과는 라인 끝 단축화(line end shortening)이다. 라인 끝은 노출과 포커스의 함수로 원하는 끝점 위치에서 "후퇴"(pull back)되는 경향이 있다. 많은 경우, 긴 라인 끝의 끝 단축화의 정도는 대응하는 라인 협소화보다 몇 배 더 클 수 있다. 이러한 유형의 라인 끝 후퇴는 라인 끝이 소스-드레인 영역 위의 폴리실리콘 게이트 층과 같은, 커버하려고 의도되었던 하지 층 상을 완전히 가로지르지 못하는 경우 제조중인 디바이스에 파국적인 고장을 초래할 수 있다. 이러한 유형의 패턴은 포커스와 노출에 매우 민감하기 때문에, 단순히 라인 끝을 설계 길이보다 길게 바이어싱하는 것은 부적절한데 왜냐하면 최상의 포커스와 노출, 또는 노출 부족 조건의 라인이 지나치게 길어져, 연장된 라인 끝이 이웃하는 구조체에 닿을 때 단락을 초래하거나, 또는 회로에 있는 개개의 피처 사이에 더 많은 공간이 추가되는 경우 불필요하게 큰 회로 크기를 초래하기 때문이다. 집적 회로 설계 및 제조의 목표 중 하나는 기능적 요소의 수를 최대화하는 한편, 칩당 필요한 면적을 최소화하는 것이므로, 과도한 간격을 추가하는 것은 바람직하지 않은 해결책이다.
2차원 OPC 접근법은 라인 끝 후퇴 문제를 해결하는 데 도움이 될 수 있다. "해머헤드"(hammerhead) 또는 "세리프"(serif)와 같은 추가 구조체("보조 피처" 라고도 알려짐)를 라인 끝에 추가하여 효과적으로 이를 제자리에 고정하고 전체 프로세스 윈도우에 대해 감소된 후퇴를 제공할 수 있다. 최상의 포커스와 노출에서도 이들 추가 구조체는 해상되지 않지만 그것들은 그들 자체적으로 완전히 해상되지 않고서 주 피처의 외관을 변경한다. 본 명세서에서 사용되는 "주 피처" 는 프로세스 윈도우의 일부 또는 모든 조건 하에서 기판 상에 인쇄하도록 의도된 피처를 의미한다. 보조 피처는, 패터닝 디바이스 상의 패턴이 더 이상 단순히 감소 비 만큼 확대된 원하는 기판 패턴이 아닌 정도로, 라인 끝에 추가된 단순한 해머헤드보다 훨씬 더 공격적인 형태를 취할 수 있다. 세리프와 같은 보조 피처는 단순히 라인 끝 후퇴를 감소시키는 것보다 더 많은 상황에 적용될 수 있다. 내부 또는 외부 세리프는 코너 라운딩 또는 에지 돌출을 줄이기 위해 임의의 에지, 특히 2차원 에지에 적용될 수 있다. 충분한 선택적 바이어싱 및 모든 크기와 극성의 보조 피처로, 패터닝 디바이스 상의 피처는 기판 레벨에서 원하는 최종 패턴과 점점 덜 비슷하게 된다. 일반적으로, 패터닝 디바이스 패턴은 기판 레벨 패턴의 사전 왜곡된 버전이며, 여기서 왜곡은 설계자에 의해 의도된 것과 가능한 가까운 기판 상의 패턴을 제조하기 위해 제조 프로세스 동안 발생할 패턴 변형을 상쇄하거나 되돌리도록 의도된다.
또 다른 OPC 기술은 주 피처에 연결된 그러한 보조 피처(예를 들어, 세리프) 대신에 또는 추가하여, 완전히 독립적이고 해상 불가능한 보조 피처를 사용하는 것을 포함한다. 여기서 "독립적" 이라는 용어는 이들 보조 피처의 에지가 주 피처의 에지에 연결되지 않음을 의미한다. 이들 독립적인 보조 피처는 기판 상에 피처로서 인쇄하도록 의도되거나 원해진 것이 아니라, 오히려 그 주 피처의 인쇄 가능성 및 프로세스 공차를 향상시키기 위해 인근의 주 피처의 에어리얼 이미지를 수정하기 위해 의도된다. 이러한 보조 피처(흔히 "산란 바" 또는 "SBAR" 라고 함)에는 주 피처의 에지 외부에 있는 피처인 SRAF(sub-resolution assist feature) 및 주 피처의 에지 내부에서 밖으로 파내어진(scooped out) 피처인 SRIF(sub-resolution inverse feature)이 포함될 수 있다. SBAR 의 존재는 패터닝 디바이스 패턴에 또 다른 복잡성의 층을 추가한다. 산란 바 사용의 간단한 예는 해상 불가능한 산란 바의 규칙적인 배열이 격리된 라인 피처의 양측에 그려지는 경우이며, 이는 격리된 라인이, 에어리얼 이미지 관점에서, 밀한 라인들의 배열 내에서 더 단일 라인을 나타내는 것으로 보이게 하여, 프로세스 윈도우가 밀한 패턴의 그것에 대해 포커스 및 노출 공차에 있어서 훨씬 더 가깝게 되는 효과를 가진다. 이러한 장식된 격리된 피처와 밀한 패턴 사이의 공통 프로세스 윈도우는 패터닝 디바이스 레벨에서 격리된 것으로 그려진 피처의 그것보다 포커스 및 노출 변동에 대한 더 큰 공통 공차를 가질 것이다.
보조 피처는 패터닝 디바이스 상의 피처와 설계 레이아웃에 있는 피처 사이의 차이로 볼 수도 있다. "주 피처" 및 "보조 피처" 라는 용어는 패터닝 디바이스 상의 특정 피처가 하나 또는 다른 하나로 표시되어야 함을 의미하지 않는다.
이 명세서에서 사용된 용어 "마스크" 또는 "패터닝 디바이스" 는 기판의 타겟 부분에서 만들어질 패턴에 대응하는, 패터닝된 단면을 인입 방사선 빔에 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 지칭하는 것으로 넓게 해석될 수도 있고; 용어 "광 밸브" 도 이 문맥에서 사용될 수 있다. 클래식 마스크(투과형 또는 반사형, 바이너리, 위상 시프팅, 하이브리드 등)외에도, 다른 그러한 패터닝 디바이스의 예는 다음을 포함한다:
-프로그램 가능한 미러 어레이(mirror array). 이러한 디바이스의 예는 반사 표면 및 점탄성 제어 층을 가진 매트릭스 어드레스 가능(matrix-addressable) 표면이다. 이러한 장치의 기본 원리는(예를 들어) 반사 표면의 어드레스된 영역이 입사 방사선을 회절 방사선으로 반사하는 반면, 어드레스되지 않은 영역은 입사 방사선을 비회절 방사선으로 반사한다는 것이다. 적절한 필터를 사용하여, 상기 비회절 방사선은 반사된 빔에서 필터링되어, 회절된 방사선만 남길 수 있으며; 이러한 방식으로, 빔은 매트릭스 어드레스 가능 표면의 어드레싱 패턴에 따라 패터닝된다. 필요한 매트릭스 어드레싱은 적절한 전자적 수단을 사용하여 수행될 수 있다.
-프로그램 가능한 LCD 어레이. 그러한 구성의 예는 미국 특허 번호 5,229,872에 주어져 있으며, 이는 참조에 의해 본 명세서에 원용된다.
간략한 소개로서, 도 1은 예시적인 리소그래피 투영 장치(10A)를 예시한다. 주요 구성 요소는 심 자외선 엑시머 레이저 소스 또는 극 자외선(EUV) 소스를 포함하는 다른 유형의 소스일 수도 있는 방사선 소스(12A)(위에서 논의된 바와 같이, 리소그래피 투영 장치 자체는 방사선 소스를 가질 필요가 없음), 예를 들어 부분적인 코히어런스를 정의하고 소스(12A)로부터의 방사선을 성형하는 광학계(14A, 16Aa 및 16Ab)을 포함할 수도 있는 조명 광학계; 패터닝 디바이스(18A); 및 패터닝 디바이스 패턴의 이미지를 기판 평면(22A) 상으로 투영하는 투과 광학계(16Ac)를 포함한다. 투영 광학계의 퓨필 평면(pupil plane)에서의 조정 가능한 필터 또는 개구(20A)는 기판 평면(22A)에 충돌하는 빔 각도의 범위를 제한할 수도 있으며, 여기서 최대 가능한 각도는 투영 광학계의 개구 수 NA= n sin(Θmax)를 정의하고, 여기서 n은 기판과 투영 광학계의 마지막 요소 사이의 매질의 굴절률이고, Θmax는 기판 평면(22A)에 여전히 충돌할 수 있는 투영 광학계로부터 나오는 빔의 최대 각도이다.
리소그래피 투영 장치에서, 소스는 패터닝 장치에 조명(즉, 방사선)을 제공하고 투영 광학계는 패터닝 디바이스를 통해 기판 상으로 조명을 지향시키고 성형한다. 투영 광학계는 구성 요소(14A, 16Aa, 16Ab 및 16Ac)중 적어도 일부를 포함할 수도 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 강도 분포이다. 기판상의 레지스트 층이 노출되고 에어리얼 이미지가 그 안에 잠재적인 "레지스트 이미지"(RI)로서 레지스트 층에 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트 용해도의 공간적 분포로 정의될 수 있다. 레지스트 모델은 에어리얼 이미지로부터 레지스트 이미지를 계산하는 데 사용될 수 있으며, 그 예는 미국 특허 출원 공개 번호 US 2009-0157360 에서 찾을 수 있으며, 그 내용은 참조에 의해 전부 본원에 원용된다. 레지스트 모델은 레지스트 층의 특성(예를 들어, 노출, PEB 및 현상 동안 발생하는 화학 프로세스의 효과)에만 관련된다. 리소그래피 투영 장치의 광학적 특성(예를 들어, 소스, 패터닝 디바이스 및 투영 광학계의 특성)은 에어리얼 이미지를 좌우한다. 리소그래피 투영 장치에 사용되는 패터닝 디바이스가 변경될 수 있기 때문에, 적어도 소스 및 투영 광학계를 포함하는 나머지 리소그래피 투영 장치의 광학적 특성으로부터 패터닝 디바이스의 광학적 특성을 분리하는 것이 바람직할 수 있다.
리소그래피 프로세스를 이해하는 한 가지 양태는 방사선과 패터닝 디바이스의 상호 작용을 이해하는 것이다. 방사선이 패터닝 디바이스를 통과한 후의 방사선의 전자기장은 방사선이 패터닝 디바이스에 도달하기 전의 방사선의 전자기장 및 상호 작용을 특징 짓는 함수로부터 결정될 수 있다. 이 함수는 (투과 패터닝 디바이스 및/또는 반사 패터닝 디바이스에 의한 상호 작용을 설명하는 데 사용될 수 있는) 마스크 투과 함수라고 지칭될 수도 있다.
마스크 투과 함수는 다양한 형태를 가질 수도 있다. 한 가지 형태는 바이너리이다. 바이너리 마스크 투과 함수는 패터닝 디바이스 상의 임의의 주어진 위치에서 2개의 값(예를 들어, 0 및 양의 상수) 중 어느 하나를 갖는다. 바이너리 형태의 마스크 투과 함수는 바이너리 마스크라고 지칭될 수도 있다. 또 다른 형태는 연속적이다. 즉, 패터닝 디바이스의 투과율(또는 반사율)의 계수는 패터닝 디바이스 상의 위치의 연속적 함수이다. 투과율(또는 반사율)의 위상도 패터닝 디바이스 상의 위치의 연속적 함수일 수도 있다. 연속적 형태의 마스크 투과 함수는 연속적 투과 마스크(CTM)라고 지칭될 수도 있다. 예를 들어, CTM은 픽셀화된 이미지로 표현될 수도 있으며, 여기서 각각의 픽셀에는 0 또는 1의 바이너리 값 대신 0과 1 사이의 값(예를 들어, 0.1, 0.2, 0.3 등)이 할당될 수도 있다. 예시적인 CTM 흐름 및 그의 세부 사항은 일반적으로 양도된 미국 특허 번호 8,584,056 에서 찾을 수 있으며, 그의 개시는 참조에 의해 전부 본원에 원용된다.
실시 형태에 따르면, 설계 레이아웃은 연속적 투과 마스크("CTM 최적화")로 최적화될 수도 있다. 이 최적화에서, 설계 레이아웃의 모든 위치에서의 투과는 다수의 이산 값으로 제한되지 않는다. 대신, 투과는 상한 및 하한 내의 임의의 값을 취할 수도 있다. 더 많은 세부 사항은 일반적으로 양도된 미국 특허 번호 8,584,056 에서 찾을 수 있으며, 그의 개시는 이로써 참조에 의해 전부 원용된다. 연속적 투과 마스크는 패터닝 디바이스 상에서 구현하기가 불가능하지는 않더라도 매우 어렵다. 그러나, 이것은 투과를 다수의 이산 값으로 제한하지 않는 것이 최적화를 훨씬 빠르게 하기 때문에 유용한 도구이다. EUV 리소그래피 투영 장치에서, 패터닝 디바이스는 반사형일 수도 있다. CTM 최적화의 원리는 반사 패터닝 디바이스 상에 제조될 설계 레이아웃에도 적용할 수 있으며, 여기서 설계 레이아웃의 모든 위치에서의 반사율은 다수의 이산값으로 제한되지 않는다. 따라서, 본 명세서에서 사용되는 용어 "연속적 투과 마스크"는 반사 패터닝 디바이스 또는 투과 패터닝 디바이스 상에 제조될 설계 레이아웃을 지칭할 수도 있다. CTM 최적화는 두꺼운 마스크 효과(thick-mask effect)를 고려하는 3차원 마스크 모델을 기반으로 할 수도 있다. 두꺼운 마스크 효과는 광의 벡터 성질로부터 발생하고 설계 레이아웃 상의 피처 크기가 리소그래피 프로세스에 사용되는 광의 파장보다 작을 때 현저할 수도 있다. 두꺼운 마스크 효과는 전기장 및 자기장, 투과율, 반사율 및 작은 개구부에서의 위상 오차, 에지 회절(또는 산란) 효과 또는 전자기 커플링에 대한 상이한 경계 조건으로 인한 편광 의존성을 포함한다. 3차원 마스크 모델의 더 많은 세부 사항은 일반적으로 양도된 미국 특허 번호 7,703,069 에서 찾을 수 있으며, 그의 개시는 이로써 참조에 의해 전부 원용된다.
실시 형태에서, 보조 피처(서브 해상도 보조 피처 및/또는 인쇄 가능 해상도 보조 피처)는 연속적 투과 마스크로서 최적화된 설계 레이아웃에 기초하여 설계 레이아웃 내에 배치될 수도 있다. 이것은 연속적 투과 마스크로부터 보조 피처의 식별 및 설계를 가능하게 한다.
패터닝 프로세스의 일부를 모델링 및/또는 시뮬레이션하는 방법의 예시적인 흐름도가 도 2에 예시되어 있으며, 예를 들어, 이미지(예를 들어, 레지스트 이미지, 에어리얼 이미지, 식각 이미지)에서 패턴의 적어도 일 부분 또는 패턴의 특징을 모델링 및/또는 시뮬레이션한다. 이해되는 바와 같이, 모델은 상이한 패터닝 프로세스를 나타낼 수 있고 아래에 설명된 모든 모델을 포함할 필요는 없다.
위에 설명된 바처럼, 리소그래피 투영 장치에서, 조명 시스템은 패터닝 디바이스에 조명(즉, 방사선)을 제공하고 투영 광학계는 패터닝 디바이스로부터 기판 상으로 조명을 지향시킨다. 그래서, 실시 형태에서, 투영 광학계는 기판에서의 방사선 강도 분포인 에어리얼 이미지(AI)의 형성을 가능하게 한다. 기판상의 레지스트 층이 노출되고 에어리얼 이미지가 그 안에 잠재적인 "레지스트 이미지"(RI)로서 레지스트 층에 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트 용해도의 공간적 분포로 정의될 수 있다. 실시 형태에서, 리소그래피 프로세스의 시뮬레이션은 에어리얼 이미지 및/또는 레지스트 이미지의 제조를 시뮬레이션할 수 있다.
조명 모델(31)은 패터닝된 방사선 빔을 생성하는 데 사용되는 조명 모드의 광학적 특성(방사선 강도 분포 및/또는 위상 분포를 포함)을 나타낸다. 조명 모델(31)은 개구 수 설정, 조명 시그마(σ) 설정 그리고 임의의 특정 조명 모드 형상(예를 들어, 환형, 사중극자, 쌍극자 등의 축외 방사선 형상)을 포함하지만 이에 제한되지 않는 조명의 광학적 특성을 나타낼 수 있으며, 여기서 σ(또는 시그마)는 조명기의 외부 방사상의 범위이다.
투영 광학계 모델(32)은 투영 광학계의 광학적 특성(투영 광학계에 의해 야기되는 방사선 강도 분포 및/또는 위상 분포에 대한 변화를 포함)을 나타낸다. 투영 광학계 모델(32)은 예를 들어 투영 광학계의 구성 요소의 가열, 투영 광학계의 구성 요소의 기계적 연결에 의해 야기되는 응력 등과 같은 다양한 인자에 의해 야기되는 광학 수차를 포함할 수도 있다. 투영 광학계 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수, 흡수 등으로부터 선택된 하나 이상을 포함하는 투영 광학계의 광학적 특징을 나타낼 수 있다. 리소그래피 투영 장치의 광학적 특성(예를 들어, 조명, 패터닝 디바이스 패턴 및 투영 광학계의 특성)은 에어리얼 이미지를 좌우한다. 리소그래피 투영 장치에 사용되는 패터닝 디바이스 패턴이 변경될 수 있기 때문에, 적어도 조명 및 투영 광학계를 포함하는 나머지 리소그래피 투영 장치의 광학적 특성으로부터 패터닝 디바이스 패턴의 광학적 특성을 분리하는 것이 바람직하다. 조명 모델(31) 및 투영 광학계 모델(32)은 TCC(transmission cross coefficient) 모델로 조합될 수 있다.
패터닝 디바이스 패턴 모델(33)은, 패터닝 디바이스 상의 또는 그에 의해 형성된 피처 배열의 표현인, 패터닝 디바이스 패턴(예를 들어, 집적 회로, 메모리, 전자 디바이스 등의 피처에 대응하는 디바이스 설계 레이아웃)의 광학적 특징(주어진 패터닝 디바이스 패턴에 의해 야기되는 방사선 강도 분포 및/또는 위상 분포에 대한 변화를 포함함)을 나타낸다. 패터닝 디바이스 모델(33)은 패터닝 디바이스의 패턴에 설계 피처가 레이아웃되는 방식을 캡처하고, 예를 들어, 참조에 의해 전부 본원에 원용되는, 미국 특허 번호 7,587,704에 기술된 바와 같이 패터닝 디바이스 패턴 및 패터닝 디바이스의 상세한 물리적 특성의 표현을 포함할 수도 있다.
레지스트 모델(37)은 에어리얼 이미지로부터 레지스트 이미지를 계산하는 데 사용될 수 있다. 그러한 레지스트 모델의 예는 일반적으로, 이로써 참조에 의해 전부 본원에 원용되는, 미국 특허 번호 8,200,468 에서 찾을 수 있다. 레지스트 모델은 통상적으로 레지스트 노출, 노출후 베이크(PEB) 및 현상 동안 발생하는 화학 프로세스의 효과를, 예를 들어 기판 상에 형성된 레지스트 피처의 윤곽을 예측하기 위해, 설명하고, 그래서 그것은 통상적으로 레지스트 층의 그러한 특성(예를 들어, 노출, 노출 후 베이크 및 현상 동안 발생하는 화학 프로세스의 영향)에만 관련된다. 실시 형태에서, 레지스트 층의 광학적 특성, 예를 들어 굴절률, 필름 두께, 전파 및 편광 효과는 투영 광학계 모델(32)의 일부로서 캡처될 수도 있다.
이들 모델을 가짐에 따라, 에어리얼 이미지(36)는 조명 모델(31), 투영 광학계 모델(32) 및 패터닝 디바이스 패턴 모델(33)로부터 시뮬레이션될 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 강도 분포이다. 리소그래피 투영 장치의 광학적 특성(예를 들어, 조명, 패터닝 디바이스 및 투영 광학계의 특성)은 에어리얼 이미지를 좌우한다.
위에 언급된 바처럼, 기판상의 레지스트 층이 에어리얼 이미지에 의해 노출되고 에어리얼 이미지가 그 안에 잠재적인 "레지스트 이미지"(RI)로서 레지스트 층에 전사된다. 레지스트 이미지(38)는 레지스트 모델(37)을 사용하여 에어리얼 이미지(36)로부터 시뮬레이션될 수 있다. 그래서, 일반적으로, 광학 모델과 레지스트 모델 간의 연결은 레지스트 층 내에서 시뮬레이션된 에어리얼 이미지 강도이며, 이는 기판 상으로의 방사선의 투영, 레지스트 계면에서의 굴절 및 레지스트 필름 스택에서의 다수의 반사로부터 발생한다. 방사선 강도 분포(에어리얼 이미지 강도)는 입사 에너지의 흡수에 의해 잠재적 "레지스트 이미지" 로 바뀌며, 이는 확산 프로세스와 다양한 부하 효과에 의해 더욱 수정된다. 풀 칩 응용에 충분히 빠른 효율적인 시뮬레이션 방법은 2차원 에어리얼(및 레지스트) 이미지에 의해 레지스트 스택에서 현실적인 3차원 강도 분포를 근사화(approximate)한다.
실시 형태에서, 레지스트 이미지는 패턴 전사 후 프로세스 모델(39)에 대한 입력으로 사용될 수 있다. 패턴 전사 후 프로세스 모델(39)은 하나 이상의 레지스트 현상 후 프로세스(예를 들어, 식각, CMP 등)의 수행을 정의하고 식각 후 이미지(40)를 생성할 수 있다. 즉, 식각 이미지(40)는 패턴 전사 후 프로세스 모델(39)을 사용하여 레지스트 이미지(36)로부터 시뮬레이션될 수 있다.
따라서, 이 모델 공식화는 전체 프로세스의 알려진 물리 및 화학을 전부는 아니더라도 대부분을 설명하며, 각각의 모델 파라미터는 바람직하게는 별개의 물리적 또는 화학적 효과에 대응한다. 따라서 모델 공식화는 전체 제조 프로세스를 시뮬레이션하는 데 모델을 얼마나 잘 사용할 수 있는지에 대한 상한을 설정한다.
패터닝 프로세스의 시뮬레이션은 예를 들어, 에어리얼, 레지스트 및/또는 식각 이미지에서 윤곽, CD, 에지 배치(예를 들어, 에지 배치 오차), 패턴 시프트 등을 예측할 수 있다. 즉, 에어리얼 이미지(34), 레지스트 이미지(36) 또는 식각 이미지(40)는 패턴의 특징(예를 들어, 존재, 위치, 유형, 형상 등)을 결정하는 데 사용될 수도 있다. 따라서, 시뮬레이션의 목적은, 예를 들어, 인쇄된 패턴의 에지 배치 및/또는 윤곽, 및/또는 패턴 시프트, 및/또는 에어리얼 이미지 강도 기울기 및/또는 CD 등을 정확하게 예측하는 것이다. 이러한 값을 의도된 설계와 비교하여, 예를 들어 패터닝 프로세스를 보정하고, 결함이 어디서 발생할 것인지 식별하는 것 등을 할 수 있다. 의도된 설계는 일반적으로 GDSII 또는 OASIS 또는 다른 파일 형식과 같은 표준화된 디지털 파일 형식으로 제공될 수 있는 사전 OPC 설계 레이아웃으로 정의된다.
패터닝 디바이스 패턴을 다양한 리소그래피 이미지(예를 들어, 에어리얼 이미지, 레지스트 이미지 등)으로 변환하는 데 사용되는 기술 및 모델에 대한 세부 사항, 이러한 기술 및 모델의 적용 및 (예를 들어, 프로세스 윈도우 측면에서) 성능 평가는, 미국 특허 출원 공개 번호 US 2008-0301620, 2007-0050749, 2007-0031745, 2008-0309897, 2010-0162197, 2010-0180251 및 2011-0099526 에 설명되어 있으며, 이들 각각의 개시는 참조에 의해 전부 본원에 원용된다.
리소그래피 노드가 계속 축소됨에 따라, 점점 더 복잡한 패터닝 디바이스 패턴(더 나은 가독성을 위해 마스크라고도 상호 교환가능하게 지칭됨)이 필요하다 (예를 들어, 곡선형 마스크). 본 방법은 DUV 스캐너, EUV 스캐너 및/또는 다른 스캐너와 함께 키 층(key layer)에서 사용될 수도 있다. 본 개시에 따른 방법은 소스 마스크 최적화(SMO), 마스크 최적화 및/또는 OPC를 포함하는 마스크 최적화 프로세스의 상이한 양태에 포함될 수도 있다. 예를 들어, 소스 마스크 최적화 프로세스는 “Optimization Flows of Source, Mask and Projection Optics” 이라는 명칭의 미국 특허 번호 9,588,438에 설명되어 있으며, 이는 이로써 참조에 의해 전부 본원에 원용된다.
실시 형태에서, 패터닝 디바이스 패턴은 직사각형 또는 계단형 형상을 갖는 Manhattan 패턴에 있는 것과는 달리, 다각형 형상을 갖는 곡선형 SRAF를 포함하는 곡선형 마스크이다. 곡선형 마스크는 Manhattan 패턴에 비해 기판 상에 더 정확한 패턴을 생성할 수 있다. 그러나, 곡선형 SRAF의 기하학적 구조, 타겟 패턴에 대한 그의 위치 또는 다른 관련된 파라미터는, 이러한 곡선형 형상은 제조가 실현 가능하지 않을 수 있으므로, 제조 제한을 만들 수 있다. 따라서, 이러한 제한은 마스크 설계 프로세스 동안 설계자에 의해 고려될 수 있다. 곡선 마스크 제조에 있어서의 한계와 과제에 대한 자세한 설명은 “Manufacturing Challenges for Curvilinear Masks” by Spence, et al., Proceeding of SPIE Volume 10451, Photomask Technology, 1045104 (16 October 2017); doi: 10.1117/12.2280470 에 제공되어 있으며, 이는 참조에 의해 전부 본원에 원용된다.
실시 형태에서, 본 명세서의 방법에서 사용되는 초기 마스크 패턴은 CTM 프로세스, 초기 CTM 기반 곡선형 마스크에 레벨 세트 방법을 사용하는 CTM+ 프로세스, 초기 CTM 기반 곡선형 마스크에 시그모이드 변환을 사용하는 CTM+ 프로세스 등을 통해 얻을 수 있다. 본 방법은 특정 마스크 패턴에 제한되지 않는다. 초기 마스크는 특히 패치 경계에서 본 개시에 따른 윤곽 수정을 포함하기 위해 CTM/CTM+ 최적화 동안 추가로 프로세싱된다.
실시 형태에서, 곡선형 마스크 패턴은 초기 마스크 패턴의 곡선형 형상을 생성하기 위해 레벨 세트 방법을 사용하는 연속적 투과 마스크(CTM+) 프로세스(CTM 프로세스의 확장)으로부터 획득될 수도 있다. CTM 프로세스의 예는 앞서 언급한 미국 특허 번호 8,584,056 에 논의되어 있다. 실시 형태에서, CTM+ 프로세스는 임의의 적절한 방법을 사용하여 초기 마스크 패턴(또는 일반적으로 마스크 패턴)의 보조 피처의 하나 이상의 특징을, 그 일부 또는 하나 이상의 특징에 기초하여, 결정하는 단계를 포함한다. 예를 들어, 보조 피처의 하나 이상의 특징은 미국 특허 번호 9,111,062 또는 Y. Shen 등의, Level-Set-Based Inverse Lithography For Photomask Synthesis, Optics Express, Vol. 17, pp. 23690-23701 (2009)에 설명된 방법을 사용하여 결정될 수 있으며, 그 개시는 이로써 참조에 의해 전부 원용된다. 예를 들어, 하나 이상의 특징은 보조 피처의 하나 이상의 기하학적 특징(예를 들어, 절대 위치, 상대 위치 또는 형상), 보조 피처의 하나 이상의 통계적 특징, 또는 보조 특징의 파라미터화를 포함할 수도 있다. 보조 피처의 통계적 특징의 예는 보조 피처의 기하학적 치수의 평균 또는 분산을 포함할 수도 있다.
예에서, CTM 프로세스에 의해 생성된 CTM 이미지는 초기 마스크 패턴(초기 이미지)으로 사용될 수 있는 마스크 변수를 초기화하는 데 사용될 수 있으며, 이는 나중에 논의되는 도 4 및 도 7에 있는 방법(400 및 700)과 관련하여 아래에서 논의되는 바와 같이 반복적으로 수정된다. CTM 생성 기술에서, 역 리소그래피 문제(inverse lithography problem)는 최적화 문제로서 공식화된다. 변수는 마스크 이미지에서 픽셀 값과 관련되고, EPE 또는 사이드로브(sidelobe) 인쇄와 같은 리소그래피 메트릭이 비용 함수(cost function)로 사용된다. 최적화의 반복에서, 마스크 이미지는 마스크 변수의 값을 수정하는 것에 기초하여 마스크 이미지로부터 구성되고, 다음으로 광학 또는 레지스트 이미지를 얻기 위해 프로세스 모델(예를 들어, Tachyon 모델)이 적용되고 비용 함수가 계산된다. 다음으로, 비용 계산은 마스크 이미지를 얻기 위해 변수(예를 들어, 픽셀 강도)를 업데이트하는 최적화 솔버에서 사용되는 기울기 값을 제공한다. 최적화 동안 여러 번 반복한 후, 곡선형 마스크 이미지가 생성되며, 이는 또한 (예를 들어, Tachyon SMO 소프트웨어에서 구현되는 바처럼) 패턴 추출을 위한 안내 맵으로서 사용된다. 이러한 마스크 이미지(예를 들어, CTM 이미지)는 패터닝 프로세스를 통해 기판 상에 인쇄될 타겟 패턴에 대응하는 하나 이상의 피처(예를 들어, 타겟 패턴의 피처, SRAF, SRIF 등)을 포함할 수도 있다.
칩 레이아웃은 수백만 또는 심지어 수십억 개의 피처를 포함하여 상대적으로 크다(예를 들어, 10mm x 10mm 정도). 단일 프로세서는 전체 레이아웃에 대한 패터닝 프로세스 관련 시뮬레이션(예를 들어, SMO, OPC 등)을 처리하기에 충분한 프로세싱 능력이 없기 때문에, 단일 컴퓨터 시스템 또는 프로세서 상에서 풀칩 레이아웃이 프로세싱될 수 없다. 따라서, 전체 레이아웃은 복수의 기능 패치로 분해되고, 프로세스 시뮬레이션(예를 들어, SMO, OPC 등)은 복수의 피처 패치의 개개의 피처 패치에 대해 수행된다. 시뮬레이션 결과(예를 들어, OPC)가 조합되어, 전체 레이아웃의 시뮬레이션 결과를 결정한다.
예를 들어, 마스크 레이아웃은 그리드와 같은 패턴으로 분해될 수도 있으며, 그리드의 각각의 직사각형 조각은 패치이다. 실시 형태에서, 피처 패치(패치라고도 함)는 패터닝 프로세스의 성능을 개선하기 위해 OPC와 관련된 계산 또는 시뮬레이션이 수행될 수도 있는 하나 이상의 피처를 포함하는 마스크 레이아웃의 부분을 지칭한다. 타겟 피처의 OPC 시뮬레이션은 무(none) 또는 하나 이상의 보조 피처와 수정된 주 피처(예를 들어, 주 피처가 타겟 피처임)를 낳는다. OPC 관련 피처는 다각형 형상으로 표현된다. 따라서, 피처 패치는 복수의 다각형을 포함하고, 여기서 다각형은 마스크 패턴의 피처(예를 들어, 보조 피처)의 기하학적 형상을 나타낸다. 용어 "다각형" 은 본 명세서에서 피처(예를 들어, OPC 또는 타겟 피처와 관련됨)을 지칭하기 위해 사용된다. 실시 형태에서, 레이아웃은 상이한 크기(예를 들어, 50 ㎛ x 50 ㎛, 100 ㎛ x 100 ㎛, 110 ㎛ x110 ㎛, 50 ㎛ x 100 ㎛, 50 ㎛ x 120 ㎛, 10 mm x 10 mm, 50 mm x 50 mm, 100 mm x 100 mm, 등)일 수도 있으며, 이는 복수의 패치(예를 들어, 10 개의 패치, 20 개의 패치, 50 개의 패치 등)으로 분해될 수 있고, 각각의 패치는 동일한 크기이거나 상이한 크기일 수도 있다(20 ㎛ x 20 ㎛, 20 ㎛ x 10 ㎛, 등). 본 개시는 패턴의 크기에 제한되지 않는다.
실시 형태에서, 설계 레이아웃 또는 마스크 레이아웃은 피처의 임계도(criticality), 피처의 크기, 피처의 위치 또는 기타 그룹화 특성에 기초하여 분해될 수도 있다. 다음으로, 병렬 계산 또는 시뮬레이션을 위해 패치가 상이한 컴퓨터(또는 프로세서) 상에 분산된다. 그런 다음 각각의 패치와 관련된 시뮬레이션 결과를 조합하여 전체 칩 레이아웃에 대한 시뮬레이션 결과를 얻는다. 그러나, 인접/이웃하는 패치의 결과를 조합(스티칭이라고도 함)하면 패치 경계의 양측 상의 결과가 상이할 수도 있기 때문에, 패치 경계에서 문제(예를 들어, 오정렬(miss alignment))가 발생할 수도 있다. 패치 경계는 이웃하는 패치(예를 들어, 왼쪽 패치 및 오른쪽 패치)를 분리하는 레이아웃의 부분을 나타낸다. 예를 들어, 직사각형 피처는 (예를 들어, 도 5a 및 도 5b에 도시된 바처럼) 두 부분으로 절단되거나 분할될 수 있으며, 하나의 직사각형 부분은 왼쪽 패치에 포함되며 제2 직사각형 부분은 제2 패치에 포함되고, 슬릿 주위 부분은 패치 경계이다. 하나 또는 여러 개의 피처는, 이들이 패치 경계로부터 소정 영향 범위 내에 있기 때문에, 완전히 경계 영역에 존재할 수도 있다. 주어진 패치의 영향 범위는 이웃하는 패치의 결과가 주어진 패치 피처의 다각형 형상에 영향을 주는 패치 경계로부터의 거리이다. 예를 들어, 접촉 레이아웃의 경우, 타겟 피처는 대부분 치수가 100nm보다 작은 직사각형인 반면, 경계의 영향 범위(또는 모델의 영향 범위)는 미크론 미터 정도일 수도 있다. 그래서, 이웃하는 패치에서 피처에 대한 결과를 생성할 때 주어진 패치의 경계 영역 내 타겟 피처의 시뮬레이션 결과를 고려할 수도 있다.
2개의 인접/이웃하는 패치에 의해 공유되는 패치 경계에서, 레이아웃의 다각형은 2개의 부분들-제1 패치에 포함된 제1 다각형 부분 및 제2 패치에 포함된 제2 다각형 부분으로 절단되거나 분할될 수도 있다. 제1 패치 및 제2 패치를 사용하여 패터닝 프로세스의 양태(예를 들어, OPC)를 시뮬레이션하는 동안, 제1 패치의 제1 피처 부분(예를 들어, 보조 피처) 및 제2 패치의 제2 피처 부분(예를 들어, 주 및 보조 피처)는 제2 패치의 경계에서 상이할 수도 있다. 따라서, 실시 형태에서, 제1 패치의 제1 피처 부분의 정보(예를 들어, 패치 경계의 다각형 부분)는 제1 패치와 제2 패치가 이음매 없이 조합될 수 있도록 제2 패치의 시뮬레이션 동안 사용된다. 즉, 조합 또는 스티칭 프로세스 동안, 경계 영역의 제1 피처 부분 및 제2 피처 부분이 패치 경계에서 일관되게 또는 매끄럽게 블렌딩되도록 수정된다. 실시 형태에서, 패치 경계로부터 상대적으로 떨어져 있는 패치 내의 피처 부분(예를 들어, OPC)은 온전하고 수정되지 않는다. 실시 형태에서, 본 명세서에 설명된 패치 경계 처리 프로세스는 풀 칩 레이아웃을 위한 곡선형 마스크 패턴을 생성하는 데 특히 유용하다.
응용에서, 패치 경계 처리 방법은 OPC 최적화 프로세스(예 : CTM, CTM+)와 통합되어, 제1 패치의 제1 부분이 패치 경계에서 제2 패치의 제2 피처 부분(예를 들어, 보조 피처 또는 주 피처)를 생성하는 데 사용될 수 있다. 즉, 경계에서 제2 패치의 제2 피처 부분은 패치 경계에서 제1 피처 부분을 따르도록 강제된다.
도 3은 패터닝 프로세스에 사용될 마스크 패턴을, 패치에 기초하여, 생성하는 방법(300)의 흐름도이다. 방법(300)은, 프로세스(P301)에서, (i) 마스크 패턴(예를 들어, 입력 패턴 또는 초기 마스크 패턴)의 제1 다각형 부분을 포함하는 제1 피처 패치, 및 (ii) 초기 마스크 패턴의 제2 다각형 부분을 포함하는 제2 패처 패치(302)를 획득하는 단계를 포함한다. 도 5a 및 도 5b는 제1 다각형 부분(450L/460L)을 포함하는 제1 피처 패치 및 제2 다각형 부분(510/570)을 포함하는 제2 피처 패치의 예를 예시한다.
실시 형태에서, 초기 마스크 패턴 및/또는 마스크 패턴은 직선형 패턴 또는 곡선형 마스크 패턴(예를 들어, CTM/CTM+ 프로세스의 출력)이다. 실시 형태에서, 초기 마스크 패턴은 패터닝 프로세스를 받은 기판 상에 이미지화될 복수의 피처를 포함하는 설계 레이아웃이다. 실시 형태에서, 초기 마스크 패턴의 제1 피처 패치 및 제2 패치는 서로 인접해 있다. 예를 들어, 왼쪽 패치는 도 5a 및 도 5b에 표시된 것처럼 패치 경계(455/465)에 의해 분리된 오른쪽 패치에 인접해 있다. 그러나, 인접성은 좌우로 제한되는 것이 아니라, 주어진 패치의 상부, 하부 또는 다른 측면 패치와 같은 다른 인접한 패치가 또한 포함될 수도 있다.
제1 다각형 부분은 초기 마스크 패턴의 하나의 피처 또는 피처들의 제1 부분을 지칭한다. 제2 다각형 부분은 초기 마스크 패턴의 피처들의 제2 부분을 지칭한다. 초기 마스크 패턴은 설계 레이아웃 또는 예를 들어, 마스크 최적화 또는 OPC 시뮬레이션 프로세스 동안 생성된 중간 마스크 패턴일 수도 있다. 실시 형태에 따르면, 다각형은 초기 마스크 패턴의 피처에 대응하는 양태이다. 양태는 광학 근접 보정, 소스 최적화 및/또는 소스-마스크 최적화 프로세스의 시뮬레이션 동안 획득된 보조 피처 또는 수정된 주 피처일 수도 있다.
도 4a 및 도 4b는 타겟 피처(410)(또한 주 패턴이라고도 함)에 대응하는 OPC 시뮬레이션 프로세스로부터 얻어진 예시적인 직선형 마스크 패턴 및 곡선 마스크 패턴을 각각 예시한다. 도 4a 에서, 직선형 마스크 패턴은(주 피처(410) 주위의) 주 마스크 피처(450), 주 마스크 피처(450)를 둘러싸는 제1 보조 피처(451 및 452)를 포함한다. 피처(450, 451 및 452)를 포함하는 이러한 직선형 마스크 패턴이 패터닝 프로세스에서 사용되는 경우, 인쇄된 피처 윤곽(430)이 기판 상에 이미지화된다. 인쇄된 피처(430)는 타겟 피처(410)와 밀접하게 일치하는 것이 바람직하다.
유사하게, 도 4b 에서, 곡선형 마스크 패턴은 (주 피처(410) 주위의) 주 곡선형 피처(460), 주 곡선형 피처(460)를 둘러싸는 제1 곡선형 보조 피처(461 및 462)를 포함한다. 피처(460, 461 및 462)를 포함하는 곡선형 마스크 패턴은, 패터닝 프로세스에서 사용되는 경우, 인쇄된 피처 윤곽(430')을 기판 상에 인쇄한다. 인쇄된 패턴(430')은 타겟 패턴(410)과 밀접하게 일치하는 것이 바람직하다. 전형적으로, 인쇄된 피처(430')는 직선형 마스크 패턴을 사용하는 인쇄된 피처(430)에 비해 타겟 패턴과 더 밀접하게 일치할 수 있다.
그러나, 패터닝 프로세스의 양태(예를 들어, OPC, 마스크 최적화 등)의 시뮬레이션 동안, 예를 들어, 마스크 패턴은 패치로 분할되어 제1 패치 및 제2 패치에서 상이한 다각형을 생성할 수도 있다. 예를 들어, 도 5a 에 도시된 바와 같이, 왼쪽 패치는 제1 윤곽 부분(450L)(도 5b 에서 460L)을 포함하는 제1 피처 패치를 포함하고 오른쪽 패치는 제2 윤곽 부분(510)(도 5b 에서 570)을 포함하는 제2 피처 패치를 포함한다. 그러나, 원하는 윤곽 부분은 도 4a(4b)에 도시된 바와 같이 450R(460R)의 형상을 가져야 한다. 따라서, 프로세스(P303)에서, 패치 경계(455)(또는 465)에서의 부분들은 윤곽 부분이 매끄럽게 합쳐지도록 수정되거나 조정되어야 한다.
방법은, 프로세스(P303)에서, 패치 경계에서의 제1 다각형 부분과 제2 다각형 부분 사이의 차이(예를 들어, 아래의 비용 함수)가 감소되도록(실시형태에서, 최소화되도록) 제1 피처 패치와 제2 피처 패치 사이의 패치 경계에서 제2 다각형 부분을 조정하는 단계를 포함한다. 대안적으로 또는 추가적으로, 프로세스(P303)는 패치 경계에서 제1 다각형 부분과 제2 다각형 부분 사이의 차이가 감소되도록(실시형태에서, 최소화되도록) 패치 경계에서 제1 다각형 부분을 조정하는 단계를 포함한다.
실시 형태에서, 마스크 차이에 관한 비용은 이웃하는 패치의 결과가 가까워지는 것을 돕는 데 사용될 수 있다. 비용 함수 는 다음과 같이 정의될 수 있다:
위의 등식에서, 는 상이한 위치(예를 들어, 이미지 픽셀)에서의 가중치이고, 은 위치 에서의 현재(또는 고려중인 패치, 예를 들어, 제1 패치)의 픽셀 값이고, 는 동일한 위치에서 또는 영향 범위 내에서 이웃하는 패치(예를 들어, 제2 패치)의 결과의 픽셀 값이다. 조정하는 단계는 비용 함수가 감소되도록(실시 형태에서, 최소화되도록) 픽셀 값을 변경하는 단계를 포함한다.
실시 형태에서, 제1 부분 및/또는 제2 부분을 조정하는 단계는 패치 경계에서, 제1 다각형 부분과 제2 다각형 부분을 이음매 없이 합치도록 구성된 스티칭 함수를 결정하는 단계를 포함한다. 스티칭 함수는 각각의 기학적 구조에 기초하여 제1 다각형 부분과 제2 다각형 부분 사이에 곡선을 생성하는 수학적 형성 함수이다. 곡선은 패치 경계에서 다각형의 제1 다각형 부분과 제2 다각형 부분 간의 차이(예를 들어, 위의 비용 함수)를 감소시키도록 생성된다.
예를 들어, 제1 다각형 부분과 제2 다각형 부분은 그들 사이에 간격(또는 차이)을 갖는 두 개의 평행선일 수도 있다. 이러한 다각형 부분이 직접 합쳐지면, 다각형 사이에 스텝 또는 급격한 점프가 생길 것이다. 실시 형태에서, 스티칭 함수는 다각형 부분(들)을 패치 경계에 가까이(예를 들어, 영향 범위 내로) 이동시켜, 스텝을 램프 또는 곡선으로 전환한다. 따라서, 스티칭 함수는 차이를 평활화하고 왼쪽 패치에서 오른쪽 패치로 결과를 연결한다. 예를 들어, 결과는 0.1 nm 떨어져 있는 2개의 평행선을 포함할 수도 있고; 스티칭 함수는 이 0.1nm 점프를 평활화한다.
실시 형태에서, 다각형은 다각형 에지를 따라 배치된 점들의 세트로 표현될 수도 있다. 그러면, 스티칭 함수는 다각형들 사이의 차이를 감소시키고 다각형들 사이의 매끄러운 링크가 확립되도록 경계에서 점들을 이동시키도록 구성될 수도 있다. 이러한 평활화는 또한 패터닝 프로세스와 관련된 패터닝 프로세스(예를 들어, 광학, 레지스트, 식각 등)의 다른 후속 시뮬레이션에서 다각형의 더 나은 프로세싱에 도움이 된다.
또한, 패치 경계에서 곡선형 마스크 패턴의 급격한 변화는 마스크 제조에 어려움을 초래할 수도 있다. 따라서, 실시 형태에서, 스티칭 함수는 또한, 마스크 패턴의 제조 가능성과 관련된 제조 가능성 체크 사양을 만족시키는 조건을 포함하도록 구성된다.
실시 형태에서, 프로세스(P303)는 성능 메트릭이 향상되도록 곡선형 패턴을 수정하는 CTM+ 경계 처리 프로세스에 통합된다. 실시 형태에서, 경계 처리는 곡선형 마스크 패턴 및 마스크 패턴과 연관된 OPC를 결정하는 데 사용되는 CTM 또는 CTM+ 최적화 프로세스의 일부이다. 실시 형태에서, 경계 처리는 패치 내의 특정 위치(예를 들어, 핫스팟)에서 그와 연관된 소정 피처 또는 양태(예를 들어, OPC)을 수정하는 것을 포함하는 반면, 이와 연관된 다른 피처 또는 양태는 CTM 프로세스 동안 수정되지 않는다. 본 개시에 따르면, 경계 처리 프로세스는 또한, 패치 경계에서 피처에 대한 수정을 수행하도록 구성된다.
따라서, 본 개시에서, 경계 처리는 패치 경계 주위의 영역으로 제한되거나 국한된다. 경계 처리는 베이스라인 이미지(예를 들어, 도 5a 및 도 5b 에서의 왼쪽 패치) 와 현재 이미지(예를 들어, 도 5a 및 도 5b 에서의 오른쪽 패치)사이의 비교를 패치 경계 영역으로만 제한하는 비용 함수의 공식화에 기초한다. 즉, 최적화 프로세스 중에, 패치 경계에서 떨어진 피처(예를 들어, 핫스팟) 또는 절단되거나 분할되지 않은 패치 경계에 가까운 피처는 수정되지 않을 것이다. 따라서, 오른쪽 패치의 경계에서 결과가 왼쪽 패치의 결과와 일치하도록 강제한다. 실시 형태에서, 경계 처리는 패치 경계를 가로질러 매끄러운 마스크 다각형 프로파일을 생성하기 위한 스티칭 함수 및 대응하는 비용 항을 포함한다. 그러한 스티칭은 만족되는 MRC를 포함한다.
도 6은 스티칭 함수에 의해 생성된 예시적인 스티칭 곡선(620)을 예시한다. 도 6에서, 기준 곡선형 다각형은 패치 경계(615)에 의해 (i) 왼쪽 패치의 피처인 패터닝 프로세스(예를 들어, OPC)의 시뮬레이션에 의해 생성되는 왼쪽 다각형 부분(610L)(점선(dotted)), 및 (ii) 왼쪽 패치, 특히 왼쪽 다각형 부분(610L)으로부터의 정보와 함께 오른쪽 패치의 패터닝 프로세스(예를 들어, OPC)의 시뮬레이션에 의해 생성된 오른쪽 다각형 부분(610R)으로 분할된다. 개개의 패치를 프로세싱할 때, 왼쪽 패치와 오른쪽 패치는 서로에 대해 작지만, 실질적인 차이가 있는 다각형 부분을 포함한다. 스티칭 함수는 다각형 부분(610L 및 610R)간의 차이를 감소시키기 위해 곡선(620)을 생성한다. 또한, 우측 패치 결과는 곡선(620)으로 조정된다. 실시 형태에서, 왼쪽 패치의 부분은 또한 패치 경계 영역에서 조정될 수도 있다. 따라서, 왼쪽 다각형 부분(610L)과 우측 다각형 부분(610R)이 (예를 들어, 프로세스(P305)에서) 조합될 때, 그의 마스크 패턴으로서 수정된 피처(620)가 획득된다. 실시 형태에서, 스티칭 함수는 정확히 패치 경계에서 또는 패치 경계로부터 떨여져서 적용될 수도 있다.
방법은, 프로세스(P305)에서, 패치 경계에서 피처들의 제1 다각형 부분과 조정된 제2 다각형 부분의 조합을 포함하도록 마스크 패턴을 결정하는 단계를 포함한다. 대안적으로 또는 추가적으로, 프로세스(P305)는, 패치 경계에서 피처들의 조정된 제1 다각형 부분과 제2 다각형 부분의 조합을 포함하도록 마스크 패턴을 결정하는 단계를 포함한다.
실시 형태에서, 방법(300)은 또한, 우선순위화된 패치에 기초할 수도 있으며, 여기서 초기 마스크 패턴은 시퀀스로 배열된 복수의 패치를 포함하고, 각각의 패치는 시퀀스 내에서 우선순위 값(예를 들어, 0에서 n까지, 여기서 0은 가장 높은 우선순위이고 n 은 가장 낮은 우선순위임)를 갖는다. 또한, 이웃하는 패치의 결과의 조합은 각각의 패치의 우선순위 값에 기초한다.
실시 형태에서, CTM+ 프로세스는 레벨 세트 방법을 사용하여 곡선형 마스크 패턴을 생성한다. 레벨 세트 방법에서, 윤곽(CTM+ 프로세스에서의 곡선형 마스크 패턴)은 임계 값과 동일한 레벨 세트로부터 추적된다. 레벨 세트 함수는 레벨 세트 이미지로서 표현될 수도 있고, 임계 값은 레벨 세트 이미지와 교차하는 평면을 나타낼 수도 있으며, 그들 사이의 교차점(intersection)에서 윤곽 추적이 행해진다. 윤곽이 얻어지면, 사용되었던 원래 레벨 세트 이미지가 역 매핑되지 않을 수 있다. 즉, 사용되었던 원래 레벨 세트 이미지는, 윤곽이 원래 레벨 세트 함수를 결정하기에 충분한 정보를 갖지 않음에 따라, 역 매핑되지 않을 수 있다.
윤곽은 여기에서 곡선형 마스크 패턴에서의 피처를 특징 짓기 때문에, 이러한 윤곽의, 예를 들어 레벨 세트 함수에 기초한, 수학적 설명이 정의될 수 있다. 곡선형 마스크 패턴을 나타내는 예시적인 레벨 세트 함수 는 다음과 같은 특성을 갖는 함수이다: (1) 윤곽을 따라 모든 곳, C는 임계 값임; (2) 영역(예를 들어, 마스크의 크롬 부분에 대응하는 그러한 영역) "내부"; 및 (3) 이거나 또는 영역(예를 들어, 마스크의 투명 석영(clear quartz) 부분들에 대응하는 그러한 영역 "외부"임. 실시 형태에서, 기준의 선택에 따라 (2) 및 (3)에서의 부등호 기호가 반전될 수 있다.
윤곽은 "레벨 세트", 즉 (x, y) 평면에서 점 세트에 의해 정의되고, 여기서 . 실시 형태에서, 레벨 세트 함수는 픽셀화된 이미지로 표현될 수도 있으며, 여기서 일부 픽셀은 윤곽에 대응하는 픽셀 값을 갖는다. 따라서, 임계 값은 윤곽을 정의하는 픽셀 값에 대응한다. 실시 형태에서, 최적화는 전술한 바와 같이 다각형 부분의 차이를 감소시키는 비용 함수에 기초하여 패치 경계에서 그러한 픽셀 값을 조정하는 것을 포함한다.
주어진 타겟 패턴을 위한 레벨-세트 함수 를 구하기 위한 본 발명의 양태에서, 레벨 세트 는 윤곽 또는 다각형의 세트를 정의하며, 이는, 경계에서 피처의 마스크 패턴으로 해석될 때, 타겟 패턴에 비해 왜곡과 아티팩트가 거의 없는 웨이퍼 패턴을 생성한다. 웨이퍼 패턴은 여기서 얻어진 마스크 패턴을 사용한 포토리소그래피 프로세스로부터 비롯된다. 레벨 세트 함수 에 의해 정의된 윤곽의 세트가 최적인 범위는 예측된 웨이퍼 패턴과 타겟 패턴간의 에지 배치 오차의 차이가 감소되는 것과 같은 성능 메트릭에 기초하여 계산된다. 
곡선형 마스크 다각형 (또는 윤곽)이 주어지면, 예를 들어, 근사적으로 다각형 의 레벨 세트 함수/이미지인 이미지 를 재구성하기를 원하고, 이는 이미지 에 대응하는 다각형이 원래 다각형에 매우 가깝다는 것, 을 의미한다. 여기서 는 윤곽 추적의 임계치이다.
실시 형태에서, 도 7a를 참조하면, 곡선형 마스크 패턴의 윤곽의 레벨 세트 함수를 재구성하는 예시적인 방법(700)이 제공된다. 즉, 윤곽으로부터 (대략적으로 말해서) 역 매핑은 입력 레벨 세트 이미지를 생성한다. 방법(700)은 패치 경계 인근의 영역에서 CTM+ 최적화를 초기화하기 위한 이미지를 생성하는 데 사용될 수 있다.
그 방법은, 프로세스(P701)에서, (i) 곡선형 마스크 패턴(701) 및 임계 값 , (ii) 초기 이미지(702), 예를 들어, 곡선형 마스크 패턴(701)으로부터 렌더링된 마스크 이미지를 획득하는 단계를 포함한다. 실시 형태에서, 마스크 이미지(702)는 복수의 픽셀을 포함하는 픽셀화된 이미지이며, 각각의 픽셀은 마스크 패턴의 피처를 나타내는 픽셀 값을 갖는다. 이미지(702)는 곡선형 마스크 패턴(701)의 렌더링된 마스크 이미지일 수도 있다.
그 방법은, 프로세스(P703)에서, 프로세서(예를 들어, 프로세서(104))를 통해, 곡선형 마스크 패턴의 각각의 점 상의 보간된 값과 임계 값 사이의 차이가 감소되도록 이미지 픽셀을 반복적으로 수정함으로써 레벨 세트 함수를 생성하는 단계를 포함한다. 이것은 아래에 주어진 바처럼 비용 함수로 나타낼 수 있다:
실시 형태에서, 레벨 세트 함수를 생성하는 단계는 곡선형 마스크 패턴을 따라 위치 세트를 식별하는 단계, 위치 세트에서 보간된 초기 이미지의 픽셀 값을 사용하여 레벨 세트 함수 값을 결정하는 단계, 그 값과 임계 값 사이의 차이를 계산하는 단계, 및 차이(예를 들어, 위의 비용 함수 )가 감소되도록 이미지의 픽셀의 하나 이상의 픽셀 값을 수정하는 단계를 포함한다.
실시 형태에서, 방법(700)은 도 7b에 도시된 바와 같이, 곡선형 마스크의 경계 처리에서 더 확장될 수도 있다. 프로세스(P710)에서, 현재 패치에 대해, 이웃하는 패치의 최종 결과는, 경계 영역에 있는 우선순위 다각형으로서, 획득된다. 또한, 프로세스(P712)는 프로세스(P703)에서 처럼, 우선순위 다각형의 레벨 세트 이미지를 계산하는 것을 포함한다. 프로세스(P714)는, 재구성된 레벨-세트 이미지에 기초하여, 경계 영역의 이미지 값을 결정하는 것을 포함한다. 그리고 (예를 들어, CTM/CTM+ 를 사용한) 추가 광학 근접 보정(750)은 경계 영역을 포함하여 전체 현재 패치의 마스크를 최적화한다.
도 8은 본 명세서에 개시된 방법, 흐름 또는 장치의 구현을 도울 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨팅 시스템(100)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 메커니즘, 및 정보를 프로세싱하기 위해 버스(102)에 연결된 프로세서(104)(또는 다수의 프로세서(104 및 105))를 포함한다. 컴퓨터 시스템(100)은 또한 프로세서(104)에 의해 실행될 정보 및 명령을 저장하기 위해 버스(102)에 연결된 랜덤 액세스 메모리(RAM) 또는 다른 동적 저장 디바이스와 같은 주 메모리(106)를 포함한다. 주 메모리(106)는 또한 프로세서(104)에 의해 실행될 명령의 실행 동안 임시 변수 또는 다른 중간 정보를 저장하기 위해 사용될 수도 있다. 컴퓨터 시스템(100)은 프로세서(104)를 위한 정적 정보 및 명령을 저장하기 위해 버스(102)에 연결된 읽기 전용 메모리(ROM)(108) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되고 정보 및 명령을 저장하기 위해 버스(102)에 연결된다.
컴퓨터 시스템(100)은 컴퓨터 사용자에게 정보를 표시하기 위해 음극선 관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 버스(102)를 통해 연결될 수도 있다. 영숫자 및 다른 키를 포함하는 입력 디바이스(114)는 정보 및 지령 선택을 프로세서(104)에 전달하기 위해 버스(102)에 연결된다. 또 다른 유형의 사용자 입력 디바이스는, 프로세서(104)에 방향 정보 및 지령 선택을 전달하고 디스플레이(112) 상의 커서 이동을 제어하기 위한 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 콘트롤(116)이다. 이 입력 디바이스는 통상적으로 2개의 축, 즉 제1 축(예를 들어, x) 및 제2 축(예를 들어, y)에서 2개의 자유도를 가지며, 이는 디바이스가 평면에서 위치를 지정할 수 있게 한다. 터치 패널(스크린) 디스플레이가 또한 입력 디바이스로 사용될 수도 있다.
실시 형태에 따르면, 본 명세서에 설명된 하나 이상의 방법 중 일부는 프로세서(104)가 주 메모리(106)에 포함된 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 것에 응답하여 컴퓨터 시스템(100)에 의해 수행될 수도 있다. 이러한 명령은 저장 디바이스(110)와 같은 다른 컴퓨터 판독 가능 매체로부터 주 메모리(106)내로 판독될 수도 있다. 주 메모리(106)에 포함된 명령의 시퀀스의 실행은 프로세서(104)가 본 명세서에 설명된 프로세스 단계를 수행하게 한다. 다중 프로세싱 배열에서 하나 이상의 프로세서는 또한 주 메모리(106)에 포함된 명령의 시퀀스를 실행하기 위해 사용될 수도 있다. 대안적인 실시 형태에서, 하드 와이어드 회로는 소프트웨어 명령 대신에 또는 소프트웨어 명령과 조합하여 사용될 수도 있다. 따라서, 본 명세서의 설명은 하드웨어 회로 및 소프트웨어의 임의의 특정 조합에 한정되지 않는다.
본 명세서에서 사용되는 용어 "컴퓨터 판독 가능 매체"는 실행을 위해 프로세서(104)에 명령을 제공하는 데 참여하는 임의의 매체를 지칭한다. 그러한 매체는 비휘발성 매체, 휘발성 매체 및 송신 매체를 포함하지만 이에 한정되지 않는 많은 형태를 취할 수도 있다. 비휘발성 매체는 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 송신 매체는 버스(102)를 포함하는 와이어를 포함하여 동축 케이블, 구리 와이어 및 광섬유를 포함한다. 송신 매체는 또한 무선 주파수(RF) 및 적외선(IR) 데이터 통신 중에 생성되는 것들과 같은 음향 또는 광파의 형태를 취할 수 있다. 컴퓨터 판독 가능 매체의 일반적인 형태에는, 예를 들어, 플로피 디스크, 플렉서블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 구멍의 패턴을 갖는 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지, 이하에 설명되는 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체가 포함된다.
다양한 형태의 컴퓨터 판독 가능 매체는 실행을 위해 하나 이상의 명령의 하나 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수도 있다. 예를 들어, 명령은 처음에 원격 컴퓨터의 자기 디스크 상에 있을 수도 있다. 원격 컴퓨터는 명령을 그의 동적 메모리에 로드하고 모뎀을 사용하여 전화선을 통해 명령을 전송할 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀은 전화선 상에서 데이터를 수신하고 적외선 송신기를 사용하여 데이터를 적외선 신호로 전환할 수 있다. 버스(102)에 연결된 적외선 검출기는 적외선 신호로 전달되는 데이터를 수신하고 데이터를 버스(102)에 둘 수 있다. 버스(102)는 데이터를 주 메모리(106)로 전달하고, 이로부터 프로세서(104)는 명령을 검색하고 실행한다. 주 메모리(106)에 의해 수신된 명령은 프로세서(104)에 의한 실행 전 또는 후에 저장 디바이스(110)에 선택적으로 저장될 수도 있다.
컴퓨터 시스템(100)은 또한, 버스(102)에 연결된 통신 인터페이스(118)를 포함할 수도 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 접속된 네트워크 링크(120)에 연결된 양방향 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응하는 유형의 전화선에 데이터 통신 접속을 제공하기 위한 통합 서비스 디지털 네트워크(ISDN) 카드 또는 모뎀일 수도 있다. 다른 예로서, 통신 인터페이스(118)는 호환 가능한 LAN에 데이터 통신 접속을 제공하기 위한 근거리 네트워크(LAN) 카드일 수도 있다. 무선 링크가 또한 구현될 수도 있다. 임의의 그러한 구현에서, 통신 인터페이스(118)는 다양한 유형의 정보를 나타내는 디지털 데이터 스트림을 전달하는 전기, 전자기 또는 광학 신호를 전송 및 수신한다.
네트워크 링크(120)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(124)에 대한 또는 인터넷 서비스 제공자(ISP)(126)에 의해 운영되는 데이터 장비에 대한 접속을 제공할 수도 있다. ISP(126)는 차례로, 이제 "인터넷"(128)으로 일반적으로 지칭되는 전세계 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 모두 디지털 데이터 스트림을 전달하는 전기, 전자기 또는 광학 신호를 사용한다. 컴퓨터 시스템(100)으로 그리고 컴퓨터 시스템(100)으로부터 디지털 데이터를 전달하는, 다양한 네트워크를 통한 신호 및 네트워크 링크(120) 상의 그리고 통신 인터페이스(118)를 통한 신호는 정보를 전송하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지를 전송하고 데이터를 수신할 수 있다. 인터넷 예에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 애플리케이션 프로그램에 대한 요청된 코드를 송신할 수도 있다. 하나의 그러한 다운로드된 애플리케이션은 예를 들어 본 명세서에 설명된 방법의 전부 또는 일부를 제공할 수도 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수도 있거나 및/또는 나중에 실행하기 위해 저장 디바이스(110) 또는 다른 비 휘발성 저장 장치에 저장될 수도 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파 형태의 애플리케이션 코드를 획득할 수도 있다.
도 9는 본 명세서에 설명된 기술과 함께 예시적인 리소그래피 투영 장치가 이용될 수 있음을 개략적으로 도시한다. 장치는 다음을 포함한다:
- 방사선 빔(B)을 컨디셔닝(conditioning)하기 위한 조명 시스템(IL). 이 특정한 경우에, 조명 시스템은 또한 방사선 소스(SO)를 포함한다;
-패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하기 위해 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대해 패터닝 디바이스를 정확하게 위치 결정하기 위해 제1 포지셔너에 접속된 제1 대상물 테이블(예를 들어, 패터닝 디바이스 테이블)(MT);
-기판(W)(예를 들어, 레지스트- 코팅된 실리콘 웨이퍼)를 유지하기 위해 기판 홀더가 제공되고, 아이템(PS)에 대해 기판을 정확하게 위치 결정하기 위해 제2 포지셔너에 접속된 제2 대상물 테이블(기판 테이블)(WT);
-기판(W)의 타겟 부분(C)(예를 들어, 하나 이상의 다이를 포함함) 상에 패터닝 디바이스(MA)의 조사된 부분을 이미지화하기 위한 투영 시스템("렌즈")(PS)(예를 들어, 굴절, 카톱트릭 또는 카타디옵트릭(catadioptric) 광학 시스템).
본 명세서에 도시된 바와 같이, 장치는 투과형이다(즉, 투과형 패터닝 디바이스를 갖는다). 그러나, 일반적으로, 그것은 예를 들어 (반사 패터닝 디바이스가 있는) 반사 유형일 수도 있다. 장치는 고전적인 마스크에 대해 상이한 종류의 패터닝 디바이스를 사용할 수도 있다; 예들은 프로그래밍 가능한 미러 어레이 또는 LCD 매트릭스를 포함한다.
소스 SO(예를 들어, 수은 램프 또는 엑시머 레이저, LPP(레이저 생성 플라즈마) EUV 소스)는 방사선 빔을 생성한다. 이 빔은 조명 시스템(조명기)(IL)에, 직접 또는 예를 들어 빔 익스팬더(Ex)와 같은 컨디셔닝 수단을 가로지른 후에 공급된다. 조명기(IL)는 빔에서 강도 분포의 외부 및/또는 내부 방사상 범위(일반적으로 σ-외부 및 σ-내부로 각각 지칭됨)를 설정하기 위한 조정 수단(AD)을 포함할 수도 있다. 또한, 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성 요소를 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 충돌하는 빔(B)는 그의 단면-에서 원하는 균일성 및 강도 분포를 갖는다.
도 9와 관련하여, (흔히, 예를 들어 소스(SO)가 수은 램프인 때의 경우인) 소스(SO)가 리소그래피 투영 장치의 하우징 내에 있을 수도 있지만, 또한 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 (예를 들어, 적합한 지향 미러의 도움으로) 장치로 이어지며; 이 후자의 시나리오는 흔히 소스 SO가 (예를 들어, KrF, ArF 또는 F2 레이징에 기초한) 엑시머 레이저인 때의 경우라는 것에 유의해야 한다.
이어서 빔(PB)은 패터닝 디바이스 테이블(MT) 상에 유지되는 패터닝 디바이스(MA)를 인터셉트(intercept)한다. 패터닝 디바이스(MA)를 가로지르고 나서, 빔(B)은 렌즈(PL)를 통과하고, 이는 빔(B)을 기판(W)의 타겟 부분(C) 상에 집속시킨다. 제2 위치 결정 수단(및 간섭계 측정 수단(IF))의 도움으로, 기판 테이블(WT)은 예를 들어 빔(B)의 경로에 상이한 타겟 부분(C)을 위치 결정하기 위해 정확하게 이동될 수 있다. 유사하게, 제1 위치 결정 수단은, 예를 들어 패터닝 디바이스 라이브러리로부터 패터닝 디바이스(MA)의 기계적 검색 후 또는 스캔 동안에 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확하게 위치 결정하는 데 사용될 수 있다. 일반적으로, 대상물 테이블(MT, WT)의 이동은 도 9에 명시적으로 도시되지 않은 롱 스트로크 모듈(대략적 위치 결정) 및 쇼트 스트로크 모듈(미세 위치 결정)의 도움으로 실현될 것이다. 그러나, (스텝 앤드 스캔( step-and-scan) 도구와 반대로) 스텝퍼의 경우에, 패터닝 디바이스 테이블(MT)은 단지 쇼트 스트로크 액추에이터에 접속될 수도 있거나 또는 고정될 수도 있다.
도시된 도구는 2개의 상이한 모드에서 사용될 수 있다:
- 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 본질적으로 정지된 상태로 유지되고, 전체 패터닝 디바이스 이미지가 타겟 부분(C) 상으로 한꺼번에 (즉, 단일 "플래시" 로) 투영된다. 그 다음, 기판 테이블(WT)은 빔(PB)에 의해 상이한 타겟 부분(C)이 조사될 수 있도록 x 및/또는 y 방향으로 시프트된다;
- 스캔 모드에서는, 주어진 타겟 부분(C)가 단일 "플래시"에 노출되지 않는다는 점을 제외하면, 본질적으로 동일한 시나리오가 적용된다. 대신에, 패터닝 디바이스 테이블(MT)은 투영 빔(B)이 패터닝 디바이스 이미지 상을 스캔하게 되도록, 속도 v로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동 가능하고; 동시에, 기판 테이블(WT)은 속도 V = Mv 로 동일 또는 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PL)의 배율이다(전형적으로 M = 1/4 또는 1/5이다). 이러한 방식으로, 해상도를 저해할 필요 없이, 비교적 큰 타겟 부분(C)가 노출될 수 있다.
도 10는 본 명세서에 설명된 기술과 함께 다른 예시적인 리소그래피 투영 장치(1000)가 이용될 수 있음을 개략적으로 도시한다.
리소그래피 투영 장치(1000)는
- 소스 콜렉터 모듈(SO)
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성된 조명 시스템(조명기)(IL).
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고 패터닝 디바이스를 정확하게 위치 결정하도록 구성된 제1 포지셔너(PM)에 접속된 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT);
- 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고 기판을 정확하게 위치 결정하도록 구성된 제2 포지셔너(PW)에 접속된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 타겟 부분(C)(예를 들어, 하나 이상의 다이를 포함함) 상에 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 반사 투영 시스템)(PS)
을 포함한다.
여기에 도시된 바와 같이, 장치(1000)는 반사 유형이다(예를 들어, 반사 패터닝 디바이스를 사용한다). 대부분의 재료는 EUV 파장 범위 내에서 흡수되기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다중 스택을 포함하는 다층 반사체를 가질 수도 있다는 점에 유의해야 한다. 일 예에서, 다중 스택 반사체는 몰리브덴과 실리콘의 40 개의 층 쌍을 갖고 여기서 각 층의 두께는 1/4 파장이다. X-선 리소그래피로 더욱 더 작은 파장을 생성할 수도 있다. 대부분의 재료는 EUV 및 X-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수 재료(예를 들어, 다층 반사체 위에 있는 TaN 흡수체)의 박편은 피처가 인쇄되거나(정극 레지스트) 또는 인쇄되지 않는(부극 레지스트) 곳을 정의한다.
도 10을 참조하면, 조명기(IL)는 소스 콜렉터 모듈(SO)로부터 극 자외 방사선 빔을 수신한다. EUV 방사선을 생성하는 방법은 적어도 하나의 원소, 예를 들어, 크세논, 리튬 또는 주석을 갖는 재료를 EUV 범위에서 하나 이상의 방출선을 갖는 플라즈마 상태로 전환하는 것을 포함하지만, 반드시 이에 한정되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭해지는 이러한 하나의 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적 (droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 콜렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하기 위한, 레이저(도 9에 도시되지 않음)를 포함하는 EUV 방사선 시스템의 일부일 수도 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 콜렉터 모듈에 배치된 방사선 콜렉터를 이용하여 수집된다. 레이저 및 소스 콜렉터 모듈은, 예를 들어, CO2 레이저가 연료 여기를 위해 레이저 빔을 제공하는 데 사용되는 경우, 분리된 개체일 수도 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어, 적절한 지향 미러 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 콜렉터 모듈로 보내진다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭해지는 방전 생성 플라즈마 EUV 생성기인 경우, 소스는 소스 콜렉터 모듈의 통합되는 부분(integral part)일 수도 있다.
조명기(IL)는 방사선 빔의 각도 강도 분포를 조정하기 위한 조정기를 포함할 수도 있다. 일반적으로, 조명기의 퓨필 평면에서 강도 분포의 적어도 외부 및/또는 내부 반경 범위(일반적으로 각각 σ-외부 및 σ-내부라고 함)가 조정될 수 있다. 또한, 조명기(IL)는 패싯 필드(facetted field) 및 퓨필 미러 디바이스와 같은 다양한 다른 구성 요소를 포함할 수도 있다. 조명기는 그의 단면에서 원하는 균일성과 강도 분포를 갖도록 방사선 빔을 컨디셔닝하는 데 사용될 수도 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사되고 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하고, 이는 빔(B)을 기판(W)의 타겟 부분(C) 상으로 집속시킨다. 제2 포지셔너(PW) 및 위치 센서(PS2)(예를 들어 간섭계 디바이스, 선형 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은, 예를 들어 방사선 빔(PB)의 경로에 상이한 타겟 부분(C)을 위치 결정하기 위해, 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확하게 위치 결정하는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 사용하여 정렬될 수도 있다.
도시된 장치(1000)는 다음 모드 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 본질적으로 정지된 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴이 한꺼번에 타겟 부분(C)상으로 투영된다(즉, 단일 정적 노출). 다음으로, 기판 테이블(WT)은 상이한 타겟 부분(C)이 노출될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)이 동시에 스캔되는 한편, 방사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영된다(즉, 단일 동적 노출). 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)에 상대적인 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 (반)확대 및 이미지 반전 특징에 의해 결정될 수도 있다.
3. 다른 모드에서, 지지체 구조(예를 들어, 패터닝 디바이스 테이블)(MT)는 프로그램 가능한 패터닝 디바이스를 유지하는 본질적으로 정지된 상태로 유지되고, 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안 이동되거나 스캔된다. 이 모드에서, 일반적으로 펄스 방사선 소스가 사용되며, 프로그래밍 가능한 패터닝 디바이스는 기판 테이블(WT)의 각각의 이동 후 또는 스캔 동안 연속저인 방사선 펄스들 사이에서 필요에 따라 업데이트된다. 이 작동 모드는 위에서 언급한 유형의 프로그램 가능한 미러 어레이와 같은 프로그램 가능한 패터닝 디바이스를 사용하는 무마스크 리소그래피에 쉽게 적용될 수 있다.
도 11은 소스 콜렉터 모듈(SO), 조명 시스템(IL) 및 투영 시스템(PS)을 포함하는 장치(1000)를 보다 상세히 도시한다. 소스 콜렉터 모듈(SO)은 진공 환경이 소스 콜렉터 모듈(SO)의 둘러싸는 구조체(220)에서 유지될 수 있도록 구성되고 배열된다. EUV 방사선 방출 플라즈마(210)는 방전 생성 플라즈마 소스에 의해 형성될 수도 있다. EUV 방사선은 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있으며, 여기서 초고온 플라즈마(210)가 생성되어 전자기 스펙트럼의 EUV 범위에서 방사선을 방출한다. 초고온 플라즈마(210)는 예를 들어, 적어도 부분적으로 이온화된 플라즈마를 일으키는 전기 방전에 의해 생성된다. 예를 들어, 10 Pa 의 Xe, Li, Sn 증기 또는 임의의 다른 적절한 가스 또는 증기의 부분 압력은 방사선의 효율적인 생성을 위해 필요할 수도 있다. 실시 형태에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
고온 플라즈마(210)에 의해 방출된 방사선은 소스 챔버(211)에서 개구부 내에 또는 뒤에 위치 결정되는 선택적 가스 배리어 또는 오염물 트랩(230)(일부 경우에 오염물 배리어 또는 포일 트랩이라고도 함)을 통해 소스 챔버(211)로부터 콜렉터 챔버(212)로 보내진다. 오염물 트랩(230)은 채널 구조체를 포함할 수도 있다. 오염물 트랩(230)은 또한 가스 배리어 또는 가스 배리어와 채널 구조체의 조합을 포함할 수도 있다. 여기에 추가로 표시된 오염물 트랩 또는 오염물 배리어(230)는 기술 분야에 알려진 바와 같은 채널 구조를 적어도 포함한다.
콜렉터 챔버(211)는 소위 게이징 입사 콜렉터(grazing incidence collector)일 수도 있는 방사선 콜렉터(CO)를 포함할 수도 있다. 방사선 콜렉터(CO)는 상류 방사선 콜렉터 측면(251) 및 하류 방사선 콜렉터 측면(252)을 갖는다. 콜렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(240)에서 반사되어 일점 쇄선 'O' 로 표시된 광축을 따라 가상 소스 점(IF)에 집속될 수 있다. 가상 소스 점(IF)는 일반적으로 중간 포커스로 지칭되며, 둘러싸는 구조체(220)의 개구부(221)에 또는 그 근처에 중간 포커스(IF)가 위치하도록 소스 콜렉터 모듈이 배열된다. 가상 소스 점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
이어서, 방사선은 조명 시스템(IL)을 가로지르고, 조명 시스템(IL)은 패터닝 디바이스(MA)에서 방사선 빔(21)의 원하는 각도 분포뿐만 아니라 패터닝 디바이스(MA)에서의 원하는 균일성의 방사선 강도를 제공하도록 배열된 패싯 필드 미러 디바이스(22) 및 패싯 퓨필 미러 디바이스(24)를 포함할 수도 있다. 지지 구조체(MT)에 의해 유지되는 패터닝 디바이스(MA)에서 방사선 빔(21)의 반사시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 기판 테이블(WT)에 의해 유지된 기판(W) 상으로 반사 요소(28, 30)를 통해 투영 시스템(PS)에 의해 이미지화된다.
일반적으로 도시된 것보다 더 많은 요소가 조명 광학계 유닛(IL) 및 투영 시스템(PS)에 존재할 수도 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 유형에 따라 선택적으로 존재할 수도 있다. 또한, 도면에 도시된 것보다 더 많은 미러가 존재할 수도 있으며, 예를 들어, 도 11에 도시된 것보다 1 내지 6개의 추가 반사 요소가 투영 시스템(PS)에 존재할 수도 있다.
콜렉터 광학계(CO)는 도 11에 예시된 바와 같이, 단지 콜렉터(또는 콜렉터 미러)의 예로서, 그레이징 입사 반사체(253, 254 및 255)를 갖는 네스티드 콜렉터(nested collector)로 도시된다. 그레이징 입사 반사체(253, 254 및 255)는 광축(O) 주위에 축 대칭으로 배치되고 이러한 유형의 콜렉터 광학계(CO)는 흔히 DPP 소스라고 불리는 방전 생성 플라즈마 소스와 조합하여 사용될 수도 있다.
대안적으로, 소스 콜렉터 모듈(SO)은 도 12에 도시된 바와 같이 LPP 방사선 시스템의 일부일 수도 있다. 레이저(LA)는 레이저 에너지를 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 쌓이게 하여, 수십 eV의 전자 온도를 갖는 고도로 이온화된 플라즈마(210)를 생성하도록 배열된다. 이들 이온의 탈여기(de-excitation) 및 재결합(recombination) 동안 생성된 에너지 방사선은 플라즈마로부터 방출되고, 근 수직 입사 콜렉터 광학계(CO)에 의해 수집되고 둘러싸는 구조체(220)에 있는 개구부(221) 상으로 집속된다.
실시 형태들은 또한 다음 조항에 사용하여 설명될 수도 있다:
1. 패터닝 프로세스에 사용될 마스크 패턴을 결정하는 방법으로서,
(i) 초기 마스크 패턴의 제1 다각형 부분을 포함하는 제1 피처 패치(feature patch), 및 (ii) 초기 마스크 패턴의 제2 다각형 부분을 포함하는 제2 패처 패치를 획득하는 단계;
패치 경계에서 제1 다각형 부분과 제2 다각형 부분 사이의 차이가 감소되도록 제1 피처 패치와 제2 피처 패치 사이의 패치 경계에서 제2 다각형 부분을 조정하는 단계; 및
패치 경계에서 제1 다각형 부분과 조정된 제2 다각형 부분을 조합하여 마스크 패턴을 형성하는 단계
을 포함하는, 마스크 패턴을 결정하는 방법.
2. 조항 1에 있어서, 초기 마스크 패턴은 패터닝 프로세스를 받은 기판 상에 이미지화될 복수의 피처를 포함하는 설계 레이아웃인, 마스크 패턴을 결정하는 방법.
3. 조항 1 내지 조항 2 중 어느 한 조항에 있어서, 제1 다각형 부분 및 제2 다각형 부분은 초기 마스크 패턴의 피처에 대응하는 양태인, 마스크 패턴을 결정하는 방법.
4. 조항 3에 있어서, 양태는 타겟 피처에 대응하는 보조 피처(assist feature)이며, 그 보조 피처는 광학 근접 보정, 소스 최적화 및/또는 소스-마스크 최적화를 통해 획득되는, 마스크 패턴을 결정하는 방법.
5. 조항 1 내지 조항 4 중 어느 한 조항에 있어서,
패치 경계에서 제1 다각형 부분과 제2 다각형 부분 사이의 차이가 감소되도록 제1 피처 패치와 제2 피처 패치 사이의 패치 경계에서 제1 다각형 부분을 조정하는 단계; 및
패치 경계에서 조정된 제1 다각형 부분과 제2 다각형 부분의 조합을 포함하도록 마스크 패턴을 결정하는 단계
를 더 포함하는, 마스크 패턴을 결정하는 방법.
6. 조항 1 내지 조항 5 중 어느 한 조항에 있어서, 제1 다각형 부분 및/또는 제2 다각형 부분을 조정하는 단계는
패치 경계에서, 제1 다각형 부분 및 제2 다각형 부분을 이음매 없이 합치도록 구성된 스티칭 함수를 결정하는 단계를 포함하며, 여기서 스티칭 함수는 패치 경계에서 제1 다각형 부분과 제2 다각형 부분 사이의 차이를 감소시키는 수학적 성형 함수인, 마스크 패턴을 결정하는 방법.
7. 조항 6에 있어서, 제1 다각형 부분과 제2 다각형 부분 사이의 차이는 스텝 또는 점프인, 마스크 패턴을 결정하는 방법.
8. 조항 7에 있어서, 스티칭 함수는 패치 경계에서 다각형의 다각형 부분을 이동시켜 스텝을 램프 또는 곡선으로 전환하는, 마스크 패턴을 결정하는 방법.
9. 조항 6 내지 조항 8 중 어느 한 조항에 있어서, 스티칭 함수는 또한, 마스크 패턴의 제조 가능성과 관련된 제조 가능성 체크 사양을 만족시키는 조건을 포함하도록 구성되는, 마스크 패턴을 결정하는 방법.
10. 조항 1 내지 조항 9 중 어느 한 조항에 있어서, 초기 마스크 패턴은 시퀀스로 배열된 복수의 패치를 포함하고, 각각의 패치는 시퀀스 내에서 우선순위 값을 갖는, 마스크 패턴을 결정하는 방법.
11. 조항 1 내지 조항 10 중 어느 한 조항에 있어서, 마스크 패턴을 결정하는 단계는 반복 프로세스를 더 포함하고, 반복은
복수의 패치의 시퀀스 내에서 상대적으로 낮은 우선순위 값을 갖는 패치를 선택하는 단계;
다각형 부분들 사이의 차이가 감소되도록 선택된 패치 내의 다각형 부분 및/또는 선택된 패치의 인접한 패치 내의 다른 다각형 부분을 조정하는 단계; 및
동일 우선순위를 갖는 하나 이상의 패치를 복수의 패치 중 대응하는 인접한 하나 이상의 패치와 조합하여 마스크 패턴을 생성하는 단계
을 포함하는, 마스크 패턴을 결정하는 방법.
12. 조항 1 내지 조항 11 중 어느 한 조항에 있어서, 차이가 최소화되는, 마스크 패턴을 결정하는 방법.
13. 조항 1 내지 조항 12 중 어느 한 조항에 있어서, 제1 피처 패치 및 제2 피처 패치는 서로 인접해 있는, 마스크 패턴을 결정하는 방법.
14. 조항 1 내지 조항 13 중 어느 한 조항에 있어서, 초기 마스크 패턴 및/또는 마스크 패턴은 곡선형 마스크 패턴인, 마스크 패턴을 결정하는 방법.
15. 곡선형 마스크 패턴의 레벨 세트 함수를 재구성하는 방법으로서,
(i) 곡선형 마스크 패턴 및 대응하는 임계 값, (ii) 곡선형 마스크 패턴으로부터 렌더링된 초기 이미지를 획득하는 단계; 및
프로세서를 통해, 곡선형 마스크 패턴의 보간된 값과 임계 값 사이의 차이가 감소되도록 초기 이미지를 반복적으로 수정하여 곡선형 마스크 패턴의 레벨 세트 함수를 생성하는 단계
를 포함하는, 레벨 세트 함수를 재구성하는 방법.
16. 조항 15에 있어서, 상기 마스크 이미지는 복수의 픽셀을 포함하는 픽셀화된 이미지이며, 각각의 픽셀은 마스크 패턴의 피처를 나타내는 픽셀 값을 갖는, 레벨 세트 함수를 재구성하는 방법.
17. 조항 15 내지 조항 16 중 어느 한 조항에 있어서, 레벨 세트 함수를 생성하는 단계는
곡선형 마스크 패턴을 따라 위치 세트를 식별하는 단계;
마스크 이미지 내의 위치 세트에 대응하는 픽셀의 픽셀 값에 기초하여 레벨 세트 함수의 출력 값을 결정하는 단계 - 레벨 세트 함수는 마스크 이미지의 곡선형 마스크 패턴을 나타내는 수학적 함수이다 -;
레벨 세트 함수의 출력 값과 임계 값 사이의 전체 차이를 결정하는 단계; 및
전체 차이가 감소되게, 마스크 이미지의 픽셀의 하나 이상의 픽셀 값을 수정하는 단계
를 포함하는, 레벨 세트 함수를 재구성하는 방법.
18. 조항 15 내지 조항 17 중 어느 한 조항에 있어서, 마스크 이미지는 곡선형 마스크 패턴의 렌더링된 마스크 이미지인, 레벨 세트 함수를 재구성하는 방법.
19. 조항 15 내지 조항 18 중 어느 한 조항에 있어서,
현재 패치에 대해, 경계 영역에서 이웃하는 패치의 다각형을 획득하는 단계 - 현재 패치 및 이웃하는 패치는 곡선형 마스크 패턴의 일부임 -;
이웃하는 패치의 다각형의 레벨 세트 함수를 재구성하는 단계;
재구성된 레벨 세트 함수에 기초하여, 현재 패치의 경계 영역에서의 함수 값을 결정하는 단계; 및
함수 값을 사용하는 광학 근접 보정 프로세스를 통해, 전체 현재 패치의 곡선형 마스크 패턴을 조정하는 단계
를 더 포함하는, 레벨 세트 함수를 재구성하는 방법.
20. 조항 19에 있어서, 복수의 패치를 획득하는 단계는
곡선형 마스크 패턴을 복수의 패치로 분해하는 단계를 포함하며, 곡선형 마스크 패턴은 인접한 패치 사이의 패치 경계에서 분할되는, 레벨 세트 함수를 재구성하는 방법.
21. 프로세서로 하여금 조항 1 내지 조항 20 중 어느 한 조항의 방법을 수행하게 하기 위한 머신 판독 가능 명령을 포함하는 비일시적 컴퓨터 프로그램 제품.
본 명세서에 개시된 개념은 서브 파장 피처를 이미지화하기 위한 임의의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수도 있으며, 점점 더 짧은 파장을 생성할 수 있는 신생의 이미징 기술에 특히 유용할 수도 있다. 이미 사용중인 신생의 기술로는 EUV(extreme ultra violet), ArF 레이저를 사용하여 193nm 파장 그리고 심지어 불소 레이저를 사용하여 157nm 파장을 생성할 수 있는 DUV 리소그래피를 포함한다. 또한, EUV 리소그래피는 싱크로트론을 사용하여 또는 고 에너지 전자로 재료(고체 또는 플라즈마)을 부딪치게 하여 20-5nm 범위내의 파장을 생성하여 이 범위 내에서 광자를 생성할 수 있다.
본 명세서에 개시된 개념은 실리콘 웨이퍼와 같은 기판 상의 이미징에 사용될 수 있지만, 개시된 개념은 예를 들어, 실리콘 웨이퍼 이외의 기판상의 이미징에 사용되는 것과 같은 임의의 유형의 리소그래피 이미징 시스템과 함께 사용될 수 있음을 이해해야 한다.
위의 설명은 제한이 아닌 설명을 위해 의도된 것이다. 따라서, 아래에 제시된 청구항의 범위를 벗어나지 않고서 설명된 바와 같은 수정이 이루어질 수 있다는 것이 기술 분야의 숙련된 자에게 명백할 것이다.

Claims (10)

  1. 곡선형 마스크 패턴의 레벨 세트 함수를 재구성하는 방법으로서,
    (i) 상기 곡선형 마스크 패턴 및 대응하는 임계 값, (ii) 상기 곡선형 마스크 패턴으로부터 렌더링된 초기 이미지를 획득하는 단계; 및
    프로세서를 통해, 상기 곡선형 마스크 패턴의 보간된 값과 상기 임계 값 사이의 차이가 감소되도록 상기 초기 이미지를 반복적으로 수정하여 상기 곡선형 마스크 패턴의 상기 레벨 세트 함수를 생성하는 단계
    를 포함하는, 레벨 세트 함수를 재구성하는 방법.
  2. 제1항에 있어서,
    마스크 이미지는 상기 곡선형 마스크 패턴의 렌더링된 마스크 이미지인, 레벨 세트 함수를 재구성하는 방법.
  3. 제2항에 있어서,
    상기 마스크 이미지는 복수의 픽셀을 포함하는 픽셀화된 이미지이며, 각각의 픽셀은 마스크 패턴의 피처를 나타내는 픽셀 값을 갖는, 레벨 세트 함수를 재구성하는 방법.
  4. 제2항에 있어서,
    상기 레벨 세트 함수를 생성하는 단계는:
    상기 곡선형 마스크 패턴을 따라 위치 세트를 식별하는 단계;
    상기 마스크 이미지 내의 위치 세트에 대응하는 픽셀의 픽셀 값에 기초하여 상기 레벨 세트 함수의 출력 값을 결정하는 단계 - 상기 레벨 세트 함수는 상기 마스크 이미지의 상기 곡선형 마스크 패턴을 나타내는 수학적 함수임 -;
    상기 레벨 세트 함수의 출력 값과 임계 값 사이의 전체 차이를 결정하는 단계; 및
    전체 차이가 감소되게, 상기 마스크 이미지의 픽셀의 하나 이상의 픽셀 값을 수정하는 단계
    를 포함하는, 레벨 세트 함수를 재구성하는 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    현재 패치에 대해, 경계 영역에서 이웃하는 패치의 다각형을 획득하는 단계 - 현재 패치 및 이웃하는 패치는 상기 곡선형 마스크 패턴의 일부임 -;
    이웃하는 패치의 다각형의 레벨 세트 함수를 재구성하는 단계;
    재구성된 레벨 세트 함수에 기초하여, 현재 패치의 경계 영역에서의 함수 값을 결정하는 단계; 및
    함수 값을 사용하는 광학 근접 보정 프로세스를 통해, 전체 현재 패치의 곡선형 마스크 패턴을 조정하는 단계
    를 더 포함하는, 레벨 세트 함수를 재구성하는 방법.
  6. 제5항에 있어서,
    상기 경계 영역에서 이웃하는 패치의 다각형을 획득하는 단계는:
    상기 곡선형 마스크 패턴을 복수의 패치로 분해하는 단계를 포함하며, 상기 곡선형 마스크 패턴은 인접한 패치 사이의 패치 경계에서 분할되는, 레벨 세트 함수를 재구성하는 방법.
  7. 제1항에 있어서,
    상기 곡선형 마스크 패턴은 주 곡선형 피처 및 상기 주 곡선형 피처를 둘러싸는 곡선형 보조 피처를 더 포함하는, 레벨 세트 함수를 재구성하는 방법.
  8. 제1항에 있어서,
    성능 메트릭을 개선하도록 경계 처리 프로세스에 의해 상기 곡선형 마스크 패턴을 수정하는 단계를 더 포함하고,
    상기 경계 처리 프로세스는 패치 경계 주위의 영역으로 제한되거나 국한되는, 레벨 세트 함수를 재구성하는 방법.
  9. 제5항에 있어서,
    상기 현재 패치에 대해, 이웃하는 패치의 최종 결과를 경계 영역에 있는 우선순위 다각형으로서 획득하는 단계를 더 포함하는, 레벨 세트 함수를 재구성하는 방법.
  10. 프로세서로 하여금 제1항 내지 제9항 중 어느 한 항의 방법을 수행하게 하기 위한 머신 판독 가능 명령을 포함하는 비일시적 컴퓨터 프로그램 제품.
KR1020247011520A 2018-12-28 2019-11-18 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법 KR20240052072A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862785981P 2018-12-28 2018-12-28
US62/785,981 2018-12-28
KR1020217019633A KR102656552B1 (ko) 2018-12-28 2019-11-18 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
PCT/EP2019/081574 WO2020135946A1 (en) 2018-12-28 2019-11-18 Method for generating patterning device pattern at patch boundary

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217019633A Division KR102656552B1 (ko) 2018-12-28 2019-11-18 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법

Publications (1)

Publication Number Publication Date
KR20240052072A true KR20240052072A (ko) 2024-04-22

Family

ID=68696370

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217019633A KR102656552B1 (ko) 2018-12-28 2019-11-18 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
KR1020247011520A KR20240052072A (ko) 2018-12-28 2019-11-18 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217019633A KR102656552B1 (ko) 2018-12-28 2019-11-18 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법

Country Status (5)

Country Link
US (2) US11797748B2 (ko)
KR (2) KR102656552B1 (ko)
CN (1) CN113227899A (ko)
TW (2) TWI828011B (ko)
WO (1) WO2020135946A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4208754A1 (en) * 2020-10-08 2023-07-12 Siemens Industry Software Inc. Optical proximity correction for free form shapes
US20220299884A1 (en) * 2021-03-19 2022-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Optimized mask stitching
WO2023180020A1 (en) * 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US6721938B2 (en) * 2001-06-08 2004-04-13 Numerical Technologies, Inc. Optical proximity correction for phase shifting photolithographic masks
WO2007019269A2 (en) 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
JP4954211B2 (ja) 2005-09-09 2012-06-13 エーエスエムエル ネザーランズ ビー.ブイ. 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
JP4922112B2 (ja) * 2006-09-13 2012-04-25 エーエスエムエル マスクツールズ ビー.ブイ. パターン分解フィーチャのためのモデルベースopcを行うための方法および装置
US8713488B2 (en) * 2007-02-28 2014-04-29 Mentor Graphics Corporation Layout design defect repair based on inverse lithography and traditional optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
JP4779003B2 (ja) * 2007-11-13 2011-09-21 エーエスエムエル ネザーランズ ビー.ブイ. フルチップ設計のパターン分解を行うための方法
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7861196B2 (en) 2008-01-31 2010-12-28 Cadence Design Systems, Inc. System and method for multi-exposure pattern decomposition
EP2093614A1 (en) * 2008-02-22 2009-08-26 Imec Split and design guidelines for double patterning
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2008966A (en) 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
US8458631B2 (en) 2011-08-11 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Cycle time reduction in data preparation
WO2013164187A1 (en) 2012-05-04 2013-11-07 Asml Netherlands B.V. Design rule and lithographic process co-optimization
US9047658B2 (en) * 2013-11-05 2015-06-02 United Microelectronics Corp. Method of optical proximity correction
CN103606193B (zh) 2013-11-29 2016-10-12 中国科学院深圳先进技术研究院 一种自适应细分方法及装置
KR102253129B1 (ko) * 2014-02-07 2021-05-18 삼성전자주식회사 더블 패터닝 공정을 위한 디자인 레이아웃 디콤포지션 방법
US20160154922A1 (en) 2014-12-01 2016-06-02 Globalfoundries Inc. Optical proximity correction taking into account wafer topography
US10437158B2 (en) 2015-12-31 2019-10-08 Asml Netherlands B.V. Metrology by reconstruction
KR20170128719A (ko) * 2016-05-13 2017-11-23 삼성전자주식회사 반도체 소자의 제조 방법
WO2018099716A1 (en) 2016-12-01 2018-06-07 Asml Netherlands B.V. Method and system for pattern configuration
CN107133944B (zh) * 2017-04-27 2020-02-07 上海华虹宏力半导体制造有限公司 用于opc验证的图形分类方法
CN111868625B (zh) 2018-03-19 2024-01-23 Asml荷兰有限公司 用于确定图案形成装置的曲线图案的方法
KR102653951B1 (ko) * 2018-09-06 2024-04-02 삼성전자주식회사 반도체 소자의 레이아웃 패턴 분할 방법 및 이를 포함하는 광 근접 보정 방법

Also Published As

Publication number Publication date
CN113227899A (zh) 2021-08-06
US11797748B2 (en) 2023-10-24
US20240095437A1 (en) 2024-03-21
TWI828011B (zh) 2024-01-01
KR102656552B1 (ko) 2024-04-12
TWI759660B (zh) 2022-04-01
TW202032255A (zh) 2020-09-01
US20220100079A1 (en) 2022-03-31
WO2020135946A1 (en) 2020-07-02
TW202208976A (zh) 2022-03-01
KR20210094040A (ko) 2021-07-28

Similar Documents

Publication Publication Date Title
US20230013919A1 (en) Machine learning based inverse optical proximity correction and process model calibration
KR102481727B1 (ko) 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법
TWI714966B (zh) 判定用於圖案化器件之光罩圖案之方法及電腦程式產品
KR102610060B1 (ko) 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
US20240095437A1 (en) Method for generating patterning device pattern at patch boundary
KR101437575B1 (ko) 기판-토포그래피-인식 리소그래피 모델링
TWI718017B (zh) 繞射圖案導引之源光罩最佳化的方法及裝置
CN109313391B (zh) 基于位移的重叠或对准
CN114746806A (zh) 使用非均匀照射强度分布进行优化
CN111492317B (zh) 用于减少抗蚀剂模型预测误差的系统和方法
US20210033978A1 (en) Systems and methods for improving resist model predictions
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
WO2023180020A1 (en) Lithographic pattern representation with curvilinear elements
TW202334739A (zh) 模擬模型穩定性判定系統及方法

Legal Events

Date Code Title Description
A107 Divisional application of patent