TW202334739A - 模擬模型穩定性判定系統及方法 - Google Patents

模擬模型穩定性判定系統及方法 Download PDF

Info

Publication number
TW202334739A
TW202334739A TW111142715A TW111142715A TW202334739A TW 202334739 A TW202334739 A TW 202334739A TW 111142715 A TW111142715 A TW 111142715A TW 111142715 A TW111142715 A TW 111142715A TW 202334739 A TW202334739 A TW 202334739A
Authority
TW
Taiwan
Prior art keywords
predicted
simulation model
portions
grid
selected portions
Prior art date
Application number
TW111142715A
Other languages
English (en)
Inventor
任佳星
陳怡吟
永發 范
梁蛟
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202334739A publication Critical patent/TW202334739A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本發明描述一種用於一模擬模型之柵格相依性檢查。根據本發明之實施例,相較於先驗柵格相依性檢查,可更快及更有效地有利執行一柵格相依性檢查。一設計佈局之某些部分經選擇及裁剪至該模型所需之最小大小,並用於產生一第二設計佈局。該等所選擇部分係相對於柵格旋轉及/或移位以形成一或多個移動部分。該第二設計佈局包括該一或多個所選擇部分及該一或多個移動部分,使得一模型化操作(例如,模型施加)需要僅僅執行一單次而非如該等先驗柵格相依性檢查中之多次。

Description

模擬模型穩定性判定系統及方法
本發明大體上係關於判定與計算微影相關聯之模擬模型穩定性。
微影投影裝置可用於(例如)積體電路(IC)之製造中。圖案化器件(例如光罩)可包括或提供對應於IC(「設計佈局」)之個別層之圖案,且可藉由諸如將已塗佈有輻射敏感材料(「抗蝕劑」)層之基板(例如矽晶圓)上之目標部分(例如包含一或多個晶粒)輻照通過圖案化器件上之圖案之方法而將此圖案轉印至目標部分上。一般而言,單一基板含有複數個鄰近目標部分,圖案係由微影投影裝置順次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型之微影投影裝置中,在一個操作中將整個圖案化器件上之圖案轉印至一個目標部分上。此裝置通常被稱作步進器。在通常被稱作步進掃描裝置之替代裝置中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化器件進行掃描,同時平行或反平行於此參考方向而同步地移動基板。將圖案化器件上之圖案之不同部分漸進地轉印至一個目標部分。因為一般而言,微影投影裝置將具有縮減比率M (例如,4),所以基板之移動速度F將為1/M時間,此時投影光束掃描圖案化器件。關於微影器件的更多資訊可見於例如以引用之方式併入本文中之US 6,046,792。
在將圖案自圖案化器件轉印至基板之前,基板可經歷各種工序,諸如,上底漆、抗蝕劑塗佈,及軟烘烤。在曝光之後,基板可經受其他工序(「後曝光工序」),諸如後曝光烘烤(PEB)、顯影、硬烘烤及對經轉印圖案之量測/檢測。此工序陣列係用作製造一器件(例如,IC)之個別層的基礎。基板接著可經受諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械研磨等各種程序,該等程序皆意欲精整器件之個別層。若在器件中需要若干層,則針對每一層來重複整個工序或其變體。最終,在基板上之每一目標部分中將存在一器件。接著藉由諸如切割或鋸割之技術來使此等器件彼此分離,使得可將個別器件安裝於載體上、連接至銷釘,等等。
製造器件(諸如半導體器件)通常涉及使用數個製造程序來處理基板(例如,半導體晶圓)以形成該等器件之各種特徵及多個層。通常使用(例如)沈積、微影、蝕刻、沈積、化學機械研磨及離子植入來製造及處理此等層及特徵。可在一基板上之複數個晶粒上製作多個器件,且接著將該等器件分離成個別器件。此器件製造程序可被認為係圖案化程序。圖案化程序涉及使用微影裝置中之圖案化器件進行圖案化步驟(諸如光學及/或奈米壓印微影)以將圖案化器件上之圖案轉印至基板,且圖案化程序通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影裝置進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻裝置而使用圖案進行蝕刻等等。
微影為在諸如IC之器件之製造時的中心步驟,其中形成於基板上之圖案界定器件之功能元件,諸如微處理器、記憶體晶片等。類似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他器件。
隨著半導體製造程序繼續前進,功能元件之尺寸已不斷地減小。同時,每器件功能元件(諸如電晶體)之數目已穩定地增加,此遵循通常被稱作「莫耳定律」之趨勢。在當前技術狀態下,使用微影投影裝置來製造器件之層,該等微影投影裝置使用來自深紫外線照明源之照明將設計佈局投影至基板上,從而產生尺寸充分低於100 nm,亦即小於來自照明源(例如193 nm照明源)之輻射的波長之一半的個別功能元件。
供印刷尺寸小於微影投影裝置之經典解析度極限之特徵的此程序根據解析度公式CD = k1×λ/NA通常稱為低k1微影,其中λ為所採用輻射之波長(當前在大多數情況下,248 nm或193 nm),NA為微影投影裝置中之投影光學件之數值孔徑,CD為「臨界尺寸」(通常為所印刷之最小特徵大小),且k1為經驗解析度因數。大體而言,k1愈小,則在基板上再生類似於由設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用至微影投影裝置、設計佈局或圖案化器件。此等方法包括例如但不限於NA及光學相干設定之最佳化、定製照明方案、使用相移圖案化器件、設計佈局中之光學近接校正(OPC,有時亦稱為「光學及程序校正」)、源光罩最佳化(SMO)或一般定義為「解析度增強技術」(RET)之其他方法。
描述一種用於一模擬模型之柵格相依性檢查。根據本發明之實施例,相較於先驗柵格相依性檢查,可更快及更有效地有利執行一柵格相依性檢查。一設計佈局之某些部分經選擇及裁剪至該模型所需之最小大小,並用於產生一第二設計佈局。該等所選擇部分係相對於柵格旋轉及/或移位以形成一或多個移動部分。該第二設計佈局包括該一或多個所選擇部分及該一或多個移動部分,使得一模型化操作(例如,模型施加)需要僅僅執行一單次而非如該等先驗柵格相依性檢查中之多次。
因此,根據一實施例,提供一種其上具有指令之非暫時性電腦可讀媒體。指令在由一或多個處理器執行時致使該一或多個處理器執行一方法。該方法包含提取第一圖案佈局之一或多個所選擇部分。第一圖案佈局覆疊於一柵格上。該方法包含相對於柵格移動一或多個所選擇部分以形成一或多個移動部分。該方法產生包含一或多個所選擇部分及一或多個移動部分的第二圖案佈局。該方法包含提供第二圖案佈局至一模擬模型以判定用於該一或多個所選擇部分及該一或多個移動部分之一或多個預測特性。
在一些實施例中,該方法進一步包含基於該一或多個預測特性判定模擬模型之穩定性。
在一些實施例中,判定穩定性包含基於第二圖案佈局運用模擬模型判定與一或多個所選擇部分及一或多個移動部分相關聯之一或多個預測特性。
在一些實施例中,基於一或多個預測特性判定模擬模型之穩定性包含該模擬模型之柵格相依性(GD)檢查。
在一些實施例中,預測特性包含用於第二圖案佈局之預測影像及/或預測幾何形狀。
在一些實施例中,判定一或多個預測特性包含產生預測影像。預測影像包含抗蝕劑影像。一或多個預測特性來源於預測影像。
在一些實施例中,預測特性包含預測幾何形狀,且預測幾何形狀包含蝕刻輪廓。
在一些實施例中,預測之特性包含用於第二圖案佈局之預測之臨界尺寸(CD)。
在一些實施例中,預測之特性包含由模擬模型針對第二圖案佈局中之一或多個所選擇部分及一或多個移動部分預測的複數個臨界尺寸。判定模擬模型之穩定性係基於複數個臨界尺寸之範圍。
在一些實施例中,相對於柵格移動一或多個所選擇部分包含相對於柵格旋轉及/或移位該一或多個所選擇部分。
在一些實施例中,一或多個所選擇部分之大小係基於模擬模型侵蝕而判定。
在一些實施例中,一或多個所選擇部分之大小係基於模擬模型侵蝕而最小化。
在一些實施例中,所選擇部分具有約1至約20微米之尺寸。
在一些實施例中,圖案佈局包含用於半導體製造程序之設計佈局。
在一些實施例中,模擬模型包含微影模擬模型。
在一些實施例中,所選擇部分具有用於極紫外線(EUV)半導體製造程序之一第一尺寸,或用於深紫外線(DUV)半導體製造程序之一第二較大尺寸。
在一些實施例中,模擬模型經組態以用於光學近接校正(OPC)程序。一或多個所選擇部分相比於由OPC程序中之模擬模型使用的部分具有較小尺寸大小。
在一些實施例中,指令進一步致使該一或多個處理器以電子方式存取第一圖案佈局。第一圖案佈局包含圖形設計系統(.GDS)或OASIS檔案。
根據另一實施例,提供一種用於判定模擬模型之穩定性的方法。該方法包含上文所描述的方法步驟中之一或多者。
根據另一實施例,提供一種用於判定模擬模型之穩定性的系統。該系統包含由機器可讀指令組態以執行上述方法步驟中之一或多者的一或多個硬體處理器。
在半導體製造中,舉例而言,可經由可指示模擬模型預測對於圖案特徵相對於設計(圖案)佈局中之底層柵格的位置之相依性的柵格相依性(GD)檢查評估模擬模型穩定性。若圖案佈局相對於柵格移位,則模擬模型預測可改變。因此,柵格相依性通常經監測並經控制用於與半導體製造及/或其他應用相關聯之模擬模型。舉例而言,在模型操作期間,指定幾何形狀可覆疊於網狀柵格上,接著可使用柵格評估該模型。經由內插獲得偏離柵格模型值(例如,用於不與柵格之一部分對準的特徵)。若模型未經恰當地組態,則可辨內插誤差可出現。此模型可有柵格相依性誤差傾向。柵格相依性造成模型不穩定性及準確度降級。柵格相依性檢查經組態以檢查及/或監測柵格相依性及模型穩定性。
根據本發明之實施例,設計(圖案)佈局之某些部分經選擇並裁剪至模型所需的最小大小,並用於產生第二設計佈局。該等所選擇部分係相對於柵格旋轉及/或移位以形成一或多個移動部分。該第二設計佈局包括該一或多個所選擇部分及該一或多個移動部分,使得一模型化操作(例如,模型施加)需要僅僅執行一單次而非如該等先驗柵格相依性檢查中之多次。
參看圖式詳細描述本發明之實施例,該等圖式提供為本發明之說明性實例以便使熟習此項技術者能夠實踐本發明。值得注意的是,以下之圖式及實例並不意欲將本發明之範疇限於單一實施例,而是藉助於所描述或所說明元件中之一些或所有之互換而使其他實施例係可能的。此外,在可部分地或完全地使用已知組件來實施本發明之某些元件之的情況下,將僅描述理解本發明所必需理解之此類已知組件之彼等部分,且將省略此類已知組件之其他部分之詳細描述以便不混淆本發明。除非本文中另外規定,否則如對於熟習此項技術者將顯而易見的是,描述為以軟體實施之實施例不應限於此,而是可包括以硬體或軟體與硬體之組合實施之實施例,且反之亦然。在本說明書中,展示單數組件之實施例不應被認為限制性的;實情為,除非本文中另有明確陳述,否則本發明意欲涵蓋包括複數個相同組件之其他實施例,且反之亦然。此外,申請人不意欲使本說明書或申請專利範圍中之任何術語歸結於不常見或特殊涵義,除非如此明確闡述。另外,本發明涵蓋本文中藉助於說明而提及之已知組件的目前及未來已知等效者。
儘管在本文中可特定地參考IC之製造,但應明確地理解,本文中之描述具有許多其他可能應用。舉例而言,其可用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等。熟習此項技術者應瞭解,在此等替代應用之情況下,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應被視為分別可與更一般之術語「光罩」、「基板」及「目標部分」互換。
在本文件中,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如具有365 nm、248 nm、193 nm、157 nm或126 nm之波長)及極紫外線(EUV輻射,例如具有在約5 nm至100 nm之範圍內之波長)。
如本文所使用之術語「投影光學件」應被廣泛地解譯為涵蓋各種類型之光學系統,包括(例如)折射光學件、反射光學件、孔徑及反射折射光學件。術語「投影光學件」亦可包括根據此等設計類型中之任一者而操作的組件,以用於集體地或單一地導向、塑形或控制投影輻射光束。術語「投影光學件」可包括微影投影裝置中之任何光學組件,而不管光學組件定位於微影投影裝置之光學路徑上之何處。投影光學件可包括用於在來自源之輻射穿過(例如半導體)圖案化器件之前塑形、調整及/或投影該輻射的光學組件,及/或用於在輻射穿過圖案化器件之後塑形、調整及/或投影該輻射的光學組件。投影光學件通常排除光源及圖案化器件。
(例如,半導體)圖案化器件可包含或可形成一或多個圖案。可利用電腦輔助設計(CAD)程式基於圖案或設計佈局而產生圖案,此程序常常稱為電子設計自動化(EDA)。大多數CAD程式遵循一預定設計規則集合,以便產生功能設計佈局/圖案化器件。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義器件(諸如閘、電容器等)或互連線之間的空間容許度,以便確保器件或線不會以不合需要的方式彼此相互作用。設計規則可包括及/或指定特定參數、關於參數之限制及/或參數範圍,及/或其他資訊。設計規則限制及/或參數中之一或多者可稱為「臨界尺寸」(CD)。器件之臨界尺寸可定義為線或孔之最小寬度或兩條線或兩個孔之間的最小空間,或其他特徵。因此,CD判定所設計器件之總體大小及密度。器件製作中之目標中之一者係在基板上如實地再生原始設計意圖(經由圖案化器件)。
如本文中所使用之術語「光罩」或「圖案化器件」可被廣泛地解譯為係指可用以向入射輻射光束賦予經圖案化橫截面之通用半導體圖案化器件,經圖案化橫截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦可用於此內容背景中。除經典光罩(透射性或反射性;二元、相移、混合式等)以外,其他此類圖案化器件之實例包括可程式化鏡面陣列及可程式化LCD陣列。
可程式化鏡面陣列之實例可為具有黏彈性控制層及反射表面之矩陣可定址表面。此裝置所隱含之基本原理為(例如):反射表面之經定址區域將入射輻射反射為繞射輻射,而未經定址區域將入射輻射反射為非繞射輻射。使用適當濾光片,可自經反射光束濾除該非繞射輻射,從而之後僅留下繞射輻射;以此方式,光束變得根據矩陣可定址表面之定址圖案而圖案化。可使用合適電子構件來執行所需矩陣定址。可程式化LCD陣列之實例在以引用之方式併入本文中之美國專利第5,229,872號中給出。
如本文中所使用,術語「圖案化程序」通常意謂作為微影程序之部分的藉由施加光之指定圖案來產生經蝕刻基板的程序。然而,「圖案化程序」亦可包括(例如,電漿)蝕刻,此係由於本文中所描述的許多特徵可提供益處至使用蝕刻(例如,電漿)處理形成經印刷圖案。
如本文中所使用,術語「圖案」意謂例如基於上文所描述之設計佈局而待蝕刻於基板(例如,晶圓)上之理想化圖案。圖案可包含例如各種形狀、特徵之配置、輪廓等。
如本文所使用,「經印刷圖案」意謂基於目標圖案蝕刻的基板上之實體圖案。印刷圖案可包括例如凹槽、溝道、凹陷、邊緣或由微影程序產生之其他兩維及三維特徵。
如本文中所使用,術語「預測模型」、「程序模型」、「電子模型」及/或「模擬模型」(其可互換使用)意謂包括模擬圖案化程序之一或多個模型之模型。舉例而言,模型可包括光學模型(例如模型化用以在微影程序中遞送光的透鏡系統/投影系統且可包括模型化進入光阻上之光之最終光學影像)、抗蝕劑模型(例如模型化抗蝕劑之物理效應,諸如歸因於光之化學效應),及OPC模型(例如可用以製造目標圖案且可包括子解析度抗蝕劑特徵(SRAF)等)、蝕刻(或蝕刻偏置)模型(例如,模擬蝕刻程序對經印刷晶圓圖案之物理效應)、源光罩最佳化(SMO)模型及/或其他模型。
如本文所用,術語「校準」」意謂修改(例如,改良或調節)及/或驗證模型、演算法及/或當前系統及/或方法之其他組件。
圖案化系統可為包含以上所描述之組件中之任一者或全部加經組態以執行與此等組件相關聯之操作中之任一者或全部的其他組件的系統。舉例而言,圖案化系統可包括微影投影裝置、掃描器、經組態以施加及/或移除抗蝕劑之系統、蝕刻系統及/或其他系統。
作為引言,圖1說明實例微影投影裝置10A之各種子系統之圖。主要組件為輻射源12A,其可為深紫外線準分子雷射源或包括極紫外線(EUV)源之其他類型之源(然而,微影投影裝置自身不必具有輻射源);照明光學件,其例如界定部分相干性(表示為標準差)且其可包括塑形來自源12A之輻射的光學件組件14A、16Aa及16Ab;圖案化器件18A;及透射光學件16Ac,其將圖案化器件圖案之影像投影至基板平面22A上。在投影光學件之光瞳平面處的可調整濾光片或孔徑20A可限定照射於基板平面22A上之光束角度之範圍,其中最大可能角度界定投影光學件之數值孔徑NA=n sin(Θ max),其中n為基板與投影光學件之最後元件之間的媒體之折射率,且Θ max為自投影光學件射出的仍可照射於基板平面22A上之光束的最大角度。
在微影投影裝置中,源將照明(亦即,輻射)提供至圖案化器件,且投影光學件經由該圖案化器件將該照明導向至基板上且塑形該照明。投影光學件可包括組件14A、16Aa、16Ab及16Ac中至少一些。空中影像(AI)為在基板位階處之輻射強度分佈。可使用抗蝕劑模型以自空中影像計算抗蝕劑影像,可在全部揭示內容據此以引用方式併入之美國專利申請公開案第US 2009-0157630號中找到此情形之實例。抗蝕劑模型係關於抗蝕劑層之性質(例如,在曝光、曝光後烘烤(PEB)及顯影期間發生的化學程序之效應)。微影投影裝置之光學性質(例如,照明、圖案化器件及投影光學件之性質)規定空中影像且可定義於光學模型中。由於可改變用於微影投影裝置中之圖案化器件,所以需要使圖案化器件之光學性質與至少包括源及投影光學件的微影投影裝置之其餘部分之光學性質分離。用以將設計佈局變換至各個微影影像(例如,空中影像、抗蝕劑影像等)、使用彼等技術及模型應用OPC且評估效能(例如,依據程序窗)的技術及模型之細節描述於美國專利申請公開案第US 2008-0301620、2007-0050749、2007-0031745、2008-0309897、2010-0162197及2010-0180251號中,前述各案之揭示內容特此以全文引用之方式併入。
可能需要使用一或多個工具來產生例如可用於設計、控制、監測等圖案化程序的結果。可提供用於計算上控制、設計等圖案化程序之一或多個態樣的一或多個工具,諸如用於圖案化器件之圖案設計(包括例如添加子解析度輔助特徵或光學近接校正)、用於圖案化器件之照明等。因此,在用於計算上控制、設計等涉及圖案化之製造程序之系統中,製造系統組件及/或程序可由各種功能模組及/或模型描述。在一些實施例中,可提供描述圖案化程序之一或多個步驟及/或裝置之一或多個電子(例如,數學、參數化、機器學習等)模型。在一些實施例中,可使用一或多個電子模型來執行圖案化程序之模擬以模擬圖案化程序使用由圖案化器件提供之圖案形成經圖案化基板之方式。
圖2中說明用於模擬微影投影裝置中之微影的例示性流程圖。照明模型231表示照明之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學件模型232表示投影光學件之光學特性(包括由投影光學件引起的對輻射強度分佈及/或相位分佈之改變)。設計佈局模型235表示設計佈局之光學特性(包括由給定設計佈局引起的對輻射強度分佈及/或相位分佈之改變),該設計佈局為在圖案化器件上或由圖案化器件形成之特徵之配置的表示。可使用照明模型231、投影光學件模型232及設計佈局模型235來模擬空中影像236。可使用抗蝕劑模型237而自空中影像236模擬抗蝕劑影像238。微影之模擬可例如預測抗蝕劑影像中之輪廓及/或CD。
更特定言之,照明模型231可表示照明之光學特性,該等光學特性包括但不限於NA-標準差(σ)設定,以及任何特定照明形狀(例如,離軸照明,諸如,環形、四極、偶極等)。投影光學件模型232可表示投影光學件之光學特性,包括例如像差、失真、折射率、實體大小或尺寸等。設計佈局模型235亦可表示實體圖案化器件之一或多個物理性質,如例如以全文引用的方式併入本文中之美國專利第7,587,704號中所描述。與微影投影裝置相關聯之光學性質(例如,照明、圖案化器件及投影光學件之性質)指示空中影像。由於微影投影裝置中使用之圖案化器件可改變,因此需要將圖案化器件之光學性質與至少包括照明及投影光學件之微影投影裝置之其餘部分的光學性質分離(因此設計佈局模型235)。
可使用抗蝕劑模型237以根據空中影像計算抗蝕劑影像,其實例可在美國專利第8,200,468號中找到,該美國專利特此以全文引用之方式併入。抗蝕劑模型與抗蝕劑層之屬性(例如,在曝光、曝光後烘烤及/或顯影期間發生的化學程序之效應)相關。
全模擬之目標中之一者係準確地預測例如邊緣置放、空中影像強度斜率及/或CD,可接著將該等邊緣置放、空中影像強度斜率及/或CD與預期設計進行比較。預期設計一般定義為可以諸如.GDS、.GDSII、.OASIS之標準化數位檔案格式或其他檔案格式提供之預OPC設計(或圖案)佈局。
根據設計(圖案)佈局,可識別稱為「剪輯」之一或多個部分。在實施例中,提取剪輯集合,其表示設計(圖案)佈局中之複雜圖案(常常為數百或數千個剪輯,但可使用任何數目個剪輯)。如熟習此項技術者應瞭解,此等剪輯表示設計之小部分(例如,電路、單元等),且該等剪輯可表示需要特定關注及/或驗證之小部分。換言之,剪輯可為設計(圖案)佈局之部分,或可為類似的或具有設計(圖案)佈局之部分的類似行為,其中臨界特徵藉由體驗(包括由客戶提供之剪輯)、試誤法或執行全晶片模擬來予以識別。剪輯可含有一或多個測試圖案或量規圖案。可由客戶基於設計(圖案)佈局中要求特定影像最佳化之已知臨界特徵區域而先驗地提供初始較大剪輯集合。替代地,在另一實施例中,初始較大剪輯集合可藉由使用識別臨界特徵區域之自動化(諸如,機器視覺)或手動演算法來自整個設計(圖案)佈局提取。
基於剪輯(及/或其他資訊),模擬及模型化可用於組態圖案化器件圖案之一或多個特徵(例如,執行光學近接校正)、照明之一或多個特徵(例如,改變照明之空間/角強度分佈之一或多個特性,諸如改變形狀),及/或投影光學件之一或多個特徵(例如,數值孔徑等)。此組態通常可分別被稱作光罩最佳化、源最佳化及投影最佳化。可獨立地執行此類最佳化或以不同組合形式組合此類最佳化。一個此類實例為源-光罩最佳化(SMO),其涉及組態圖案化器件圖案之一或多個特徵連同照明之一或多個特徵。最佳化技術可聚焦於剪輯中之一或多者。
舉例而言,可應用類似模型化技術以最佳化蝕刻程序及/或其他程序。舉例而言,在一些實施例中,照明模型231、投影光學件模型232、設計佈局模型235、抗蝕劑模型237及/或其他模型可結合蝕刻模型使用。舉例而言,自顯影後檢測(ADI)模型之輸出(例如,包括為設計佈局模型235、抗蝕劑模型237及/或其他模型之一些及/或所有)可用於判定ADI輪廓,可將該ADI輪廓提供至有效蝕刻偏置(EEB)模型以產生預測的蝕刻後檢測(AEI)輪廓。
在一些實施例中,可將系統之最佳化程序表示為成本函數。最佳化程序可包含尋找系統之最小化成本函數之參數集合(設計變數、程序變數等)。成本函數可取決於最佳化之目標而具有任何合適形式。舉例而言,成本函數可為系統之某些特性(評估點)相對於此等特性之預期值(例如,理想值)之偏差的加權均方根(RMS)。成本函數亦可為此等偏差之最大值(亦即,最差偏差)。術語「評估點」應被廣泛地解譯為包括系統或製造方法之任何特性。歸因於系統及/或方法之實施的實務性,系統之設計及/或程序變數可經限制至有限範圍及/或可相互相依。在微影投影裝置之狀況下,約束常常與硬體之物理性質及特性(諸如,可調諧範圍及/或圖案化器件可製造性設計規則)相關聯。舉例而言,評估點可包括基板之抗蝕劑影像上之實體點,以及諸如一或多個蝕刻參數、劑量及焦點等之非物理特性。
在蝕刻系統中,作為實例,可將成本函數(CF)表達為 其中 為N個設計變數或其值,且 可為設計變數 之函數,諸如,針對 之設計變數之值集合的特性之實際值與預期值之間的差。在一些實施例中, 為與 相關聯之權重常數。舉例而言,特性可為在邊緣上之給定點處量測的圖案之邊緣之位置。不同 可具有不同權重 。舉例而言,若特定邊緣具有所准許位置之窄範圍,則用於表示邊緣之實際位置與預期位置之間的差的 之權重 可被給出較高值。 亦可為層間特性之函數,層間特性又為設計變數 之函數。當然, 不限於以上等式中之形式,且 可呈任何其他合適之形式。
成本函數可表示蝕刻系統、蝕刻程序、微影裝置、微影程序或基板之任何一或多個合適特性,例如,焦點、CD、影像移位、影像失真、影像旋轉、隨機變異、產出量、局部CD變異、程序窗、層間特性或其組合。在一些實施例中,成本函數可包括表示抗蝕劑影像之一或多個特性之函數。舉例而言, 可僅僅為抗蝕劑影像中之一點至彼點之預期位置之間在例如蝕刻及/或某一其他程序之後的距離(亦即,邊緣置放誤差 )。參數(例如,設計變數)可包括任何可調整參數,諸如蝕刻系統、源、圖案化器件、投影光學件、劑量、焦點等之可調整參數。
參數(例如,設計變數)可具有約束,該等約束可表達為 ,其中 為設計變數之可能值集合。可藉由微影投影裝置之所要產出量來強加對設計變數之一個可能約束。在無藉由所要產出量強加之此約束的情況下,最佳化可得到不切實際的設計變數之值集合。約束不應被解譯為必要性。
在一些實施例中,照明模型231、投影光學件模型232、設計佈局模型235、抗蝕劑模型237、蝕刻模型及/或與積體電路製造程序相關聯及/或包括於積體電路製造程序中之其他模型可為經驗及/或其他模擬模型。經驗模型可基於各種輸入(例如,圖案之一或多個特性、圖案化器件之一或多個特性、微影程序中所使用之照明之一或多個特性,諸如波長等)之間的相關性來預測輸出。
作為一實例,經驗模型可為機器學習模型及/或任何其他參數化模型。在一些實施例中,機器學習模型(例如)可為及/或包括數學方程式、演算法、標繪圖、圖表、網路(例如神經網路),及/或其他工具及機器學習模型組件。舉例而言,機器學習模型可為及/或包括具有輸入層、輸出層及一或多個中間或隱藏層之一或多個神經網路。在一些實施例中,一或多個神經網路可為及/或包括深度神經網路(例如在輸入層與輸出層之間具有一或多個中間或隱藏層的神經網路)。
作為一實例,一或多個神經網路可基於大的神經單元(或人工神經元)集合。該一或多個神經網路可不嚴格地模仿生物大腦工作之方式(例如經由由軸突連接之大的生物神經元簇)。神經網路之各神經單元可與神經網路之許多其他神經單元連接。此類連接可加強或抑制其對所連接神經單元之激活狀態之影響。在一些實施例中,各個別神經單元可具有將所有其輸入之值組合在一起之求和函數。在一些實施例中,每一連接(或神經單元自身)可具有臨限功能,使得信號在其經允許傳播至其他神經單元之前必須超出臨限值。此等神經網路系統可為自學習及經訓練的,而非經明確程式化,且與傳統電腦程式相比,可在某些問題解決領域中顯著更佳地執行。在一些實施例中,一或多個神經網路可包括多個層(例如其中信號路徑自前端層橫穿至後端層)。在一些實施例中,可由神經網路利用反向傳播技術,其中使用前向刺激以對「前端」神經單元重設權重。在一些實施例中,對一或多個神經網路之刺激及抑制可更自由流動,其中連接以較混亂且複雜之方式相互作用。在一些實施例中,一或多個神經網路之中間層包括一或多個卷積層、一或多個重現層及/或其他層。
可使用訓練資訊之集合來訓練一或多個神經網路(亦即,判定其之參數)。訓練資訊可包括訓練樣本之集合。各樣本可為包含輸入物件(通常為向量,其可稱為特徵向量)及所要輸出值(亦稱為監督信號)之對。訓練演算法分析訓練資訊且藉由基於訓練資訊而調整神經網路之參數(例如,一或多個層之權重)來調整神經網路之行為。舉例而言,給定形式為 之N個訓練樣本之集合使得 為第i實例之特徵向量且 為其監督信號,訓練演算法尋找神經網路 ,其中 為輸入空間,且 為輸出空間。特徵向量為表示某一物件(例如,經模擬空中影像、晶圓設計、剪輯等)之數值特徵之n維向量。與此等向量相關聯之向量空間常常被稱為特徵空間。在訓練之後,神經網路可用於使用新樣本來進行預測。
作為另一實例,經驗(模擬)模型可包含一或多個演算法。一或多個演算法可為及/或包括數學方程式、標繪圖、圖表及/或其他工具及模型組件。
圖3說明根據本發明之一實施例之判定模擬模型之穩定性的例示性方法300。給定相同或類似輸入情況下,模擬模型之穩定性係指模擬模型預測及/或其他輸出之一致性。舉例而言,在一些實施例中,模擬模型包含用於半導體製造程序之微影模擬模型,且模擬模型輸出包含預測輪廓、影像及/或其他資訊。舉例而言,在一些實施例中,模擬模型經組態並用於光學近接校正(OPC)程序。在一些實施例中,方法300包含用於模擬模型之柵格相依性檢查。模擬模型可為或包括上文關於圖2所描述的模型及/或其他模型中之任一者。
柵格相依性檢查通常包括將設計(圖案)佈局(例如,多邊形及其規測點)之所選擇部分相對於與設計佈局相關聯之柵格反覆地(1)移位某一量(例如,子像素距離,例如0.1像素),及(2)施加一模擬模型至用於每一移位之所選擇部分以預測臨界尺寸直至覆蓋完整像素移位為止。舉例而言,所選擇部分可為包括多邊形及其規測點之剪輯或貼片。每一移位導致一臨界尺寸預測。全部預測臨界尺寸之範圍用作柵格相依性度量。亦即,模型經單獨地施加於貼片之每一經移位版本上。用於模擬模型之典型柵格相依性檢查非常慢,此係由於模型化程序經重複用於若干圖案移位中之每一者。每一經移位部分之大小亦通常比準確柵格相依性計算所需之大小大的多(例如,其中邊緣尺寸大於約20微米),柵格相依性計算在每一重複模型化步驟期間浪費計算資源。典型柵格相依性檢查使用與正則模型應用使用(正則模型應用通常並不用於柵格相依性檢查)之貼片大小相同的貼片大小。正則模型應用需要覆蓋大區域或甚至整個晶片佈局,且因此使用較大貼片大小以減少貼片之數目及歸因於模型侵蝕之浪費區域。相比之下,柵格相依性檢查僅僅聚焦於環繞規測點之小區域。
借助於非限制性實例,圖4說明可由圖案佈局之所選擇部分的相對柵格移位之改變引起的模型不穩定性。模擬模型預測400a及400b係至少部分地基於柵格線402與404(輸入多邊形(對於ADI模型)、輪廓(對於AEI模型)或圖案佈局之其他特徵)之相交點401、403、405、407 (作為若干實例)而判定。當柵格線402相對於多邊形/輪廓404之位置改變時,預測自預測400a改變至預測400b (及CD隨經移位輸入而改變)。
圖5說明相對於柵格504移位500設計(圖案)佈局之所選擇部分502各個量以創建經移位選擇部分506a、506b及506c,模型化所選擇部分502及經移位選擇部分506a至506c,及判定用於所選擇部分502及每一經移位選擇部分506a至506c的每一模擬模型預測520、522、524及526之對應臨界尺寸508、510、512及514的典型程序。舉例而言,此等臨界尺寸508至514之範圍可指示模擬模型之柵格相依性及/或其他模擬模型穩定性。如上文所描述,柵格相依性檢查通常包括將設計(圖案)佈局(例如,在此實例中多邊形)之所選擇部分502相對於與設計佈局相關聯之柵格504反覆地(1)移位某一量(例如,用於每一移位之子像素距離直至移位跨越此實例中之完整柵格504正方形(例如,完整像素移位)平移為止),及(2)施加模擬模型至用於每一移位之所選擇部分以預測臨界尺寸508至514,直至完整像素移位被覆蓋為止。由模擬模型針對每一移位輸出的臨界尺寸之範圍(例如,最大值-最小值)為柵格相依性度量。儘管本文所論述之實施例使用CD範圍作為度量,但本發明不限於此。涵蓋諸如偏差、標準差等之其他量度。
返回至圖3,相較於先驗模型穩定性判定及/或柵格相依性檢查,相較於先驗柵格相依性檢查,更快且更有效地執行方法300。一設計佈局之某些部分經選擇及裁剪至該模型所需之最小大小,並用於產生一第二設計佈局。該等所選擇部分係相對於柵格旋轉及/或移位以形成一或多個移動部分。該第二設計佈局包括該一或多個所選擇部分及該一或多個移動部分,使得一模型化操作(例如,模型施加)需要僅僅執行一單次而非如該等先驗柵格相依性檢查中之多次。該等所選擇部分係相對於柵格旋轉及/或移位以形成一或多個移動部分。一或多個所選擇部分及一或多個移動部分經編譯至一個設計佈局(第二設計佈局)中。相較於如先驗柵格相依性檢查中之多次,經編譯設計佈局可經提供至模擬模型且模型化操作僅僅需要執行單次以產生用於全部輸入圖案及其經移位版本之預測。
在一些實施例中,方法300包含提取302一第一圖案佈局之一或多個所選擇部分,相對於柵格移動304一或多個所選擇部分以形成一或多個移動部分,產生306包含一或多個所選擇部分及一或多個移動部分之一第二圖案佈局,提供308第二圖案佈局至一模擬模型以判定一或多個所選擇部分及一或多個移動部分之一或多個預測特性,及基於一或多個預測特性判定310模擬模型之穩定性。
在一些實施例中,非暫時性電腦可讀媒體儲存指令,該等指令在由電腦執行時使得電腦執行操作302至310中之一或多者及/或其他操作。方法300之操作意欲係說明性的。在一些實施例中,方法300可用未描述之一或多個額外操作及/或不用所論述之操作中之一或多者來實現。舉例而言,操作310及/或其他操作可係為可選的。另外,方法300之操作在圖3中說明且在本文中描述的次序並不意欲為限制性的。
在操作302處,提取(例如,第一)設計(圖案)佈局之一或多個所選擇部分。在一些實施例中,一或多個所選擇部分可基於直接自圖案佈局獲得之圖案多邊形而選擇。在一些實施例中,該一或多個所選擇部分可基於圖案佈局之圖案影像或輪廓而選擇,其中影像或輪廓可自任何合適之檢測或度量衡系統或模擬而獲得。舉例而言,選擇可基於如所量測或經模擬之圖案之空中影像、光學影像、光罩影像、抗蝕劑影像、蝕刻影像及/或晶圓影像。在一些實施例中,所選擇部分包括規測點之所有或一部分。規測點通常係基於其幾何形狀而選擇以具有不同圖案類型之良好涵蓋範圍。
在一些實施例中,(第一)圖案佈局包含用於半導體製造程序之設計佈局。(第一)圖案佈局可包括一或多個圖案。舉例而言,圖案佈局中之圖案可包括二維及/或三維幾何形狀。此可包括描述形狀之特性的資料(例如,X-Y維度資料點、描述幾何形狀之數學方程,等)、與形狀相關聯之處理參數,及/或其他資料。
圖案佈局可包含模擬、影像及電子檔案及/或其他表示。圖案佈局可包括描述圖案佈局本身之圖案之資訊及/或與圖案相關之資訊。圖案可包括圖案佈局中之輪廓的幾何形狀及/或與幾何形狀相關之資訊。使用半導體晶片作為實例,圖案佈局可包括組成晶片設計的圖案中之一或多者(例如,包括經組態以促進檢測及/或其他操作之圖案佈局結構)。此可包括溝道、突起、通孔、光柵等,如模擬、影像、.GDS檔案等中所展示。舉例而言,第一圖案佈局可包含圖形設計系統(.GDS)、OASIS檔案,及/或另一設計佈局檔案。
在一些實施例中,操作302包含以電子方式存取第一圖案佈局,及自第一圖案佈局檔案提取一或多個所選擇部分。在一些實施例中,圖案佈局包含.GDS檔案、.GDSII檔案、.OASIS檔案及/或具有其他檔案格式之電子檔案及/或圖案佈局之另一電子表示。圖案佈局可以電子方式自當前系統之一或多個其他部分(例如,自不同處理器,或自單處理器之不同部分)、自不與當前系統相關聯的遠程計算系統及/或自其他源接收。圖案佈局可無線地及/或經由電線、經由攜帶型儲存媒體及/或自其他源接收。圖案佈局可自另一源(例如,雲端儲存器)被上載及/或下載,及/或以其他方式被接收。
舉例而言,在一些實施例中,所選擇部分包含包括多邊形及對應規測點之剪輯或貼片。提取包含自佈局檔案(例如,自GDS檔案、.GDSII檔案、.OASIS檔案等)切割、獲得或另外複製第一設計(圖案)佈局之所選擇部分。舉例而言,此可由使用者運用計算器件以電子方式選擇或複製所選擇部分而執行。
在一些實施例中,一或多個所選擇部分之大小係基於模擬模型侵蝕而判定。用於特定模型之模型侵蝕為其內之圖案對評估點(例如,在中心中)具有影響的界限或範圍。對於給定貼片大小,貼片將藉由模型侵蝕自每一邊緣侵蝕以判定可獲得準確模擬結果所在的區域。因此,當貼片大小/所選擇部分大於約兩倍的模型侵蝕時,在貼片中心處之模擬結果可經準確地判定。進一步增加貼片大小將不改良中心中之模擬準確度。對於本發明模擬模型穩定性檢查,由於吾人僅僅需要準確地判定在規測點處之性質,因此吾人可最小化貼片大小以接近於模型侵蝕兩倍。
在一些實施例中,一或多個所選擇部分之大小係基於模擬模型侵蝕而判定。判定一或多個所選擇部分之大小包含判定所選擇部分之最小大小,所選擇部分當經移動,及作為第二設計(圖案)佈局(如下文所描述)之部分經提供至模擬模型時仍致使模擬模型出於模型穩定性評估目的而產生預測。判定一或多個所選擇部分之大小減少由模擬模型所需的計算資源,同時仍產生準確結果。在一些實施例中,兩倍模型侵蝕用於判定裁剪區域之大小使得在中心中產生準確CD結果。在一些實施例中,裁剪區域之大小可經進一步減少至低於兩倍的模型侵蝕。在此情況下,絕對CD值不再準確但柵格相依性值仍可係有效的此係由於其量測相對CD。減少大小可提供進一步速度改良及/或具有其他優點。
在一些實施例中,所選擇部分具有用於經組態用於極紫外線(EUV)半導體製造程序之模擬模型之某些尺寸。在一些實施例中,所選擇部分具有用於經組態用於深紫外線(DUV)半導體製造程序之模擬模型之不同尺寸。舉例而言,在一些實施例中,所選擇部分具有用於極紫外線(EUV)半導體製造程序之約3微米之尺寸,或用於深紫外線(DUV)半導體製造程序之約7微米之尺寸。在一些實施例中,如上文所描述,在光學近接校正(OPC)程序中使用或應用模擬模型,且一或多個所選擇部分相比於由模擬模型在OPC程序中使用之部分(例如,大於約20微米之邊緣尺寸)具有較小尺寸大小(例如,小於或等於約20微米之邊緣尺寸)。
在操作304處,相對於柵格移動一或多個所選擇部分以形成一或多個移動部分。舉例而言,(第一)圖案佈局覆疊於一柵格上。柵格係由特定應用及模型來判定,且並非為圖案佈局(例如,.GDS檔案)所固有。對於相同圖案佈局,柵格大小及原點可取決於模型而不同。當載入模型及圖案佈局時判定柵格。柵格包含經配置使得其相交的一系列豎直及水平線,但其他柵格組態係可能的。舉例而言,柵格提供用於圖案佈局之背景參考或圖形框架。
相對於柵格移動一或多個所選擇部分包含一或多個所選擇部分相對於柵格的旋轉、移位及/或移動。舉例而言,旋轉包含環繞二維平面中之旋轉軸相對於柵格移動(旋轉、自旋等)一或多個所選擇部分中的圖案或圖案之一部分的特徵。移位包含相對於柵格在x及/或y方向上(例如,在二維平面中)平移一或多個所選擇部分中之圖案或圖案之部分的特徵及/或相對於柵格之任何其他非旋轉移動。在一些實施例中,相對於柵格移動一或多個所選擇部分包含相對於柵格移動所選擇部分之多邊形及/或規測點的圖形(例如,x、y)座標。舉例而言,此可包括對此類座標執行的數學旋轉、移位、平移及/或其他操作。可形成任何數目個移動部分。
在一些實施例中,移動包含相對於柵格的子像素移位之增量。舉例而言,所選擇部分可包括多邊形及其規測點。移動所選擇部分可包括相對於柵格將多邊形及其規測點旋轉及/或移位(例如,旋轉、在x及/或y方向上之平移,或二者)某一子像素量(例如,0.1像素)。
在一些實施例中,相同所選擇部分可經移動若干次以使得若干移動部分由相同所選擇部分形成。此可包括遞增地旋轉及/或移位所選擇部分若干次以形成若干移動部分。舉例而言,圖案佈局之單一部分可經選擇、提取,且接著經複製若干次。複本中之每一者可如所描述遞增地旋轉及/或移位。選擇、提取及遞增旋轉及/或移位可經類似地重複用於其他所選擇部分。
在操作306處,產生包含一或多個所選擇部分及一或多個移動部分之另一(例如,第二)圖案佈局。操作306包含將全部提取選擇部分及其移動部分整合至複合(例如,第二)圖案佈局中。舉例而言,此複合第二圖案佈局可為第二.GDS、.GDSII或.OASIS檔案。複合第二圖案佈局可例如經配置成列及/或行,及/或具有其他佈局。在此實例中,列可由所選擇部分及其對應遞增移動部分形成,其中行由其他所選擇部分及其對應遞增移動部分形成。
舉例而言,圖6說明產生包含一第一圖案佈局650之一或多個所選擇部分600及一或多個移動部分602的另一(例如,第二)圖案佈局603。在圖6中所展示之實例中,一或多個所選擇部分600及一或多個移動部分602係用於環繞規測點的區域(作為一個實例,環繞規測點604之區域650)之不同規測點604、606、608、610及612。應注意,僅僅兩個規測點604及612明確地展示為(分別)自圖案佈局650提取620及622,但其他規測點經類似地提取。如圖6中所展示,所選擇部分600 (例如,用於規測點604、606、608、610及612)可移動若干次以使得若干移動部分602由相同所選擇部分600形成。此可包括遞增地601旋轉及/或移位所選擇部分600若干次以形成若干移動部分602。舉例而言,圖案佈局650之單一部分630或632可經選擇、經提取620或622,且接著經複製若干次。複本中之每一者可如所描述遞增地旋轉及/或移位(例如,參見用於部分630或632中之每一者的移動部分602)。舉例而言,選擇、提取及遞增旋轉及/或移位可類似地重複用於規測點606、608及610之其他所選擇部分。全部提取選擇部分600及其移動部分602經整合至複合(例如,第二)圖案佈局603中。舉例而言,此複合第二圖案佈局603可為第二.GDS、.GDSII或.OASIS檔案。複合第二圖案佈局603可例如如圖6中所展示經配置成列及/或行,及/或具有其他佈局。
返回至圖3,在操作308處,第二圖案佈局經提供至模擬模型。提供第二圖案佈局至模擬模型以判定用於一或多個所選擇部分及一或多個移動部分之一或多個預測特性。在一些實施例中,如上文所描述,模擬模型包含用於半導體製造程序之微影模擬模型。第二圖案佈局需要僅僅單次經提供用於模型施加操作,而不是對應於如在先驗柵格相依性檢查中之每一圖案移位的多次。
一或多個預測特性係基於第二圖案佈局及/或其他資訊而判定。在一些實施例中,預測特性包含預測影像、預測幾何形狀、預測臨界尺寸(CD)、預測邊緣置放誤差(EPE)、預測邊緣置放(EP)及/或用於第二圖案佈局(例如,圖案佈局包含一或多個所選擇部分及一或多個移動部分)之其他資訊。在一些實施例中,判定一或多個預測特性包含產生預測影像。預測影像可包含例如抗蝕劑影像,且一或多個預測特性來源於該預測影像。舉例而言,在一些實施例中,預測特性包含預測幾何形狀,且預測幾何形狀包含蝕刻輪廓。在一些實施例中,預測之特性包含用於第二圖案佈局之預測之臨界尺寸(CD)。在一些實施例中,預測之特性包含由模擬模型針對第二圖案佈局中之一或多個所選擇部分及一或多個移動部分預測的複數個臨界尺寸。
在操作310處,模擬模型之穩定性係基於一或多個預測特性而判定。判定穩定性包含運用模擬模型判定與一或多個所選擇部分及一或多個移動部分相關聯的一或多個預測特性。在一些實施例中,基於一或多個預測特性及/或其他資訊判定模擬模型之穩定性包含模擬模型之柵格相依性(GD)檢查。
舉例而言,在一些實施例中,操作308包含在不同旋轉及/或移位(例如,移動部分)情況下將模擬模型僅僅一次施加至第二圖案佈局上以產生所選擇部分之全部特性(例如,CD),且操作310包含使用特性(例如,CD)以導出柵格相依性度量。作為一個實例,判定模擬模型之穩定性可基於複數個CD之範圍。其他實例包括任何特性之範圍/變化/標準差,諸如CD、邊緣置放、邊緣置放誤差等;輪廓間差異;在評估位置處的模型信號之範圍;等。
在一些實施例中,操作310包含提供經判定模擬模型穩定性(例如,柵格相依性度量)、預測特性及/或其他資訊用於各個下游應用。在一些實施例中,操作310包括提供此資訊用於模擬模型調整、圖案及/或程序調整,及/或用於其他原因。提供可包括以電子方式發送、上載及/或另外輸入此資訊至計算器件。在一些實施例中,計算器件可運用指令整體地程式化,該等指令引起操作302至310中之其他操作(例如,使得不需要「提供」,且實際上資料簡單地直接流動至計算器件)。
舉例而言,經判定模擬模型穩定性、預測特性及/或其他資訊可經提供以調節及/或另外校準本文中所描述的模擬模型及/或一或多個其他機器學習模擬模型。機器學習模擬模型可與光學近接校正(OPC)、熱點或缺陷預測,及/或用於半導體微影程序之源光罩最佳化(SMO)及/或其他操作相關聯。
可基於預測特性、來自上文所描述的模擬模型之輸出及/或其他資訊進行對半導體製造程序的調整。舉例而言,調整可包括改變一或多個半導體製造程序參數。調整可包括圖案參數改變(例如,大小、位置及/或其他設計變數),及/或任何可調整參數,諸如蝕刻系統、源、圖案化器件、投影光學件、劑量、焦點等之可調整參數。參數可自動地或以其他方式由處理器(例如,電腦控制器)電調整,由使用者手動地調變或以其他方法調整。在一些實施例中,可判定參數調整(例如,應改變給定參數之量),且可例如自事前參數設定點將參數調整至新參數設定點。
圖7為可用於本文中所描述之操作中之一或多者的實例電腦系統CS之圖。電腦系統CS包括用於傳達資訊之匯流排BS或其他通信機構,及與匯流排BS耦接以處理資訊之處理器PRO (或多個處理器)。電腦系統CS亦包括耦接至匯流排BS以用於儲存待由處理器PRO執行之資訊及指令的主記憶體MM,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體MM亦可用於在處理器PRO執行指令期間儲存暫時性變數或其他中間資訊。電腦系統CS進一步包括耦接至匯流排BS以用於儲存用於處理器PRO之靜態資訊及指令的唯讀記憶體(ROM) ROM或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件SD,且將其耦接至匯流排BS以用於儲存資訊及指令。
電腦系統CS可經由匯流排BS耦接至用於向電腦使用者顯示資訊之顯示器DS,諸如陰極射線管(CRT),或平板或觸控面板顯示器。包括文數字及其他按鍵之輸入器件ID耦接至匯流排BS以用於將資訊及命令選擇傳達至處理器PRO。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器PRO且用於控制顯示器DS上之游標移動的游標控制件CC,諸如滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個軸線(第一軸(例如,x)及第二軸(例如,y))上之兩個自由度,從而允許該器件指定平面中之位置。觸控面板(螢幕)顯示器亦可被用作輸入器件。
在一些實施例中,本文中所描述之一或多種方法的部分可由電腦系統CS回應於處理器PRO執行主記憶體MM中所含有之一或多個指令的一或多個序列而執行。可將此等指令自另一電腦可讀媒體(諸如儲存器件SD)讀取至主記憶體MM中。主記憶體MM中所包括之指令序列的執行使得處理器PRO執行本文中所描述之程序步驟(操作)。呈多處理佈置之一或多個處理器亦可用於執行主記憶體MM中所含有之指令序列。在一些實施例中,可代替或結合軟體指令而使用硬接線電路系統。因此,本文中之描述不限於硬體電路及軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」及/或「機器可讀媒體」指代參與將指令提供至處理器PRO以供執行之任何媒體。此媒體可採取許多形式,包括(但不限於)非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存器件SD。揮發性媒體包括動態記憶體,諸如主記憶體MM。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排BS之導線。傳輸媒體亦可採取聲波或光波之形式,諸如,在射頻(RF)及紅外線(IR)資料通信期間產生之聲波或光波。電腦可讀媒體可為非暫時性的,例如軟碟、可撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣。非暫時性電腦可讀媒體可具有記錄於其上之指令。該等指令在由電腦執行時可實施本文中所描述之操作中之任一者。暫時性電腦可讀媒體可包括例如載波或其他傳播電磁信號。
可在將一或多個指令之一或多個序列攜載至處理器PRO以供執行時涉及電腦可讀媒體之各種形式。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體內,且使用數據機經由電話線而發送指令。在電腦系統CS本端之數據機可接收電話線上之資料,且使用紅外線傳輸器將資料轉換為紅外線信號。耦接至匯流排BS之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排BS上。匯流排BS將資料攜載至主記憶體MM,處理器PRO自該主記憶體擷取且執行指令。由主記憶體MM接收之指令可視情況在由處理器PRO執行之前或之後儲存於儲存器件SD上。
電腦系統CS亦可包括耦接至匯流排BS之通信介面CI。通信介面CI提供與網路鏈路NDL之雙向資料通信耦接,該網路鏈路NDL連接至區域網路LAN。舉例而言,通信介面CI可為整合服務數位網路(ISDN)卡或數據機以提供與相應類型之電話線的資料通信連接。作為另一實例,通信介面CI可為區域網路(LAN)卡以提供與相容LAN的資料通信連接。亦可實施無線鏈路。在任何此實施中,通信介面CI發送且接收攜載表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。
網路鏈路NDL通常經由一或多個網路提供與其他資料器件之資料通信。舉例而言,網路鏈路NDL可經由區域網路LAN提供與主電腦HC之連接。此可包括經由全球封包資料通信網路(現在通常稱為「網際網路」INT)而提供資料通信服務。區域網路LAN (網際網路)可使用攜載數位資料串流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路資料鏈路NDL上且經由通信介面CI之信號為輸送資訊的例示性載波形式,該等信號將數位資料攜載至電腦系統CS且自該電腦系統攜載數位資料。
電腦系統CS可經由網路、網路資料鏈路NDL及通信介面CI發送訊息及接收資料(包括程式碼)。在網際網路實例中,主機電腦HC可經由網際網路INT、網路資料鏈路NDL、區域網路LAN及通信介面CI傳輸用於應用程式之經請求程式碼。舉例而言,一個此經下載應用程式可提供本文中所描述之方法的全部或部分。所接收程式碼可在接收其時由處理器PRO執行,且/或儲存於儲存器件SD或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統CS可獲得呈載波之形式之應用程式碼。
圖8為根據實施例之微影投影裝置的示意圖。微影投影裝置可包括照明系統IL、第一物件台MT、第二物件台WT及投影系統PS。照明系統IL可調節輻射光束B。在此實例中,照明系統亦包含輻射源SO。第一物件台(例如,圖案化器件台) MT可具備用以固持圖案化器件MA (例如,倍縮光罩)之圖案化器件固持器,且連接至用以相對於項目PS來準確地定位圖案化器件之第一定位器。第二物件台(例如,基板台) WT可具備用以固持基板W (例如,抗蝕劑塗佈矽晶圓)之基板固持器,且連接至用以相對於項目PS來準確地定位該基板的第二定位器。投影系統(例如,其包括透鏡) PS (例如折射、反射或反射折射光學系統)可將圖案化器件MA之經輻照部分成像至基板W之目標部分C (例如,包含一或多個晶粒)上。可使用例如圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件MA及基板W。
如所描繪,該裝置可屬於透射類型((亦即,具有透射圖案化器件)。然而,一般而言,其亦可屬於反射類型,例如(具有反射圖案化器件)。裝置可採用與經典光罩不同種類之圖案化器件;實例包括可程式化鏡面陣列或LCD矩陣。
源SO(例如,汞燈或準分子雷射、LPP(雷射產生電漿)EUV源)產生輻射光束。舉例而言,此光束係直接地或在已橫穿諸如光束擴展器或光束遞送系統BD (包含導向鏡、光束擴展器等)之調節構件之後饋入至照明系統(照明器) IL中。照明器IL可包含調整構件AD,以用於設定光束中之強度分佈之外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,其通常將包含各種其他組件,諸如,積光器IN及聚光器CO。以此方式,照射於圖案化器件MA上之光束B在其橫截面中具有所要均勻性及強度分佈。
在一些實施例中,源SO可在微影投影裝置之外殼內(常常為在源SO為例如汞燈時之情況),但其亦可遠離微影投影裝置。舉例而言,源產生之輻射光束可(例如,藉助於合適之導向鏡面)經導引至裝置中。此後一情境可為例如在源SO為準分子雷射器(例如,基於KrF、ArF或F2雷射作用)時之狀況。
光束B可隨後攔截固持於圖案化器件台MT上之圖案化器件MA。在已橫穿圖案化器件MA的情況下,光束B可傳遞通過透鏡PL,該透鏡將光束B聚焦至基板W之目標部分C上。藉助於第二定位構件(及干涉量測構件IF),可準確地移動基板台WT,例如以使不同目標部分C定位於光束B之路徑中。類似地,第一定位構件可用於例如在自圖案化器件庫機械擷取圖案化器件MA之後或在掃描期間相對於光束B之路徑來準確地定位圖案化器件MA。大體而言,可藉助於長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在步進器(相對於步進掃描工具)之情況下,圖案化器件台MT可連接至短衝程致動器,或可為固定的。
可在兩種不同模式-步進模式及掃描模式中使用所描繪工具。在步進模式中,將圖案化器件台MT保持基本上靜止,且將整個圖案化器件影像在一個操作中投影(亦即,單次「閃光」)至目標部分C上。可使基板台WT在x及/或y方向上移位,使得不同目標部分C可由光束B輻照。在掃描模式中,基本上相同的情形適用,惟不在單次「閃光」中曝光給定目標部分C除外。替代地,圖案化器件台MT可以速度v在給定方向(例如「掃描方向」,或「y」方向)上移動,使得使投影光束B遍及圖案化器件影像進行掃描。同時,基板台WT以速度V = Mv在相同方向或相對方向上同時移動,其中M為透鏡之放大率(通常M = 1/4或1/5)。以此方式,可在不必損害解析度的情況下曝光相對大目標部分C。
圖9為可用於及/或有助於本文中所描述之操作中之一或多者的另一微影投影裝置(LPA)之示意圖。LPA可包括源收集器模組SO、經組態以調節輻射光束B (例如EUV輻射)的照明系統(照明器) IL、支撐結構MT、基板台WT及投影系統PS。支撐結構(例如,圖案化器件台) MT可經建構以支撐圖案化器件(例如,光罩或倍縮光罩) MA且連接至經組態以準確地定位圖案化器件之第一定位器PM。基板台(例如晶圓台) WT可經建構以固持基板(例如抗蝕劑塗佈晶圓) W並連接至經組態以準確地定位基板的第二定位器PW。投影系統(例如,反射投影系統) PS可經組態以將由圖案化器件MA賦予給輻射光束B之圖案投影於基板W的目標部分C (例如,包含一或多個晶粒)上。
如在此實例中所展示,LPA可屬於反射類型(例如,採用反射圖案化器件)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以圖案化器件可具有包含(例如)鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可運用X射線微影來產生甚至更小的波長。因為大多數材料在EUV及x射線波長下具吸收性,所以圖案化器件構形上之經圖案化吸收材料薄片段(例如,多層反射器之頂部上之TaN吸收器)界定特徵將印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)之處。
照明器IL可自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但未必限於用在EUV範圍內之一或多種發射譜線將具有至少一元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿(「LPP」))中,可藉由用雷射光束來輻照燃料(諸如,具有譜線發射元素之材料小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射(圖9中未展示)的EUV輻射系統之部件,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射(例如EUV輻射),該輸出輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為分離實體。在此實例中,可不認為雷射形成微影裝置之部分,且輻射光束可憑藉包含例如合適導向鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他實例中,舉例而言,當源為放電產生電漿EUV產生器(通常稱為DPP源)時,源可為源收集器模組之整體部分。
照明器IL可包含用於調整輻射光束之角強度分佈的調整器。通常,可調整照明器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如,琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可用以調節輻射光束,以在其橫截面中具有所要均勻性及強度分佈。
輻射光束B可入射於固持於支撐結構(例如,圖案化器件台) MT上之圖案化器件(例如,光罩) MA上,且由該圖案化器件來圖案化。在自圖案化器件(例如,光罩) MA反射之後,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。藉助於第二定位器PW及位置感測器PS2 (例如,干涉量測器件、線性編碼器或電容式感測器),可準確地移動基板台WT(例如,以便使不同目標部分C定位於輻射光束B之路徑中)。類似地,第一定位器PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確地定位圖案化器件(例如,光罩) MA。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩)MA與基板W。
所描繪之裝置LPA可用於以下模式中之至少一者:步進模式、掃描模式及靜止模式。在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如,圖案化器件台) MT及基板台WT保持基本上靜止(例如,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,以使得可曝光不同目標部分C。在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如,圖案化器件台) MT及基板台WT (亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,圖案化器件台) MT之速度及方向。在靜止模式中,使固持可程式化圖案化器件之支撐結構(例如圖案化器件台) MT保持基本上靜止,且在將經賦予至輻射光束之圖案投影至目標部分C上的同時移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間的順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如,上文所提及之類型之可程式化鏡面陣列)之無光罩微影。
圖10為圖9中所展示之微影投影裝置之詳細視圖。如圖10中所展示,LPA可包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經組態以使得可將真空環境維持於源收集器模組SO之圍封結構220中。可藉由放電產生電漿源來形成EUV輻射發射電漿210。可藉由氣體或蒸汽(例如Xe氣體、Li蒸汽或Sn蒸汽)產生EUV輻射,其中產生熱電漿210以發射在電磁光譜之EUV範圍內之輻射。藉由例如產生至少部分地離子化之電漿之放電來產生熱電漿210。為了輻射之有效率產生,可需要為(例如) 10 Pa之分壓之Xe、Li、Sn蒸汽或任何其他合適氣體或蒸汽。在一些實施例中,提供受激發錫(Sn)之電漿以產生EUV輻射。
由熱電漿210發射之輻射係經由定位於源腔室211中之開口中或後方的視情況選用的氣體障壁或污染物截留器230 (在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染物截留器230亦可包括氣體障壁,或氣體障壁與通道結構之組合。污染物截留器或污染物障壁截留器230 (下文所描述)亦包括通道結構。收集器腔室211可包括可為掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵濾光片240反射以沿著由線「O」指示之光軸聚焦於虛擬源點IF。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置以使得中間焦點IF位於圍封結構220中之開口221處或靠近開口221。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面器件22及琢面化光瞳鏡面器件24,琢面化場鏡面器件22及琢面化光瞳鏡面器件24經配置以提供在圖案化器件MA處之輻射光束21之所要角分佈,以及在圖案化器件MA處之輻射強度之所要均一性。在由支撐結構MT固持之圖案化器件MA處反射輻射光束21後,即形成經圖案化光束26,且經圖案化光束26由投影系統PS經由反射元件28、30成像至由基板台WT固持之基板W上。比所展示元件多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於例如微影裝置之類型,可視情況存在光柵濾光片240。此外,可存在比諸圖中所展示之鏡面更多的鏡面,例如,與圖10中所展示相比,在投影系統PS中可存在1至6個額外反射元件。
如圖10中所說明之收集器光學件CO被描繪為具有掠入射反射器253、254及255之巢狀收集器,僅僅作為收集器(或收集器鏡面)之一實例。掠入射反射器253、254及255經安置成圍繞光軸O軸向地對稱,且此類型之收集器光學件CO可結合常常被稱為DPP源之放電產生電漿源而使用。
圖11為微影投影裝置LPA (先前圖中所展示)之源收集器模組SO之詳細視圖。源收集器模組SO可為LPA輻射系統之部分。雷射LA可經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數十電子伏特之電子溫度之高度離子化電漿210。在此等離子之去激發及再組合期間產生之高能輻射係自電漿發射、由近正入射收集器光學件CO收集,且聚焦至圍封結構220中之開口221上。
本文中所揭示之概念可模擬或數學上模型化用於子波長特徵之任何通用成像、蝕刻、研磨、檢測等系統,且可用於能夠產生愈來愈短波長之新興成像技術。新興技術包括極紫外線(EUV),DUV微影能夠藉由使用ArF雷射來產生193 nm之波長且甚至能夠藉由使用氟雷射來產生157 nm之波長。此外,EUV微影能夠藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體或電漿)而產生在20 nm至50 nm之範圍內的波長,以便產生在此範圍內之光子。
本發明之實施例可藉由以下條項進一步描述。 1.  一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一或多個處理器執行時致使該一或多個處理器執行一方法,該方法包含: 提取一第一圖案佈局之一或多個所選擇部分,該第一圖案佈局覆疊於一柵格上; 相對於該柵格移動該一或多個所選擇部分以形成一或多個移動部分; 產生包含該一或多個所選擇部分及該一或多個移動部分之一第二圖案佈局;及 提供該第二圖案佈局至一模擬模型以判定用於該一或多個所選擇部分及該一或多個移動部分之一或多個預測特性。 2.  如條項1之媒體,其中該方法進一步包含: 基於該一或多個預測特性判定該模擬模型之一穩定性。 3.  如條項2之媒體,其中該判定該穩定性包含運用該模擬模型基於該第二圖案佈局判定與該一或多個所選擇部分及該一或多個移動部分相關聯的一或多個預測特性。 4.  如條項2或3之媒體,其中基於該一或多個預測特性判定該模擬模型之該穩定性包含該模擬模型之一柵格相依性(GD)檢查。 5.  如條項1至4中任一項之媒體,其中一預測特性包含用於該第二圖案佈局之一預測影像及/或一預測幾何形狀。 6.  如條項5之媒體,其中判定該一或多個預測特性包含產生該預測影像,該預測影像包含一抗蝕劑影像,且該一或多個預測特性來源於該預測影像。 7.  如條項5之媒體,其中該預測特性包含該預測幾何形狀,且該預測幾何形狀包含一蝕刻輪廓。 8.  如條項1至7中任一項之媒體,其中一預測特性包含用於該第二圖案佈局之一預測臨界尺寸(CD)。 9.  如條項8之媒體,其中該預測特性包含由該模擬模型針對該第二圖案佈局中之該一或多個所選擇部分及該一或多個移動部分預測的複數個臨界尺寸,且其中判定該模擬模型之一穩定性係基於該複數個臨界尺寸之一範圍。 10.   如條項1至9中任一項之媒體,其中相對於該柵格移動該一或多個所選擇部分包含相對於該柵格旋轉及/或移位該一或多個所選擇部分。 11.    如條項1至10中任一項之媒體,其中該一或多個所選擇部分之一大小係基於模擬模型侵蝕而判定。 12.   如條項1至11中任一項之媒體,其中該一或多個所選擇部分之一大小係基於模擬模型侵蝕而最小化。 13.   如條項1至12中任一項之媒體,其中一所選擇部分具有約1微米至約20微米之一尺寸。 14.   如條項1至13中任一項之媒體,其中該圖案佈局包含用於一半導體製造程序之一設計佈局。 15.   如條項14之媒體,其中該模擬模型包含一微影模擬模型。 16.   如條項14或15之媒體,其中一所選擇部分具有用於一極紫外線(EUV)半導體製造程序之一第一尺寸,或用於一深紫外線(DUV)半導體製造程序之一第二較大尺寸。 17.   如條項14至16中任一項之媒體,其中該模擬模型經組態以用於一光學近接校正(OPC)程序,且其中該一或多個所選擇部分相比於由該模擬模型在該OPC程序中使用之部分具有一較小尺寸大小。 18.   如條項1至17中任一項之媒體,其中該等指令進一步致使該一或多個處理器以電子方式存取該第一圖案佈局,該第一圖案佈局包含一圖形設計系統(.GDS)或OASIS檔案。 19.   一種判定一模擬模型之一穩定性的方法,該方法包含: 提取一第一圖案佈局之一或多個所選擇部分,該第一圖案佈局覆疊於一柵格上; 相對於該柵格移動該一或多個所選擇部分以形成一或多個移動部分; 產生包含該一或多個所選擇部分及該一或多個移動部分之一第二圖案佈局;及 提供該第二圖案佈局至一模擬模型以判定用於該一或多個所選擇部分及該一或多個移動部分之一或多個預測特性。 20.   如條項19之方法,其進一步包含: 基於該一或多個預測特性判定該模擬模型之一穩定性。 21.   如條項20之方法,其中該判定該穩定性包含運用該模擬模型基於該第二圖案佈局判定與該一或多個所選擇部分及該一或多個移動部分相關聯之一或多個預測特性。 22.   如條項20或21之方法,其中基於該一或多個預測特性判定該模擬模型之該穩定性包含該模擬模型之一柵格相依性(GD)檢查。 23.   如條項19至22中任一項之方法,其中一預測特性包含用於該第二圖案佈局之一預測影像及/或一預測幾何形狀。 24.   如條項23之方法,其中判定該一或多個預測特性包含產生該預測影像,該預測影像包含一抗蝕劑影像,且該一或多個預測特性來源於該預測影像。 25.   如條項23之方法,其中該預測特性包含該預測幾何形狀,且該預測幾何形狀包含一蝕刻輪廓。 26.   如條項19至25中任一項之方法,其中一預測特性包含用於該第二圖案佈局之一預測臨界尺寸(CD)。 27.   如條項26之方法,其中該預測特性包含由該模擬模型針對該第二圖案佈局中之該一或多個所選擇部分及該一或多個移動部分預測的複數個臨界尺寸,且其中判定該模擬模型之一穩定性係基於該複數個臨界尺寸之一範圍。 28.   如條項19至27中任一項之方法,其中相對於該柵格移動該一或多個所選擇部分包含相對於該柵格旋轉及/或移位該一或多個所選擇部分。 29.   如條項19至28中任一項之方法,其中該一或多個所選擇部分之一大小係基於模擬模型侵蝕而判定。 30.   如條項19至29中任一項之方法,其中該一或多個所選擇部分之一大小係基於模擬模型侵蝕而最小化。 31.   如條項19至30中任一項之方法,其中一所選擇部分具有約1微米至約20微米之一尺寸。 32.   如條項19至31中任一項之方法,其中該圖案佈局包含用於一半導體製造程序之一設計佈局。 33.   如條項32之方法,其中該模擬模型包含一微影模擬模型。 34.   如條項32或33之方法,其中一所選擇部分具有用於一極紫外線(EUV)半導體製造程序之一第一尺寸,或用於一深紫外線(DUV)半導體製造程序之一第二較大尺寸。 35.   如條項32至34中任一項之方法,其中該模擬模型經組態以用於一光學近接校正(OPC)程序,且其中該一或多個所選擇部分相比於由該模擬模型在該OPC程序中使用之部分具有一較小尺寸大小。 36.   如條項19至35中任一項之方法,其進一步包含致使一或多個處理器以電子方式存取該第一圖案佈局,該第一圖案佈局包含一圖形設計系統(.GDS)或OASIS檔案。 37.   一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一或多個處理器執行時致使該一或多個處理器執行如條項19至36中任一項之方法。 38.   一種系統,其包含一或多個處理器及一電腦可讀媒體,該電腦可讀媒體上具有指令,該等指令在由一或多個處理器執行時致使該一或多個處理器執行如條項19至36中任一項之方法。 39.   一種系統,其包含一或多個處理器及一電腦可讀媒體,該電腦可讀媒體上具有指令,該等指令在由一或多個處理器執行時致使該一或多個處理器執行包含以下各者之操作: 提取一第一圖案佈局之一或多個所選擇部分,該第一圖案佈局覆疊於一柵格上; 相對於該柵格移動該一或多個所選擇部分以形成一或多個移動部分; 產生包含該一或多個所選擇部分及該一或多個移動部分之一第二圖案佈局;及 提供該第二圖案佈局至一模擬模型以判定用於該一或多個所選擇部分及該一或多個移動部分之一或多個預測特性。 40.   如條項39之系統,其中該等操作進一步包含: 基於該一或多個預測特性判定該模擬模型之一穩定性。 41.   如條項40之系統,其中該判定該穩定性包含運用該模擬模型基於該第二圖案佈局判定與該一或多個所選擇部分及該一或多個移動部分相關聯之一或多個預測特性。 42.   如條項40或41之系統,其中基於該一或多個預測特性判定該模擬模型之該穩定性包含該模擬模型之一柵格相依性(GD)檢查。 43.   如條項39至42中任一項之系統,其中一預測特性包含用於該第二圖案佈局之一預測影像及/或一預測幾何形狀。 44.   如條項43之系統,其中判定該一或多個預測特性包含產生該預測影像,該預測影像包含一抗蝕劑影像,且該一或多個預測特性來源於該預測影像。 45.   如條項43之系統,其中該預測特性包含該預測幾何形狀,且該預測幾何形狀包含一蝕刻輪廓。 46.   如條項39至45中任一項之系統,其中一預測特性包含用於該第二圖案佈局之一預測臨界尺寸(CD)。 47.   如條項46之系統,其中該預測特性包含由該模擬模型針對該第二圖案佈局中之該一或多個所選擇部分及該一或多個移動部分預測的複數個臨界尺寸,且其中判定該模擬模型之一穩定性係基於該複數個臨界尺寸之一範圍。 48.   如條項37至45中任一項之系統,其中相對於該柵格移動該一或多個所選擇部分包含相對於該柵格旋轉及/或移位該一或多個所選擇部分。 47.   如條項39至48中任一項之系統,其中該一或多個所選擇部分之一大小係基於模擬模型侵蝕而判定。 50.   如條項39至49中任一項之系統,其中該一或多個所選擇部分之一大小係基於模擬模型侵蝕而最小化。 51.   如條項39至50中任一項之系統,其中一所選擇部分具有約1微米至約20微米之一尺寸。 52.   如條項39至51中任一項之系統,其中該圖案佈局包含用於一半導體製造程序之一設計佈局。 53.   如條項52之系統,其中該模擬模型包含一微影模擬模型。 54.   如條項52或53之系統,其中一所選擇部分具有用於一極紫外線(EUV)半導體製造程序之一第一尺寸,或用於一深紫外線(DUV)半導體製造程序之一第二較大尺寸。 55.   如條項52至54中任一項之系統,其中該模擬模型經組態以用於一光學近接校正(OPC)程序,且其中該一或多個所選擇部分相比於由該模擬模型在該OPC程序中使用之部分具有一較小尺寸大小。 56.   如條項39至55中任一項之系統,其中該等指令進一步致使該一或多個處理器以電子方式存取該第一圖案佈局,該第一圖案佈局包含一圖形設計系統(.GDS)或OASIS檔案。 57.   一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一電腦執行時致使該電腦執行用於一模擬模型之一柵格相依性檢查,相較於先驗柵格相依性檢查,更快及運用較少所需資料執行該柵格相依性檢查,此係由於相比於在該等先驗柵格相依性檢查中,一第一設計佈局係某些部分經裁剪至一較小尺寸,且用於產生一第二設計佈局,以使得一模型化操作僅僅需要執行一單次而非如該等先驗柵格相依性檢查中之多次,該等指令致使該電腦執行包含以下各者之操作: 以電子方式存取用於一半導體製造程序之一第一設計佈局,該第一設計佈局覆疊於一柵格上,該第一設計佈局包含一第一圖形設計系統(.GDS)或OASIS檔案; 自該.GDS或OASIS檔案提取第一設計佈局之一或多個所選擇部分; 相對於該柵格旋轉及/或移位該一或多個所選擇部分以形成一或多個移動部分; 產生包含該一或多個所選擇部分及該一或多個移動部分之一第二設計佈局,該第二設計佈局包含一第二.GDS或OASIS檔案; 使用一微影模擬模型以基於該第二設計佈局判定用於該一或多個所選擇部分及該一或多個移動部分之一或多個預測結果;及 基於該一或多個預測結果執行對於該模擬模型之該柵格相依性檢查,其中該模擬模型之該柵格相依性係藉由由該第二設計佈局中之該一或多個所選擇部分及該一或多個移動部分相對於該柵格的位置所引起的該一或多個預測結果之變化指示。 58.   如條項57之媒體,其中一預測結果包含用於該第二設計佈局之一預測抗蝕劑影像、一預測蝕刻輪廓,及/或一預測臨界尺寸(CD)。 59.   如條項57之媒體,其中該預測結果包含來自該第二設計佈局中之該一或多個所選擇部分及該一或多個移動部分之不同者的複數個臨界尺寸,且其中該模擬模型之該柵格相依性檢查係基於該複數個臨界尺寸之一範圍。 60.   如條項57之媒體,其中該一或多個所選擇部分之一大小係基於模擬模型侵蝕而最小化。 61.   如條項55之媒體,其中該模擬模型經組態以用於一典型光學近接校正(OPC)程序,且其中該一或多個所選擇部分相比於由該模擬模型在該典型OPC程序中使用之部分具有一較小尺寸大小。
雖然本文中所揭示之概念可用於利用諸如矽晶圓之基板進行製造,但應理解,所揭示概念可供任何類型之製造系統(例如,用於在除矽晶圓以外之基板上製造之製造系統)使用。
此外,所揭示元件之組合及子組合可包含分離的實施例。舉例而言,上文所描述之操作中之一或多者可包括於分離實施例中,或其可一起包括於同一實施例中。 上方描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下如所描述進行修改。
10A:微影投影裝置 12A:輻射源 14A:光學件組件 16Aa:光學件組件 16Ab:光學件組件 16Ac:透射光學件 18A:圖案化器件 20A:孔徑 21:輻射光束 22:琢面化場鏡面器件 22A:基板平面 24:琢面化光瞳鏡面器件 26:經圖案化光束 28:反射元件 30:反射元件 210:EUV輻射發射電漿/熱電漿 211:源腔室 212:收集器腔室 220:圍封結構 221:開口 230:污染物截留器 231:照明模型 232:投影光學件模型 235:設計佈局模型 236:空中影像 237:抗蝕劑模型 238:抗蝕劑影像 240:光柵濾光片 251:上游輻射收集器側 252:下游輻射收集器側 253:掠入射反射器 254:掠入射反射器 255:掠入射反射器 300:方法 302:提取 304:移動 306:產生 308:提供 310:判定 400a:模擬模型預測 400b:模擬模型預測 401:相交點 402:柵格線 403:相交點 404:多邊形/輪廓 405:相交點 407:相交點 500:移位 502:所選擇部分 504:柵格 506a:經移位選擇部分 506b:經移位選擇部分 506c:經移位選擇部分 508:臨界尺寸 510:臨界尺寸 512:臨界尺寸 514:臨界尺寸 520:模擬模型預測 522:模擬模型預測 524:模擬模型預測 526:模擬模型預測 600:所選擇部分 601:遞增地 602:移動部分 603:圖案佈局 604:規測點 606:規測點 608:規測點 610:規測點 612:規測點 620:提取 622:提取 630:單一部分 632:單一部分 650:圖案佈局 AD:調整構件 B:輻射光束 BD:光束遞送系統 BS:匯流排 C:目標部分 CC:游標控制件 CI:通信介面 CO:聚光器/收集器 CS:電腦系統 DS:顯示器 HC:主機電腦 ID:輸入器件 IF:干涉量測構件/虛擬源點 IL:照明系統/照明器/照明光學件單元 IN:積光器 INT:網際網路 LA:雷射 LAN:區域網路 LPA:微影投影裝置 MA:圖案化器件 MM:主記憶體 MT:第一物件台/圖案化器件台/支撐結構 M1:圖案化器件對準標記 M2:圖案化器件對準標記 NDL:網路資料鏈路 O:線 PM:第一定位器 PRO:處理器 PS:投影系統 PS2:位置感測器 PW:第二定位器 P1:基板對準標記 P2:基板對準標記 ROM:唯讀記憶體 SD:儲存器件 SO:輻射源/源收集器模組 W:基板 WT:第二物件台/基板台
併入本說明書中且構成本說明書之一部分的隨附圖式說明一或多個實施例且連同描述一起解釋此等實施例。現在將參看隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部分,且在該等圖式中:
圖1說明根據本發明之一實施例之微影投影裝置之各種子系統的方塊圖。
圖2說明根據本發明之實施例之用於模擬微影投影裝置中之微影的例示性方法之流程圖。
圖3說明根據本發明之一實施例之用於判定模擬模型之穩定性的例示性方法。
圖4說明根據本發明之一實施例的可如何由圖案佈局之一部分的相對柵格位置引起模型不穩定性。
圖5說明根據本發明之一實施例的將設計(圖案)佈局之所選擇部分移位若干次,模型化經移位選擇部分及判定每一移位之對應臨界尺寸的程序。
圖6說明根據本發明之一實施例的產生包含圖案佈局之一或多個所選擇部分及一或多個移動部分的圖案佈局。
圖7為根據本發明之一實施例的實例電腦系統之方塊圖。
圖8為根據本發明之一實施例的一微影投影裝置之示意圖。
圖9為根據本發明之一實施例之另一微影投影裝置的示意圖。
圖10為根據本發明之一實施例之微影投影裝置的詳細視圖。
圖11為根據本發明之一實施例的微影投影裝置之源收集器模組的詳細視圖。
600:所選擇部分
601:遞增地
602:移動部分
603:圖案佈局
604:規測點
606:規測點
608:規測點
610:規測點
612:規測點
620:提取
622:提取
630:單一部分
632:單一部分
650:圖案佈局

Claims (15)

  1. 一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一或多個處理器執行時致使該一或多個處理器執行一方法,該方法包含: 提取一第一圖案佈局之一或多個所選擇部分,該第一圖案佈局覆疊於一柵格上; 相對於該柵格移動該一或多個所選擇部分以形成一或多個移動部分; 產生包含該一或多個所選擇部分及該一或多個移動部分之一第二圖案佈局;及 提供該第二圖案佈局至一模擬模型以判定用於該一或多個所選擇部分及該一或多個移動部分之一或多個預測特性。
  2. 如請求項1之媒體,其中該方法進一步包含: 基於該一或多個預測特性判定該模擬模型之一穩定性。
  3. 如請求項2之媒體,其中該判定該穩定性包含:運用該模擬模型基於該第二圖案佈局判定與該一或多個所選擇部分及該一或多個移動部分相關聯之一或多個預測特性。
  4. 如請求項2之媒體,其中基於該一或多個預測特性判定該模擬模型之該穩定性包含:該模擬模型之一柵格相依性(GD)檢查。
  5. 如請求項1之媒體,其中一預測特性包含用於該第二圖案佈局之一預測影像及/或一預測幾何形狀。
  6. 如請求項5之媒體,其中判定該一或多個預測特性包含:產生該預測影像,該預測影像包含一抗蝕劑影像,且該一或多個預測特性來源於該預測影像。
  7. 如請求項5之媒體,其中該預測特性包含該預測幾何形狀,且該預測幾何形狀包含一蝕刻輪廓。
  8. 如請求項1之媒體,其中一預測特性包含用於該第二圖案佈局之一預測臨界尺寸(CD)。
  9. 如請求項8之媒體,其中該預測特性包含由該模擬模型針對該第二圖案佈局中之該一或多個所選擇部分及該一或多個移動部分預測的複數個臨界尺寸,且其中判定該模擬模型之一穩定性係基於該複數個臨界尺寸之一範圍。
  10. 如請求項1之媒體,其中相對於該柵格移動該一或多個所選擇部分包含相對於該柵格旋轉及/或移位該一或多個所選擇部分。
  11. 如請求項1之媒體,其中該一或多個所選擇部分之一大小係基於模擬模型侵蝕而判定。
  12. 如請求項1之媒體,其中該圖案佈局包含用於一半導體製造程序之一設計佈局,且其中該模擬模型包含一微影模擬模型。
  13. 如請求項12之媒體,其中一所選擇部分具有用於一極紫外線(EUV)半導體製造程序之一第一尺寸,或用於一深紫外線(DUV)半導體製造程序之一第二較大尺寸。
  14. 如請求項1之媒體,其中該模擬模型經組態以用於一光學近接校正(OPC)程序,且其中該一或多個所選擇部分相比於由該模擬模型在該OPC程序中使用之部分具有一較小尺寸大小。
  15. 如請求項1之媒體,其中該等指令進一步致使該一或多個處理器以電子方式存取該第一圖案佈局,該第一圖案佈局包含一圖形設計系統(.GDS)或OASIS檔案。
TW111142715A 2021-11-19 2022-11-09 模擬模型穩定性判定系統及方法 TW202334739A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163281228P 2021-11-19 2021-11-19
US63/281,228 2021-11-19

Publications (1)

Publication Number Publication Date
TW202334739A true TW202334739A (zh) 2023-09-01

Family

ID=84360825

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111142715A TW202334739A (zh) 2021-11-19 2022-11-09 模擬模型穩定性判定系統及方法

Country Status (3)

Country Link
CN (1) CN118265950A (zh)
TW (1) TW202334739A (zh)
WO (1) WO2023088641A1 (zh)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
JP2001312526A (ja) * 2000-04-28 2001-11-09 Nec Corp メッシュ生成方法及びメッシュ生成プログラムを記録した記録媒体
KR100958714B1 (ko) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7975244B2 (en) * 2008-01-24 2011-07-05 International Business Machines Corporation Methodology and system for determining numerical errors in pixel-based imaging simulation in designing lithographic masks
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.

Also Published As

Publication number Publication date
CN118265950A (zh) 2024-06-28
WO2023088641A1 (en) 2023-05-25

Similar Documents

Publication Publication Date Title
TWI791357B (zh) 用於選擇與圖案化程序相關聯之資料之方法及相關的非暫時性電腦可讀媒體
TWI823616B (zh) 執行用於訓練機器學習模型以產生特性圖案之方法的非暫時性電腦可讀媒體
TW202122937A (zh) 用於改良用於圖案化製程之製程模型之方法及相關聯電腦程式產品
KR102656552B1 (ko) 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
TW201939157A (zh) 二值化方法及自由形式光罩最佳化流程
TW202242556A (zh) 用於改良光罩圖案產生中之一致性之方法
CN109313391B (zh) 基于位移的重叠或对准
KR102609413B1 (ko) 자동으로 sem 윤곽 측정 정확성 및 안정성을 개선하기 위한 패턴 인식 활용
KR20180011263A (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
TWI778722B (zh) 用於選擇資訊模式以訓練機器學習模型之設備及方法
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
TWI667553B (zh) 判定圖案之特性之方法
TW202307722A (zh) 蝕刻系統、模型、及製造程序
TWI620997B (zh) 藉由重建之度量衡
TWI661264B (zh) 調諧製程模型之方法
TW202334739A (zh) 模擬模型穩定性判定系統及方法
TWI844942B (zh) 用於圖案選擇之非暫時性電腦可讀媒體
TWI838957B (zh) 用於判定基於蝕刻偏差方向之蝕刻功效之非暫時性電腦可讀媒體
TWI813192B (zh) 依據微影設備或製程特徵所特徵化之表示選擇圖案
TWI786658B (zh) 像差影響系統、模型、及製造程序
KR20240105424A (ko) 시뮬레이션 모델 안정성 결정 방법
TW202405567A (zh) 圖案選擇系統及方法
TW202333079A (zh) 產生擴增資料以訓練機器學習模型以保持物理趨勢
TW202407459A (zh) 具有曲線元件之微影圖案表示
TW202401161A (zh) 用於微影製程之失效率之判定之方法、系統及軟體