TW202407459A - 具有曲線元件之微影圖案表示 - Google Patents

具有曲線元件之微影圖案表示 Download PDF

Info

Publication number
TW202407459A
TW202407459A TW112109455A TW112109455A TW202407459A TW 202407459 A TW202407459 A TW 202407459A TW 112109455 A TW112109455 A TW 112109455A TW 112109455 A TW112109455 A TW 112109455A TW 202407459 A TW202407459 A TW 202407459A
Authority
TW
Taiwan
Prior art keywords
mask
dimensional
cluster
computer
dimensional elements
Prior art date
Application number
TW112109455A
Other languages
English (en)
Inventor
亞 羅
彥文 盧
炳德 陳
羅福 C 何威爾
張權
朱漳楠
陳曉霜
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202407459A publication Critical patent/TW202407459A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明揭示用於判定供搭配一微影程序一起使用之一遮罩圖案的方法、系統及電腦軟體。一種方法包括基於一目標圖案指派二維元件之位置,基於關聯準則使該等二維元件相關聯以形成表示一遮罩特徵之一叢集,及調整該叢集之該等二維元件以改變該遮罩特徵。

Description

具有曲線元件之微影圖案表示
本文中之描述大體上係關於微影製造及圖案化程序。更特定而言,係關於遮罩圖案判定。
微影投影設備可用於(例如)積體電路(IC)之製造中。在此狀況下,圖案化裝置(例如遮罩)可含有或提供對應於IC之個別層(「設計佈局」)之圖案,且可藉由諸如將已塗佈有輻射敏感材料(「抗蝕劑」)層之基板(例如矽晶圓)上之目標部分(例如包含一或多個晶粒)輻照通過圖案化裝置上之圖案之方法而將此圖案轉印至該目標部分上。一般而言,單一基板含有複數個鄰近目標部分,圖案係由微影投影設備順次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型之微影投影設備中,整個圖案化裝置上之圖案在一次操作中經轉印至一個目標部分上;此類設備亦可被稱作步進器。在替代設備中,步進掃描設備可使得投影光束在給定參考方向(「掃描」方向)上遍及圖案化裝置進行掃描同時平行或反平行於此參考方向同步地移動基板。將圖案化裝置上之圖案之不同部分漸進地轉印至一個目標部分。因為一般而言,微影投影設備將具有縮減比率M (例如,4),所以基板之移動速度F將為1/M時間,此時投影光束掃描圖案化裝置。關於微影裝置的更多資訊可見於例如以引用之方式併入本文中之US 6,046,792。
在將圖案自圖案化裝置轉印至基板之前,基板可經歷各種工序,諸如,上底漆、抗蝕劑塗佈,及軟烘烤。在曝光之後,基板可經受其他工序(「後曝光工序」),諸如後曝光烘烤(PEB)、顯影、硬烘烤及對經轉印圖案之量測/檢測。此工序陣列係用作製造一裝置(例如,IC)之個別層的基礎。基板可接著經歷各種程序,諸如,蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械拋光等等,該等程序皆意欲精整裝置之個別層。若在裝置中需要若干層,則針對每一層來重複整個工序或其變體。最終,在基板上之各目標部分中將存在一裝置。接著藉由諸如切割或鋸切之技術來使此等裝置彼此分離,由此,可將個別裝置安裝於載體上、連接至接腳,等等。
因此,製造裝置(諸如半導體裝置)通常涉及使用數個製造程序來處理基板(例如,半導體晶圓)以形成該等裝置之各種特徵及多個層。通常使用例如沈積、微影、蝕刻、化學機械研磨及離子植入來製造及處理此等層及特徵。可在一基板上之複數個晶粒上製作多個裝置,且接著將該等裝置分離成個別裝置。此裝置製造程序可被認為係圖案化程序。圖案化程序涉及使用圖案化設備中之圖案化裝置進行圖案化步驟(諸如光學及/或奈米壓印微影)以將圖案化裝置上之圖案轉印至基板,且圖案化程序通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影設備進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻設備而使用圖案進行蝕刻等等。
如所提及,微影為在諸如IC之裝置之製造時的中心步驟,其中形成於基板上之圖案界定裝置之功能元件,諸如微處理器、記憶體晶片等。類似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他裝置。
隨著半導體製造程序繼續進步,幾十年來,功能元件之尺寸已不斷地減小,而每裝置的諸如電晶體之功能元件之量已在穩定地增加,此遵循稱為「莫耳定律(Moore's law)」之趨勢。在當前技術狀態下,使用微影投影設備來製造裝置之層,該等微影投影設備使用來自深紫外線照明源之照明將設計佈局投影至基板上,從而產生尺寸充分低於100 nm,亦即小於來自照明源(例如193 nm照明源)之輻射的波長之一半的個別功能元件。
供印刷尺寸小於微影投影設備之經典解析度極限之特徵的此程序根據解析度公式CD = k1×λ/NA可稱為低k1微影,其中λ為所使用輻射之波長(例如,248 nm或193 nm),NA為微影投影設備中之投影光學件之數值孔徑,CD為「關鍵尺寸」(通常為所印刷之最小特徵大小),且k1為經驗解析度因數。大體而言,k1愈小,則在基板上再生類似於由設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用至微影投影設備、設計佈局或圖案化裝置。此等步驟包括例如但不限於NA及光學相干設定之最佳化、定製照明方案、相移圖案化裝置之使用、設計佈局中之光學近接校正(OPC,有時亦被稱作「光學及程序校正」),或通常被定義為「解析度增強技術」(RET)之其他方法。如本文所使用之術語「投影光學件」應被廣泛地解譯為涵蓋各種類型之光學系統,包括(例如)折射光學件、反射光學件、孔徑及反射折射光學件。術語「投影光學件」亦可包括根據此等設計類型中之任一者而操作的組件,以用於集體地或單一地導向、塑形或控制投影輻射光束。術語「投影光學件」可包括微影投影設備中之任何光學組件,而不管光學組件定位於微影投影設備之光學路徑上之何處。投影光學件可包括用於在來自源之輻射通過圖案化裝置之前塑形、調整及/或投影該輻射的光學組件,及/或用於在輻射通過圖案化裝置之後塑形、調整及/或投影該輻射的光學組件。投影光學件通常排除源及圖案化裝置。
本發明揭示用於判定供搭配一微影程序一起使用之一遮罩圖案的方法、系統及電腦軟體。在一個態樣中,該方法可包括基於一目標圖案指派二維元件之位置,基於關聯準則使該等二維元件相關聯以形成表示一遮罩特徵之一叢集,及調整該叢集之該等二維元件以改變該遮罩特徵。
在一些變化形式中,調整可係基於與微影程序相關聯的模擬或可係基於遮罩圖案之幾何性質及基於經指定用於OPC的規則。
在其他變化形式中,該方法可包括基於二維元件產生叢集之輪廓。該輪廓可為叢集之對應於遮罩特徵之外邊緣的外輪廓或叢集之對應於遮罩特徵之內邊緣的內輪廓。該方法亦可包括藉由將多邊形偏移操作應用於相關聯二維元件之對及運算子區域之聯集而產生輪廓之子區域,其中輪廓為子區域之聯集。該方法亦可包括自包括由經調整二維元件產生的輪廓之遮罩圖案製造遮罩。
在其他變化形式中,輪廓可至少部分與二維元件之位置相距指定距離或可至少完全與二維元件之位置相距指定距離。該指定距離可係基於用於遮罩特徵之最小寬度的MRC規則。在一些實施例中,輪廓之至少一部分可違反MRC規則。此外,二維元件之幾何形狀可基於一或多個遮罩規則遵從性(MRC)規則而界定。二維元件之尺寸參數可經選擇為藉由MRC規則指定之最小寬度。一或多個MRC規則可包括一最小空間要求,關聯準則包含當用於第二二維元件之第二輪廓與用於叢集中之二維元件之輪廓之間的距離小於最小空間要求時將第二二維元件連接至叢集中。
在一些變化形式中,該方法可包括將二維元件之叢集修改成一或多個經修改叢集。經修改叢集可基於MRC規則而形成。該方法亦可包括藉由使二維元件中之一者與叢集解離而修改叢集及基於經修改叢集修改輪廓。此外,該方法可包括藉由使來自另一叢集之二維元件與叢集相關聯而修改叢集及基於經修改叢集修改輪廓。
在其他變化形式中,調整可包括藉由移動二維元件中之一或多者的位置而最佳化遮罩圖案或該調整可包括藉由調整二維元件中之一或多者的大小或形狀而最佳化遮罩圖案。相關聯可包括使在距其他二維元件之指定距離內的二維元件相關聯。相關聯或調整亦可包括使二維元件與叢集之二維元件解離及使二維元件與第二叢集中之二維元件相關聯。
在其他變化形式中,該方法可包括運算量化遮罩圖案之評估的一成本函數,其中二維元件之調整係基於該成本函數。該成本函數可不包括基於MRC規則之任何項。
在一些變化形式中,二維元件中之各者可為圓形、橢圓形、相同的大小、界定非零區域、為多邊形、或界定圍封或半圍封區域。
在其他變化形式中,該方法可包括對於外輪廓執行拐角圓化。拐角圓化可包括在拐角之任一側之兩個點之間執行樣條內插。
在其他變化形式中,該方法可包括藉由複製遮罩圖案中之叢集產生一致叢集及調整一致叢集中之相應二維元件。一致叢集之調整可包括識別跨越第一遮罩嵌塊與第二遮罩嵌塊之間的邊界的一邊界二維元件,其中調整二維元件不包括調整該邊界二維元件。一致叢集之調整亦可包括將在一第一遮罩嵌塊及第二遮罩嵌塊之邊界之臨限距離內的二維元件指定為優先順序二維元件,其中調整二維元件不包括調整任何優先順序二維元件。該方法可包括在用於稍後重新叫用之電腦記憶體中儲存優先順序二維元件。該方法亦可包括用優先順序二維元件取代在距邊界之臨限距離內的二維元件中之一或多者。
在一些變化形式中,該方法可包括接收遮罩圖案;藉由複製遮罩圖案中之叢集而產生一致叢集,其中該調整包括調整一致叢集中之相應二維元件;將在第一遮罩嵌塊及第二遮罩嵌塊之邊界的臨限距離內的二維元件指定為優先順序二維元件;用自電腦記憶體重新叫用之優先順序二維元件取代接近於邊界的二維元件中之一或多者;及基於一致叢集中之二維元件產生經調整遮罩圖案,其中調整二維元件不包括調整任何優先順序二維元件。
在一些實施例中,可存在一種非暫時性電腦可讀媒體,其上記錄有用於判定供搭配微影程序一起使用之一遮罩圖案的指令,該等指令在由具有至少一個可程式化處理器之一電腦執行時引起包含以上方法實施例中之操作中任一者的操作。
在一些實施例中,可存在一種用於判定供搭配微影程序一起使用之遮罩圖案的系統,該系統包含:至少一個可程式化處理器;及其上記錄有指令之非暫時性電腦可讀媒體,該等指令在由具有至少一個可程式化處理器之電腦執行時引起包含上述方法實施例中之該等操作中之任一者的操作。
儘管在本文中可特定地參考IC之製造,但應明確地理解,本文中之描述具有許多其他可能應用。舉例而言,該等應用可用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等。熟習此項技術者應瞭解,在此等替代應用之情況下,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應被視為分別可與更一般之術語「遮罩」、「基板」及「目標部分」互換。
在本發明文件中,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如具有365 nm、248 nm、193 nm、157 nm或126 nm之波長)及極紫外線(EUV輻射,例如具有在約5 nm至100 nm之範圍內之波長)。
圖案化裝置可包含或可形成一或多個設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局,此程序常常被稱作電子設計自動化(EDA)。大多數CAD程式遵循一預定設計規則集合,以便產生功能設計佈局/圖案化裝置。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義裝置(諸如閘、電容器等)或互連線之間的空間容許度,以便確保裝置或線不會以不合需要的方式彼此相互作用。設計規則限制中之一或多者可稱作「關鍵尺寸」(CD)。裝置之關鍵尺寸可被定義為線或孔之最小寬度或兩條線或兩個孔之間的最小空間。因此,CD判定所設計裝置之總體大小及密度。當然,裝置製造之目標中之一者為在基板上如實地再生原始設計意圖(經由圖案化裝置)。
如本文所使用之術語「遮罩」或「圖案化裝置」可被廣泛地解譯為係指可用以向入射輻射光束賦予經圖案化橫截面之通用圖案化裝置,經圖案化橫截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦可用於此內容背景中。除經典遮罩(透射性或反射性;二元、相移、混合式等)以外,其他此類圖案化裝置之實例包括可程式化鏡面陣列及可程式化LCD陣列。
可程式化鏡面陣列之實例可為具有黏彈性控制層及反射表面之矩陣可定址表面。此設備所隱含之基本原理為(例如):反射表面之經定址區域將入射輻射反射為繞射輻射,而未經定址區域將入射輻射反射為非繞射輻射。使用適當濾光片,可自經反射光束濾除該非繞射輻射,從而之後僅留下繞射輻射;以此方式,光束變得根據矩陣可定址表面之定址圖案而圖案化。可使用合適之電子方法來執行所需矩陣定址。
可程式化LCD陣列之實例在以引用之方式併入本文中之美國專利第5,229,872號中給出。
圖1說明根據一實施例之微影投影設備10A之各種子系統的方塊圖。主要組件為:輻射源12A,其可為深紫外線準分子雷射源或包括極紫外線(EUV)源之其他類型的源(如上文所論述,微影投影設備本身無需具有輻射源);照明光學件,其例如界定部分相干性(經表示為均方偏差)且可包括塑形來自源12A之輻射的光學件14A、光學件16Aa及光學件16Ab;圖案化裝置18A;及透射光學件16Ac,其將圖案化裝置圖案之影像投影至基板平面22A上。在投影光學件之光瞳平面處的可調整濾光片或孔徑20A可限定照射於基板平面22A上之光束角度之範圍,其中最大可能角度界定投影光學件之數值孔徑NA=n sin(Θ max),其中n為基板與投影光學件之最後元件之間的媒體之折射率,且Θ max為自投影光學件射出的仍可照射於基板平面22A上之光束的最大角度。
在微影投影設備中,源將照明(亦即,輻射)提供至圖案化裝置,且投影光學件經由該圖案化裝置將該照明導向至基板上且塑形該照明。投影光學件可包括組件14A、16Aa、16Ab及16Ac中至少一些。空中影像(AI)為在基板位階處之輻射強度分佈。可使用抗蝕劑模型以自空中影像計算抗蝕劑影像,可在全部揭示內容據此以引用方式併入之美國專利申請公開案第US 2009-0157630號中找到此情形之實例。抗蝕劑模型僅與抗蝕劑層之性質(例如在曝光、曝光後烘烤(PEB)及顯影期間發生的化學程序之效應)有關。微影投影設備之光學性質(例如,照明、圖案化裝置及投影光學件之性質)規定空中影像且可定義於光學模型中。由於可改變用於微影投影設備中之圖案化裝置,所以需要使圖案化裝置之光學性質與至少包括源及投影光學件的微影投影設備之其餘部分之光學性質分離。用以將設計佈局變換至各種微影影像(例如,空中影像、抗蝕劑影像等)、使用彼等技術及模型應用OPC且評估效能(例如,依據程序窗)的技術及模型之細節描述於美國專利申請公開案第US 2008-0301620、2007-0050749、2007-0031745、2008-0309897、2010-0162197及2010-0180251號中,前述各案之揭示內容特此以全文引用之方式併入。
理解微影程序之一個態樣係理解輻射與圖案化裝置之相互作用。在輻射通過圖案化裝置之後的輻射之電磁場可自在輻射到達圖案化裝置之前的輻射之電磁場及特性化該相互作用之函數予以判定。此函數可稱為遮罩透射函數(其可用於描述透射圖案化裝置及/或反射圖案化裝置之相互作用)。
遮罩透射函數可具有各種不同形式。一種形式為二元的。二元遮罩透射函數在圖案化裝置上之任何給定位置處具有兩個值(例如零及正常數)中之任一者。呈二元形式之遮罩透射函數可被稱作二元遮罩。另一種形式為連續的。即,圖案化裝置之透射率(或反射率)之模數為圖案化裝置上之位置的連續函數。透射率(或反射率)之相位亦可為圖案化裝置上之位置的連續函數。呈連續形式之遮罩透射函數可稱為連續色調遮罩或連續透射遮罩(CTM)。舉例而言,可將CTM表示為像素化影像,其中可向各像素指派介於0與1之間的值(例如,0.1、0.2、0.3等)而非0或1之二元值。在一實施例中,CTM可為像素化灰階影像,其中各像素具有若干值(例如在範圍[-255,255]內、在範圍[0,1]或[-1,1]或其他適當範圍內之正規化值)。
薄遮罩近似(亦稱為克希荷夫(Kirchhoff)邊界條件)廣泛地用於簡化對輻射與圖案化裝置之相互作用之判定。薄遮罩近似假定圖案化裝置上之結構之厚度與波長相比極小,且遮罩上的結構之寬度與波長相比極大。因此,薄遮罩近似假定在圖案化裝置之後的電磁場為入射電磁場與遮罩透射函數之乘積。然而,當微影程序使用具有愈來愈短之波長的輻射,且圖案化裝置上之結構變得愈來愈小時,對薄遮罩近似之假定可分解。舉例而言,由於結構(例如頂部表面與側壁之間的邊緣)之有限厚度,輻射與結構之相互作用(「遮罩3D效應」或「M3D」)可變得重要。在遮罩透射函數中涵蓋此散射可使得遮罩透射函數能夠較佳地俘獲輻射與圖案化裝置之相互作用。在薄遮罩近似下之遮罩透射函數可稱為薄遮罩透射函數。涵蓋M3D的遮罩透射函數可被稱作M3D遮罩透射函數。
根據本發明之實施例,可產生一或多個影像。該等影像包括可藉由每一像素之像素值或強度值特性化的各種類型之信號。視影像內像素之相對值而定,信號可被稱作例如弱信號或強信號,如一般熟習此項技術者可理解。術語「強」及「弱」為基於影像內之像素之強度值的相對術語,且強度之具體值可能並不限制本發明之範疇。在實施例中,強信號及弱信號可基於所選擇之臨限值來鑑別。在一實施例中,臨限值可為固定的(例如影像內像素之最高強度與最低強度的中點。在一實施例中,強信號可指具有大於或等於跨影像之平均信號值之值的信號,且弱信號可指具有小於平均信號值之值的信號。在一實施例中,相對強度值可基於百分比。舉例而言,弱信號可為具有低於影像內像素之最高強度(例如對應於設計佈局之像素可被認為具有最高強度之像素)50%之強度的信號。此外,影像內之各像素被認為係變數。根據本實施例,導數或偏導數可相關於影像內之各像素判定,且每一像素之值可根據基於成本函數之評估及/或成本函數之基於梯度的計算來判定或修改。舉例而言,CTM影像可包括像素,其中各像素為可採用任何實數值之變數。
圖2說明根據一實施例的用於模擬微影投影設備中之微影的例示性流程圖。源模型31表示源之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學件模型32表示投影光學件之光學特性(包括由投影光學件引起的輻射強度分佈及/或相位分佈之改變)。設計佈局模型35表示設計佈局之光學特性(包括由設計佈局33引起的輻射強度分佈及/或相位分佈之變化),該設計佈局為在圖案化裝置上或藉由圖案化裝置形成之特徵配置的表示。可自設計佈局模型35、投影光學件模型32及設計佈局模型35來模擬空中影像36。可使用抗蝕劑模型37自空中影像36模擬抗蝕劑影像38。微影之模擬可例如預測抗蝕劑影像中之輪廓及CD。
更具體而言,應注意,源模型31可表示源之光學特性,該等光學特性包括(但不限於)數值孔徑設定、照明均方偏差(σ)設定,以及任何特定照明形狀(例如離軸輻射源,諸如環圈、四極子、偶極子等)。投影光學件模型32可表示投影光學件之光學特性,該等光學特性包括像差、失真、一或多個折射率、一或多個實體大小、一或多個實體尺寸等。設計佈局模型35可表示實體圖案化裝置之一或多個物理性質,如(例如)以全文引用的方式併入本文中之美國專利第7,587,704號中所描述。模擬之目標係準確地預測(例如)邊緣置放、空中影像強度斜率及/或CD,可接著將該等邊緣置放、空中影像強度斜率及/或CD與預期設計進行比較。預期設計通常被定義為可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式而提供之預OPC設計佈局。
自此設計佈局,可識別被稱作「剪輯」之一或多個部分。在實施例中,提取剪輯集合,其表示設計佈局中之複雜圖案(通常為約50個至1000個剪輯,但可使用任何數目個剪輯)。此等圖案或剪輯表示設計之小部分(亦即,電路、胞元或圖案),且更特定言之,該等剪輯通常表示需要特定注意及/或驗證的小部分。換言之,剪輯可為設計佈局之部分,或可為類似的或具有設計佈局之部分的類似行為,其中一或多個臨界特徵藉由體驗(包括由客戶提供之剪輯)、試誤法或執行全晶片模擬來予以識別。剪輯可含有一或多個測試圖案或量規圖案。
可由客戶基於設計佈局中需要特定影像最佳化之一或多個已知臨界特徵區域而先驗地提供初始較大剪輯集合。替代地,在另一實施例中,可藉由使用識別該一或多個臨界特徵區域之某種自動(諸如機器視覺)或手動演算法自整個設計佈局提取初始較大剪輯集合。
在微影投影設備中,作為一實例,可將成本函數表達為 (方程式1)
其中 為N個設計變數或其值。 可為設計變數 的函數,諸如對於 之設計變數的值集合的特性之實際值與預期值之間的差。 為與 相關聯之權重常數。舉例而言,特性可為在邊緣上之給定點處量測的圖案之邊緣之位置。不同 可具有不同權重 。舉例而言,若特定邊緣具有所准許位置之窄範圍,則用於表示邊緣之實際位置與預期位置之間的差的 之權重 可給出較高值。 亦可為層間特性之函數,層間特性又為設計變數 之函數。當然, 不限於方程式1中之形式。 可呈任何其他合適形式。
成本函數可表示微影投影設備、微影程序或基板之任何一或多個適合特性,例如,焦點、CD、影像偏移、影像失真、影像旋轉、隨機變化、產出率、局域CD變化、程序窗、層間特性或其組合。在一個實施例中,設計變數 包含選自劑量、圖案化裝置之全域偏置及/或照明形狀中之一或多者。由於抗蝕劑影像常常規定基板上之圖案,故成本函數可包括表示抗蝕劑影像之一或多個特性之函數。舉例而言, 可僅係抗蝕劑影像中之一點與彼點之預期位置之間的距離(亦即,邊緣置放誤差 )。設計變數可包括任何可調整參數,諸如源、圖案化裝置、投影光學件之可調整參數、劑量、焦點等等。
微影設備可包括可用以調整波前及強度分佈之形狀及/或輻射光束之相移的被集體地稱為「波前操控器」之組件。在一實施例中,微影設備可調整沿著微影投影設備之光學路徑之任何位置處的波前及強度分佈,諸如在圖案化裝置之前、在光瞳平面附近、在影像平面附近及/或在焦點平面附近。波前操控器可用以校正或補償由(例如)源、圖案化裝置、微影投影設備中之溫度變化、微影投影設備之組件之熱膨脹等所導致的波前及強度分佈及/或相移的某些失真。調整波前及強度分佈及/或相移可改變由成本函數表示之特性的值。可自模型模擬此等變化或實際上量測此等變化。設計變數可包括波前操控器之參數。
設計變數可具有約束,該等約束可被表達為 ,其中 為設計變數之可能值集合。可藉由微影投影設備之所要產出率來強加對設計變數之一個可能約束。在無藉由所要產出率強加之此約束的情況下,最佳化可得到不切實際的設計變數之值集合。舉例而言,若劑量為設計變數,則在無此約束之情況下,最佳化可得到使產出率經濟上不可能的劑量值。然而,約束之有用性不應解釋為必要性。舉例而言,產出率可受光瞳填充比影響。對於一些照明設計,低光瞳填充比可捨棄輻射,從而導致較低產出率。產出率亦可受抗蝕劑化學反應影響。較慢抗蝕劑(例如要求適當地曝光較高量之輻射的抗蝕劑)導致較低產出率。
如本文所用,術語「圖案化程序」意謂作為微影程序之部分的藉由施加光之所指定圖案產生經蝕刻基板的程序。
如本文所用,術語「設計佈局」意謂將在基板上形成的理想化圖案。
如本文所用,術語「經印刷圖案」意謂基於設計佈局形成的基板上之實體圖案。經印刷圖案可包括例如通孔、接觸孔、凹槽、溝道、凹陷、邊緣或由微影程序產生之其他兩維及三維特徵。
如本文中所使用,術語「程序模型」意謂包括模擬圖案化程序之一或多個模型的模型。舉例而言,程序模型可包括以下各者之任何組合:光學模型(例如,模型化用以在微影程序中遞送光的透鏡系統/投影系統且可包括模型化到光阻上的光之最終光學影像)、抗蝕劑模型(例如,模型化抗蝕劑之物理效應,諸如歸因於光的化學效應)、OPC模型(例如,可用以形成設計佈局且可包括子解析度抗蝕劑特徵(SRAF)等等)、成像裝置模型(例如,模型化成像裝置可自經印刷圖案成像之物)。
如本文中所使用,術語「成像裝置」意謂可經組態以產生目標之影像(諸如印刷圖案或其部分)的任何數目個裝置以及相關聯電腦硬體及軟體或其之組合。成像裝置之非限制性實例可包括:掃描電子顯微鏡(SEM)、x射線機器等。
如本文所用,術語「校準」意謂修改(例如改良或調整)及/或驗證諸如程序模型。
圖3說明例示性遮罩圖案及遮罩特徵。圖3中之遮罩圖案310展示諸如以下各者之遮罩特徵的複合圖案:通孔、凹槽、溝道等。在一些實施例中,遮罩圖案可劃分成若干區段,在本文中稱為遮罩嵌塊。遮罩圖案310以四個遮罩嵌塊310a、310b、310c及310d來描繪,但遮罩嵌塊之任何數目或配置係可能的。遮罩嵌塊可藉由邊界(例如邊界312及邊界314)分隔開。遮罩圖案310之經擴展部分展示為插圖320。在此尺度下,遮罩特徵330之形狀清楚地可見。如插圖中所展示,一些遮罩特徵330可跨越邊界312延伸。
圖4說明運用違反MRC規則之習知OPC產生的遮罩特徵。OPC可用於遮罩設計以最佳化遮罩以使得遮罩最終遞送光至基板,使得形成所要設計佈局。遮罩特徵410之一個實例(例如,可在經完全最佳化遮罩上之物)在圖4之頂部部分400A中經描繪具有藉由用於參考之點展示的例示性柵格。實例遮罩特徵410具有為曲線形狀之一些部分且可經由模擬而最佳化以產生經印刷圖案上之所要特徵。習知遮罩最佳化可包括自目標圖案多邊形(例如,表示待製造的所要圖案)開始及提取此類多邊形以充當遮罩之基礎。接著,表示遮罩特徵邊界之點或線段(或對於CTM,表示透射率的遮罩圖案中之像素值)經反覆地調整直至獲得將產生最近經印刷圖案(例如,如諸如運用SMO或其他程序模擬器模擬)的經最佳化遮罩圖案為止。然而,遮罩特徵之此類習知最佳化可係運算上昂貴的,此係由於可存在需要經調整以便形成經最佳化遮罩特徵的許多元件。在圖4之底部部分400B中,直線遮罩特徵420可用以近似遮罩特徵(例如,遮罩特徵410)之任意形狀且亦可歸因於必須僅僅調整線段而不是連續曲線上之點而運用習知OPC但以比曲線遮罩特徵更簡單之方式來最佳化。
由習知OPC產生之最佳化有時可產生違反遮罩規則檢查(MRC)規則之遮罩特徵。作為一個實例,MRC規則可能需要遮罩特徵具有某一最小寬度以避免可能無法建構或可具有較高製造誤差可能性的不允許小特徵。如圖4中之實例中所展示,經最佳化直線遮罩特徵420具有比遮罩特徵410中之相應位置顯著窄的一部分422 (展示為具有較粗線)。在此實例中,最佳化不限於遵從MRC規則且因此產生具有可不適合於實際遮罩生產之類似窄部分的遮罩特徵430 (例如,若建構,將對實體遮罩有影響的遮罩特徵)。
本發明提供實施例,其例如提供建構並最佳化遮罩特徵使得MRC規則可更易於(且在一些實施例中,甚至自動地)服從,藉由類似遮罩特徵之一致修改促進最佳化,及改良跨越遮罩嵌塊之間的邊界之遮罩特徵的幾何形狀之一致性的高效方法。
根據本發明之實施例,在OPC程序中,遮罩特徵表示係藉由使用基於MRC規則界定的二維元件之陣列而建構。圖5說明根據本發明之實施例的用於置放、相關聯及調整形成遮罩特徵之二維元件的例示性程序。在一些實施例中,判定供搭配微影程序一起使用的遮罩圖案(或其一部分)可包括基於目標圖案指派二維元件510之位置。如圖5之第一(頂部)部分500A中所展示,與習知OPC (如圖4中所展示)中之直線段相對比,遮罩特徵410之形狀可由二維元件510之集合(在此實例中,展示為圓形)表示。二維元件中之四者標記為510a至510d。儘管本文中另外描述,但可見形成於二維元件(例如,具有如藉由MRC規則指定的至少一最小寬度之直徑的圓形)周圍之輪廓可固有地及自動地滿足MRC規則而不管二維元件之位置。
圖5中之下一畫面500B描繪基於關聯準則使二維元件相關聯以形成表示遮罩特徵之叢集530的實例。關聯520經描繪為二維元件之間的線段。相關聯的二維元件接著可如本文中另外解釋用以形成具有對應於遮罩特徵410之形狀的叢集530。在圖5中,所展示的二維元件之全部為例示性叢集之部分。並非叢集中之全部二維元件需要彼此相關聯,此係因為相關聯的元件依賴於遮罩特徵最佳化。舉例而言,左上方之二維元件510a並不與右下方之二維元件510d相關聯,但其與其他二維元件之關聯為同一叢集530之部分。
圖5中之中間畫面500C描繪圍繞叢集530的例示性輪廓540。如本文中更詳細地描述,諸如參看圖6,輪廓540可經產生以涵蓋藉由二維元件形成的區域及二維元件之間的區。因此,輪廓可為叢集之對應於遮罩特徵之外邊緣的外輪廓。類似地,對於諸如環狀遮罩特徵之具有內邊緣的遮罩特徵,輪廓可為叢集之對應於遮罩特徵之內邊緣的內輪廓。
下一畫面500D類似於中間畫面,再次展示二維元件510、關聯520、叢集530及輪廓540,但不展示形成二維元件之間之區域的線或不展示遮罩特徵410。本文中,輪廓540更清晰可見並環繞二維元件之叢集。
圖5中之底部畫面500E描繪調整叢集之二維元件以改變藉由叢集形成之遮罩特徵。在一些實施例中,遮罩特徵之調整可基於與微影程序、OPC模型等相關聯之模擬。在其他實施例中,遮罩特徵之調整可基於遮罩圖案之幾何性質(例如,寬度、間隔等)並基於經指定用於OPC的規則(例如,在主要特徵上添加襯線、偏差、錘頭、SRAF等)。在調整係基於模擬的一些實施例中,如在SMO或OPC等中之遮罩產生程序可藉由調整經形成用於經模擬遮罩之叢集中之任一者的二維元件之任何組合最佳化遮罩特徵。在此實例中,二維元件550a經展示處於稍微不同位置且二維元件550b已經添加(其中附近二維元件亦稍微移動)。如本文所使用,「調整」二維元件意謂移動、改變二維元件之形狀,或添加/減去二維元件。舉例而言,圓形二維元件之中心可根據需要移動以最佳化遮罩。在其他實施方案中,圓形二維元件之半徑可作為最佳化程序之部分而改變。運用判定/調整遮罩特徵之輪廓的此類方法,所揭示方法中的任一者可包括自包括由經調整二維元件產生之輪廓的遮罩圖案製造遮罩。
本發明預期可利用許多種類二維元件。因為二維元件可用以界定至少一特定尺寸(例如,CD、遮罩特徵之間的最小間距等),及在一些情況下特定區域(例如,經允許用於遮罩特徵之最小區域),因此二維元件可界定非零區域(例如,如不同於點)。舉例而言,二維元件可為圓形的,或更一般而言橢圓形的。二維元件可為相同的大小或在叢集內或在叢集當中大小可不同。並不需要二維元件為圓形/橢圓形。舉例而言,二維元件可為多邊形(例如,正方形、三角形、矩形、六邊形等)或合適之任意形狀。在此等實施方案中,輪廓可圍繞頂點而內接或抵靠邊而內接。此類二維元件可界定圍封或半圍封區域(例如,圓形之區域,如所展示)。雖然諸如圓形、多邊形等之形狀為圍封區域的實例,但在一些實施例中,二維元件可由弧形或其他類似結構有效地表示。舉例而言,圖5之底部畫面中之相同輪廓可藉由定位具有與圓形相同之中心的弧形段產生且其中弧形段經適當定向並具有足夠長度以產生所描繪輪廓。因此,本文中描繪之例示性二維元件的實質等效物被視為在本發明之範疇內。
本發明不限於自二維元件之叢集判定輪廓之任何特定機構。舉例而言,圖6說明根據本發明之實施例的用於基於二維元件獲得遮罩特徵之輪廓的例示性程序。二維元件之叢集可在不脫離本發明之範疇情況下以任何合適之方式形成輪廓,其中一個實施在圖6中描繪。圖6之頂部部分600A描繪兩個例示性相關聯二維元件610a及610b。虛擬線段620可連接二維元件之中心。並不需要系統產生虛擬線段620,本文出於解釋性目的而提供。關於圍繞二維元件之輪廓,虛擬線段620可在任一側偏移等於二維元件之半徑的距離。此接著可形成在本文中稱為「子區域」(二維元件之區域及基於偏移線的在其之間的區域)的某物,其中一者經展示為子區域622a。在二維元件不為相同大小之實施方案中,偏移可係使得偏移距離自一個半徑轉換至另一距離。然而,應瞭解,此論述僅為例示性的。偏移距離或子區域可以任何其他合適之方式界定且遮罩特徵可具有許多此類子區域。藉由輪廓圍封之區域可為藉由子區域佔據的區域及在子區域之連接集合內部的任何相應區域(例如,圍繞遮罩特徵之周邊的子區域之區域及此周邊可圍封之區域)。
圖6之下一部分600B擴展上述實例以包括二維元件610c。展示在610b與610c之間的另一虛擬線段620a,以及形成輪廓630b之部分的相應偏移線段。因此,在各種實施方案中,類似於上文所描述之程序的程序可包括藉由將多邊形偏移操作應用於相關聯二維元件之對(例如,610a/610b及610b/610c)而產生輪廓之子區域(例如,622a及622b)。程序接著可包括運算子區域之聯集,其中輪廓630b界定子區域之聯集。因為所描繪二維元件可形成叢集,因此系統藉此可基於二維元件產生叢集之輪廓。在此實例中,輪廓對應於形成叢集之周邊的在叢集中之全部子區域之外輪廓。此程序可擴展至如藉由圖6之底部部分600C所展示的任意數目及組態之二維元件,展示輪廓630c正如其亦藉由圖5中之輪廓540描繪。
雖然畫面610A至610C中之圖6之實例經提供以提供形成輪廓之例示性逐步方法,但在一些實施中形成輪廓可運用實質上較少步驟執行。舉例而言,在判定將形成遮罩形狀之基礎的二維元件後,此類二維元件接著可形成單一形狀(其可包含多邊形與線段之任何組合)。此形狀接著可視為「多邊形」(再次,未必嚴格地為多邊形,此係因為其可具有為線段之部分)且此「多邊形」接著可藉由執行如本文中實例中任一者(其中幾個在下文描述)之多邊形偏移操作而形成輪廓。
在一些實施例中,可執行「多邊形偏移」操作,其中待形成輪廓之多邊形可藉由選擇對應於所要遮罩特徵的二維元件之位置(例如,中心)界定。此多邊形640之一個實例藉由較粗線在600C中展示,其中展示連接二維元件之某些中心的各種線段。多邊形640(包括例示性額外線段650)接著可經偏移(例如,二維元件之半徑)以形成所描繪輪廓630c。儘管圖6之實例中未展示,但任何內部區(例如,如在「環狀」遮罩特徵中)可如本文所描述經類似地界定、形成輪廓及經塑形。
經界定外輪廓可另外以任何合適的技術來處理。如自圖5及圖6中之圓形二維元件的實例所見,經判定輪廓之一些部分基於二維元件之半徑自然地圓化。然而,在諸如輪廓630之凹形部分的一些位置中,所揭示之方法亦可包括對於外輪廓執行拐角圓化或任何其他類型之平滑化操作。拐角圓化之一種方法可包括在拐角之任一側之兩個點之間執行樣條內插。在一些實施例中,樣條內插可將輪廓修改為平滑的但可使其自觸碰二維元件中帶出來。此類偏差可係可接受的,此係因為其可進一步強化與最小寬度MRC規則的遵從性。
在一些實施例中,在畫面600D中展示,系統可產生圍繞形成輪廓之多邊形之頂點的「正方形化拐角」670 (而不是圓化拐角660)使得一般將形成尖銳頂點的相交段實際上符合第三線段(例如,類似於倒角)。另一選項可允許線段符合形成「斜接拐角」680,然而在某些實施例中此可引起輪廓之不合需要的延伸部(例如,其可超過距相關聯頂點之距離的指定限制)。在此情況下,系統可使斜接拐角680正方形化以變為另一正方形化拐角680a,使得輪廓不延伸超出指定限制。
圖7說明根據各種實施例的運用實踐MRC規則之二維元件製成之例示性遮罩特徵輪廓及運用允許運用MRC規則之可撓性的二維元件製成之例示性輪廓。在一些實施例中,如圖7中所展示,由於輪廓可至少部分與二維元件之位置(例如,中心)相距指定距離,因此遮罩特徵可自然地滿足最小寬度需要。圖7之上部部分700A描繪其中輪廓(例如,輪廓710)完全至少與二維元件(例如,二維元件730)之位置(例如,中心730a)相距指定距離(例如,指定距離720)的實施例。雖然指定距離可藉由使用者任意地設定或另外藉由系統操控,但在一些實施例中,指定距離可係基於用於遮罩特徵之最小寬度的MRC規則。二維元件(特定言之,圓形二維元件)亦可滿足其他MRC規則,諸如最小曲率(例如,藉由具有用於二維元件之最小半徑)等。
在其他實施例中,諸如為允許在計算遮罩之最佳化或收斂解時的更多可撓性,可允許輪廓710之至少一部分740違反MRC規則。舉例而言,如圖7之下部部分中所展示,雖然多數遮罩特徵展示為遵守MRC規則,但存在可違反MRC最小寬度規則之一個部分740 (以較粗線展示)。此可例如在二維元件750具有不同於其他二維元件之大小的情況下發生,此為可在一些實施例中實施的選項。此可撓性可例如藉由允許在遮罩設計之特定部分中對於MRC規則之某一違反(若此類違反改良遮罩之總收斂或准許遮罩之較關鍵位置的遵從性)而係有益的。
二維元件之幾何形狀可基於一或多個MRC規則而界定。舉例而言,二維元件之尺寸參數(例如,直徑、至多邊形頂點或面之距離等)可經選擇為藉由MRC規則指定的最小寬度。本發明之一個技術益處在於藉由使遮罩特徵中之一些或所有藉由圍繞至少為最小容許值之大小的二維元件形成輪廓而形成,任何經最佳化遮罩特徵自動地遵從此MRC規則。此能力提供遮罩模擬器/優化器以判定可經有效最佳化(例如,藉由根據需要移動二維元件)的遮罩圖案。自動遵從此類MRC規則因此使所得遮罩經更快速地模擬並以減少之誤差製造。
圖8A說明根據實施例的以端至端形式配置並基於MRC規則分離的二維元件之例示性叢集。圖8B說明根據實施例的以端至側形式配置並基於MRC規則分離的二維元件之例示性叢集。
MRC規則可包括最小空間要求。舉例而言,在圖8A中,輪廓810可具有二維元件(其中之僅僅幾個展示於圖中)之叢集810a。類似地,第二輪廓820可具有二維元件之第二叢集820a。如由圖8A之實例所展示,若兩個輪廓810及820之尖端比最小空間要求830更靠近,則由此類輪廓形成之遮罩特徵可在建構遮罩時有合併的實質風險。類似實例如圖8B中所展示,其中輪廓810之尖端接近於輪廓840之側面。根據本發明之實施例,若任一叢集之二維元件違反最小空間要求,則兩個叢集(例如,叢集810a及840a)及所得輪廓可合併。
圖9說明根據一實施例的基於MRC規則之二維元件的叢集之例示性合併。為提供MRC遵從遮罩解決方案,一些實施例可在二維元件違反最小空間要求情況下致使輪廓合併,藉此使遮罩解決方案回至遵從性而不需要後續MRC違反偵測及運算工序。換言之,此類合併可藉此迫使任何所得未合併輪廓為至少最小距離間隔--此係由於其將另外合併。如由圖9中之實例所描繪(基於圖8B),關聯準則可包括當用於第二二維元件之第二輪廓(例如輪廓820)與用於叢集中之二維元件之輪廓(例如輪廓810)之間的距離小於最小空間要求時將第二二維元件(例如,在第二叢集820a之尖端處的二維元件)連接(例如,藉由關聯920展示)至叢集(例如,810a)中。相同條件可根據二維元件之間的距離表達(例如,最小空間要求可藉由居中於最小空間要求加其各別半徑之和的一距離的二維元件違反)。
本發明提供可包括將二維元件之叢集修改成一或多個經修改叢集之方法。在圖9之實例中,調整二維元件中之兩者使得叢集810a及840a變為相同叢集之部分(歸因於在最小空間要求內)。所得輪廓910反映經修改叢集。修改叢集可包括例如:添加或移除二維元件;改變二維元件之間的間距(其可致使叢集及其所得輪廓分裂或合併);等等。此類經修改叢集可類似於先前給出之實例基於MRC規則而形成。在一些實施例中,修改叢集可包括藉由使二維元件中之一者與叢集解離(例如,移除)而修改叢集及基於經修改叢集而修改輪廓。在其他實施例中,某些方法可包括藉由使來自另一叢集之二維元件與叢集相關聯而修改叢集及基於經修改叢集而修改輪廓,如圖9中所展示。
如本文中所描述,對二維元件之調整可用於遮罩最佳化。舉例而言,在最佳化程序中,二維元件之調整可包括藉由移動二維元件中之一或多者的位置而最佳化遮罩圖案,調整二維元件中之一或多者的大小或形狀,等等。作為最佳化之部分進行的二維元件之相關聯亦可包括使在距其他二維元件之指定距離內的二維元件相關聯,換言之,當充分接近時添加二維元件至叢集(如藉由圖9之實例所解釋)。此外,某些實施例可包括使二維元件與叢集之二維元件解離及使二維元件與第二叢集中之二維元件相關聯。此可描述將一個二維元件自一個叢集移動至另一叢集。
如本文中所描述,一些實施例可使用成本函數來最佳化經模擬圖案(例如,用於遮罩、光阻層等)。成本函數(例如,如方程式1之實例中所展示)可包括諸如EPE之可作為最佳化之部分最小化的項(例如,z1、z2等)。因為用於遮罩產生之所揭示方法(例如,移動二維元件以最佳化遮罩特徵)影響經計算用於所得遮罩之成本,因此一些實施例可包括運算量化遮罩圖案之評估的成本函數,其中二維元件之調整係基於成本函數。換言之,二維元件可經移動、調整大小等以減少運算成本,且可基於基於規則之OPC或基於模型之OPC。在一些情況下,成本函數可包括與MRC規則相關之項,例如,判定MRC規則是否被違反及基於此而指派成本。由於此等判定可係運算上昂貴的,因此本發明之另一技術益處在於一些實施例可包括不包括基於MRC規則之任何項的成本函數。在此等實施例中,二維元件可自動地滿足一或多個MRC規則且因此不需要考慮成本函數。
圖10A說明根據本發明之實施例的複製遮罩圖案上在對稱位置中之二維元件之叢集以確保OPC圖案一致性。在一些情況下,圖案佈局可具有在整個圖案中複製(例如,使用標準通孔、凹槽等)的許多特徵。特徵之此類複製可藉此在遮罩及其各別遮罩特徵中反映。圖10A描繪具有數個遮罩特徵的遮罩之實例部分1010,其中遮罩特徵1020在若干位置中重複。遮罩特徵1020在展開圖中展示為類似於先前所描述之遮罩特徵,具有二維元件1030及輪廓1040。
圖10B說明根據實施例的調整一致叢集中之相應二維元件。在一些實施例中,產生「一致叢集」(複製之遮罩特徵1020中之相關聯二維元件)可藉由複製遮罩圖案中之叢集而執行,如圖10A中所展示。接著,此類一致叢集可藉由調整一致叢集中之相應二維元件而一致地調整。在此實例中,相應二維元件1050展示為例如作為最佳化程序之部分而調整。在所描繪之實例遮罩部分1010中,對於遮罩圖案中之相應叢集中之全部相應二維元件1050進行相同調整。以此方式,經複製一致叢集可促進對在整個遮罩中使用的特徵之快速且一致調整,從而允許以單一(或相對較少)命令進行許多調整。
圖11A說明根據實施例的接近遮罩邊界之優先順序二維元件。為改良跨越嵌塊邊界的遮罩特徵之一致性,一些實施例可包括藉由識別跨越第一遮罩嵌塊與第二遮罩嵌塊之間的邊界之邊界二維元件調整一致叢集,其中調整二維元件可不包括調整邊界二維元件。在一些實施例中,此可包括將某些二維元件指定為優先順序二維元件。此類元件在其可自藉由如本文所描述(例如,屬於一致叢集)另外調整其的程序進行的調整中排除的意義上可具有優先順序。舉例而言,當嵌塊經依次處理時,一第一嵌塊可首先經最佳化且使在邊界處之遮罩特徵被調整。為確保跨越邊界之一致性,當第二嵌塊經最佳化時,來自第一遮罩的遮罩特徵中之二維元件中之一些或所有可在第二嵌塊中指示為「優先順序」--意謂不運用第二嵌塊之其餘部分調整。
圖11A中所示之實例描繪藉由邊界1130分隔開的第一遮罩嵌塊1110及第二遮罩嵌塊1120。遮罩特徵1140及1140a經描繪為已在四個位置中複製的遮罩特徵,其中兩個例項(1140a)跨越邊界1130,可以不同於其他兩個例項(1140)之方式處置兩個例項(1140a)。類似於參看圖10A/圖10B描述的元件,相應二維元件1150 (在遮罩特徵之末端處)用單一剖面陰影線描繪。在第二遮罩嵌塊1120中之相應二維元件1150可以針對圖10A/圖10B中之類似相應二維元件1050所描述的方式調整。然而,類似二維元件(在遮罩特徵1140a之末端處)可以不同方式處置。在一些實施例中,為改良跨越邊界之遮罩特徵一致性,在至第一遮罩嵌塊1110及第二遮罩嵌塊1120之邊界1130之臨限距離內的二維元件可指示為優先順序二維元件1170並運用雙剖面陰影線描繪。臨限距離可由藉由系統或使用者設定之參數界定,其中此區之例示性描述藉由虛線展示。對於二維元件指定為優先順序二維元件的臨限距離不必在邊界1130之任一側相同。舉例而言,如所展示,第二嵌塊1120中之第二臨限距離1160及第一嵌塊中之相應第一臨限距離1160a經描繪為相比於第二臨限距離1160距邊界1130更遠,藉此涵蓋第一嵌塊1110中之所展示二維元件中的全部。因此,在一些實施例中,跨越邊界1160的遮罩特徵1140a中之二維元件中之一些或全部可指示為優先順序二維元件1170且因此遮罩特徵1140a之二維元件可不與第二嵌塊1120之其他二維元件(例如,二維元件1150)一起調整,即使邊界並不位於其所在之處,遮罩特徵1140a之二維元件仍可作為相應二維元件以類似於圖10A/圖10B中描繪之方式的方式而調整。
圖11B說明根據實施例的自調整排除的接近遮罩邊界之優先順序二維元件。圖11B描繪在一些實施例中,調整二維元件可不包括調整任何優先順序二維元件。以此方式,某些應用可受益於甚至在二維元件可移動、調整大小等的最佳化程序期間跨越嵌塊邊界之遮罩特徵具有更保證一致性。此亦可包括例如將接近邊界的一些二維元件重新群組(例如,將重新群組二維元件1170為其自身叢集,且視情況對於其他遮罩特徵1140a之類似二維元件進行相同操作)。如圖11B中所展示及如上文所描述,在一些實施例中,優先順序二維元件1170可包含在邊界1130上延伸的整個遮罩特徵1140a。在此等實施例中,在邊界1130上延伸的遮罩特徵1140a可作為最佳化第一嵌塊1110 (在邊界之一側)之部分而調整,但即使遮罩特徵1140a具有在第二嵌塊1120上的一些二維元件,接著當最佳化第二嵌塊1120時仍不被調整。此可進一步確保此類邊界交叉遮罩特徵不以將修改第一嵌塊1110之最佳化的方式而調整。在一些實施例中,接近嵌塊邊界1130之第二嵌塊1120的二維元件可經重新群組。舉例而言,如圖11A中之1150的兩個元件可經重新群組成屬於兩個不同群組1180及1190。當調整二維元件時,例如由於群組1180更接近於邊界1130及遮罩特徵1140a中之優先順序二維元件1170,兩個群組可經單獨地處置。
在一些實施例中,優先順序二維元件可儲存於電腦記憶體中以供稍後重新叫用。舉例而言,此可促進一些實施例用優先順序二維元件取代在距邊界之臨限距離內的二維元件中之一或多者。此類二維元件藉此可藉由不必重新計算或最佳化邊界區域中之輪廓而改良計算效能,以及改良跨越邊界之此類遮罩特徵的一致性。
圖12為說明根據本發明之實施例的利用優先順序二維形狀以改良接近遮罩邊界之遮罩一致性的例示性方法之程序流程圖。
該方法可包括,在1210處,接收遮罩圖案。該遮罩圖案可為初始遮罩圖案、經部分最佳化遮罩圖案、需要基於源、MRC規則、經更新設計佈局等之改變而再最佳化的遮罩圖案。
在1220處,該方法可包括藉由複製遮罩圖案中之叢集而產生一致叢集。該調整可包括調整該等一致叢集中之相應二維元件。
在1220處,該方法可包括將在一第一遮罩嵌塊及一第二遮罩嵌塊之一邊界的一臨限距離內的該等二維元件指定為優先順序二維元件。
在1230處,該方法可包括用自電腦記憶體重新叫用之優先順序二維元件取代接近於邊界的該等二維元件中之一或多者。
在1240處,該方法可包括基於一致叢集中之二維元件產生經調整遮罩圖案。調整該等二維元件可不包括調整任何優先順序二維元件。
圖13為根據本發明之實施例的實例電腦系統CS之方塊圖。
電腦系統CS包括用於傳達資訊之匯流排BS或其他通信機制及與匯流排BS耦接以供處理資訊之處理器PRO (或多個處理器)。電腦系統CS亦包括耦接至匯流排BS以用於儲存待由處理器PRO執行之資訊及指令的主記憶體MM,諸如隨機存取記憶體(RAM)或其他動態儲存裝置。主記憶體MM亦可用於在待由處理器PRO執行之指令的執行期間儲存暫時性變數或其他中間資訊。電腦系統CS進一步包括耦接至匯流排BS以用於儲存用於處理器PRO之靜態資訊及指令的唯讀記憶體(ROM) ROM或其他靜態儲存裝置。提供諸如磁碟或光碟之儲存裝置SD,且將其耦接至匯流排BS以用於儲存資訊及指令。
電腦系統CS可經由匯流排BS耦接至用於向電腦使用者顯示資訊之顯示器DS,諸如陰極射線管(CRT),或平板或觸控面板顯示器。包括文數字及其他按鍵之輸入裝置ID耦接至匯流排BS以用於將資訊及命令選擇傳達至處理器PRO。另一類型之使用者輸入裝置為用於將方向資訊及命令選擇傳達至處理器PRO且用於控制顯示器DS上之游標移動的游標控制件CC,諸如滑鼠、軌跡球或游標方向按鍵。此輸入裝置通常具有在兩個軸線(第一軸(例如,x)及第二軸(例如,y))上之兩個自由度,從而允許該裝置指定平面中之位置。觸控面板(螢幕)顯示器亦可被用作輸入裝置。
根據一個實施例,本文中所描述之一或多種方法的部分可藉由電腦系統CS回應於處理器PRO執行主記憶體MM中所含有之一或多個指令的一或多個序列來執行。可將此等指令自另一電腦可讀媒體(諸如儲存裝置SD)讀取至主記憶體MM中。主記憶體MM中所含有之指令序列的執行使處理器PRO執行本文中所描述之程序步驟。呈多處理配置之一或多個處理器亦可用於執行主記憶體MM中所含有之指令序列。在替代性實施例中,可代替或結合軟體指令而使用硬連線電路。因此,本文中之描述不限於硬體電路及軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器PRO以供執行之任何媒體。此媒體可採取許多形式,包括(但不限於)非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存裝置SD。揮發性媒體包括動態記憶體,諸如主記憶體MM。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排BS之導線。傳輸媒體亦可採取聲波或光波之形式,諸如,在射頻(RF)及紅外線(IR)資料通信期間產生之聲波或光波。電腦可讀媒體可為非暫時性的,例如軟碟、可撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣。非暫時性電腦可讀媒體可具有記錄於其上之指令。在由電腦執行時,指令可實施本文中所描述的特徵中之任一者。暫時性電腦可讀媒體可包括載波或其他傳播電磁信號。
可在將一或多個指令之一或多個序列攜載至處理器PRO以供執行時涉及電腦可讀媒體之各種形式。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體內,且使用數據機經由電話線而發送指令。在電腦系統CS本端之數據機可接收電話線上之資料,且使用紅外線傳輸器將資料轉換為紅外線信號。耦接至匯流排BS之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排BS上。匯流排BS將資料攜載至主記憶體MM,處理器PRO自該主記憶體擷取且執行指令。由主記憶體MM接收之指令可視情況在由處理器PRO執行之前或之後儲存於儲存裝置SD上。
電腦系統CS亦可包括耦合至匯流排BS之通信介面CI。通信介面CI提供與網路鏈路NDL之雙向資料通信耦接,該網路鏈路NDL連接至區域網路LAN。舉例而言,通信介面CI可為整合式服務數位網路(ISDN)卡或數據機以提供至對應類型之電話線的資料通信連接。作為另一實例,通信介面CI可為區域網路(LAN)卡以提供與相容LAN的資料通信連接。亦可實施無線鏈路。在任何此實施中,通信介面CI發送且接收攜載表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。
網路鏈路NDL通常經由一或多個網路提供與其他資料裝置之資料通信。舉例而言,網路鏈路NDL可經由區域網路LAN提供與主機電腦HC之連接。此可包括經由全球封包資料通信網路(現在通常稱為「網際網路」INT)而提供資料通信服務。區域網路LAN (網際網路)皆使用攜載數位資料流之電信號、電磁信號或光學信號。通過各種網路之信號及在網路資料鏈路NDL上且通過通信介面CI之信號為輸送資訊的例示性載波形式,該等信號將數位資料攜載至電腦系統CS且自該電腦系統攜載數位資料。
電腦系統CS可經由網路、網路資料鏈路NDL及通信介面CI發送訊息及接收資料(包括程式碼)。在網際網路實例中,主機電腦HC可經由網際網路INT、網路資料鏈路NDL、區域網路LAN及通信介面CI傳輸用於應用程式之經請求程式碼。舉例而言,一個此經下載應用程式可提供本文中所描述之方法的全部或部分。所接收程式碼可在接收其時由處理器PRO執行,且/或儲存於儲存裝置SD或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統CS可獲得呈載波之形式之應用程式碼。
圖14為根據本發明之實施例的微影投影設備之示意圖。
微影投影設備可包括照明系統IL、第一物件台MT、第二物件台WT及投影系統PS。
照明系統IL可調節輻射光束B。在此特定狀況下,照明系統亦包含輻射源SO。
第一物件台(例如,圖案化裝置台) MT可具備用以固持圖案化裝置MA (例如,倍縮光罩)之圖案化裝置固持器,且連接至用以相對於項目PS來準確地定位圖案化裝置之第一定位器。
第二物件台(基板台) WT可具備用以固持基板W (例如,抗蝕劑塗佈矽晶圓)之基板固持器,且連接至用以相對於項目PS來準確地定位該基板的第二定位器。
投影系統(「透鏡」) PS (例如,折射、反射或反射折射光學系統)可使圖案化裝置MA之經輻照部分成像至基板W之目標部分C (例如,包含一或多個晶粒)上。
如本文中所描繪,設備可屬於透射型(亦即,具有透射圖案化裝置)。然而,一般而言,其亦可屬於反射類型,例如(具有反射圖案化裝置)。設備可採用與經典遮罩不同種類之圖案化裝置;實例包括可程式化鏡面陣列或LCD矩陣。
源SO (例如,水銀燈或準分子雷射、雷射產生電漿(LPP) EUV源)產生輻射光束。舉例而言,此光束直接地抑或在已橫穿諸如光束擴展器Ex之調節設備之後饋送至照明系統(照明器) IL中。照明器IL可包含調整裝置AD,以用於設定光束中之強度分佈之外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL通常包含各種其他組件,諸如,積光器IN及聚光器CO。以此方式,照射於圖案化裝置MA上之光束B在其橫截面中具有所要均勻性及強度分佈。
在一些實施例中,源SO可在微影投影設備之外殼內(如常常係在源SO為例如水銀燈時的情況),但其亦可遠離微影投影設備,源SO產生之輻射光束經引導至設備中(例如憑藉合適導向鏡面);此後一情形可為在源SO為準分子雷射(例如,基於KrF、ArF或F2發出雷射)時的情況。
光束PB隨後可截取固持於圖案化裝置台MT上之圖案化裝置MA。在已橫穿圖案化裝置MA的情況下,光束B可穿過透鏡PL,該透鏡將光束B聚焦至基板W之目標部分C上。憑藉第二定位設備(及干涉式量測設備IF),可準確地移動基板台WT,例如以便將不同目標部分C定位於光束PB之路徑中。類似地,第一定位設備可用於例如在自圖案化裝置庫中機械擷取圖案化裝置MA之後或在掃描期間相對於光束B之路徑準確地定位圖案化裝置MA。大體而言,可藉助於長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在步進器(相對於步進掃描工具)之情況下,圖案化裝置台MT可僅連接至短衝程致動器,或可固定。
可在兩種不同模式-步進模式及掃描模式中使用所描繪工具。在步進模式中,將圖案化裝置台MT保持基本上靜止,且將整個圖案化裝置影像一次性投影(亦即,單次「閃光」)至目標部分C上。在x及/或y方向上使基板台WT偏移,以使得不同目標部分C可由光束PB照射。
在掃描模式中,基本上相同的情形適用,惟不在單次「閃光」中曝光給定目標部分C除外。實際上,圖案化裝置台MT可在給定方向(所謂的「掃描方向」,例如,y方向)上以速度v移動,以使得使投影光束B在圖案化裝置影像上進行掃描;同時,基板台WT以速度V = Mv在相同或相對方向上同時地移動,其中M為透鏡PL之放大率(通常,M = 1/4或1/5)。以此方式,可在不必損害解析度的情況下曝光相對大目標部分C。
圖15為根據本發明之實施例之另一微影投影設備(LPA)的示意圖。
LPA可包括源收集器模組SO、經組態以調節輻射光束B (例如,EUV輻射)之照明系統(照明器) IL、支撐結構MT、基板台WT及投影系統PS。
支撐結構(例如,圖案化裝置台) MT可經建構以支撐圖案化裝置(例如,遮罩或倍縮光罩) MA且連接至經組態以準確地定位圖案化裝置之第一定位器PM;
基板台(例如,晶圓台) WT可經建構以固持基板(例如,抗蝕劑塗佈晶圓) W,且連接至經組態以準確地定位該基板之第二定位器PW。
投影系統(例如,反射投影系統) PS可經組態以將藉由圖案化裝置MA賦予給輻射光束B之圖案投影於基板W的目標部分C (例如,包含一或多個晶粒)上。
如此處所描繪,LPA可屬於反射類型(例如,使用反射圖案化裝置)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以圖案化裝置可具有包含(例如)鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可運用X射線微影來產生甚至更小的波長。因為大多數材料在EUV及x射線波長下具吸收性,所以圖案化裝置構形上之經圖案化吸收材料薄片段(例如,多層反射器之頂部上之TaN吸收器)界定特徵將印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)之處。
照明器IL可自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但未必限於用在EUV範圍內之一或多種發射譜線將具有至少一元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿(「LPP」))中,可藉由用雷射光束來輻照燃料(諸如,具有譜線發射元素之材料小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射之EUV輻射系統之部分,該雷射用於提供激發燃料的雷射光束。所得電漿發射輸出輻射(例如EUV輻射),該輸出輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射及源收集器模組可為分離實體。
在此等情況下,可不認為雷射形成微影設備之部分,且輻射光束可憑藉包含例如合適導向鏡面及/或光束擴展器之光束傳遞系統而自雷射傳遞至源收集器模組。在其他情況下,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部分。
照明器IL可包含用於調整輻射光束之角強度分佈的調整器。一般而言,可調整照明器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如,琢面化場鏡面裝置及琢面化光瞳鏡面裝置。照明器可用以調節輻射光束,以在其橫截面中具有所要均勻性及強度分佈。
輻射光束B可入射於固持於支撐結構(例如,圖案化裝置台) MT上之圖案化裝置(例如,遮罩) MA上,且由該圖案化裝置來圖案化。在自圖案化裝置(例如,遮罩) MA反射之後,輻射光束B通過投影系統PS,該投影系統將該光束聚焦至基板W之目標部分C上。藉助於第二定位器PW及位置感測器PS2 (例如,干涉量測裝置、線性編碼器或電容式感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。類似地,第一定位器PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確地定位圖案化裝置(例如,遮罩) MA。可使用圖案化裝置對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置(例如,遮罩) MA與基板W。
所描繪之設備LPA可用於以下模式中之至少一者:步進模式、掃描模式及靜止模式。
在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上(亦即,單次靜態曝光)時,使支撐結構(例如,圖案化裝置台) MT及基板台WT保持基本上靜止。接著,使基板台WT在X及/或Y方向上偏移,以使得可曝光不同目標部分C。
在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時(亦即,單次動態曝光),同步地掃描支撐結構(例如,圖案化裝置台) MT及基板台WT。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,圖案化裝置台) MT之速度及方向。
在靜止模式中,使支撐結構(例如圖案化裝置台) MT保持基本上靜止從而固持可程式化圖案化裝置,且移動或掃描基板台WT,同時將向輻射光束賦予之圖案投影至目標部分C上。在此模式中,通常使用脈衝式輻射源,且在基板台WT之各移動之後或在一掃描期間的順次輻射脈衝之間根據需要而更新可程式化圖案化裝置。此操作模式可易於應用於利用可程式化圖案化裝置(諸如,可程式化鏡面陣列)之無遮罩微影。
圖16為根據本發明之實施例之微影投影設備的詳細視圖。
如所展示,LPA可包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置成使得可在源收集器模組SO之圍封結構ES中維持真空環境。可藉由放電產生電漿源而形成發射熱電漿HP之EUV輻射。可藉由氣體或蒸汽(例如,Xe氣體、Li蒸汽或Sn蒸汽)來產生EUV輻射,其中建立熱電漿HP以發射在電磁波譜之EUV範圍內之輻射。舉例而言,藉由產生至少部分離子化電漿之放電來建立熱電漿HP。為了輻射之有效率產生,可需要為(例如) 10 Pa之分壓之Xe、Li、Sn蒸汽或任何其他合適氣體或蒸汽。在一實施例中,提供經激發錫(Sn)電漿以產生EUV輻射。
由熱電漿HP發射之輻射經由定位於源腔室SC中的開口中或後方之視情況選用的氣體障壁或污染物截留器CT (在一些情況下,亦稱為污染物障壁或箔片截留器)而自源腔室SC傳遞至收集器腔室CC中。污染物截留器CT可包括通道結構。污染物截留器CT亦可包括氣體障壁,或氣體障壁與通道結構之組合。如此項技術中已知,本文中進一步所指示之污染物截留器或污染物障壁CT至少包括通道結構。
收集器腔室CC可包括可為所謂掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側US及下游輻射收集器側DS。橫穿輻射收集器CO之輻射可自光柵光譜濾光器SF反射以沿由點虛線『O』指示之光軸聚焦於虛擬源點IF中。虛擬源點IF可稱為中間焦點,且源收集器模組經配置成使得中間焦點IF位於圍封結構ES中之開口OP處或附近。虛擬源點IF為輻射發射電漿HP之影像。
隨後,輻射橫穿照明系統IL,該照明系統可包括琢面化場鏡面裝置FM及琢面化光瞳鏡面裝置pm,該琢面化場鏡面裝置及琢面化光瞳鏡面裝置經配置以提供在圖案化裝置MA處的輻射光束B之所要角度分佈以及在圖案化裝置MA處的輻射振幅之所要均一性。在由支撐結構MT固持之圖案化裝置MA處反射輻射光束B後,形成經圖案化光束PB,且經圖案化光束PB藉由投影系統PS經由反射元件RE成像至由基板台WT固持之基板W上。
比所展示元件多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影設備之類型,可視情況存在光柵光譜濾光器SF。另外,可存在比諸圖中所展示之鏡面更多的鏡面,例如,可存在存在於投影系統PS中的1至6個額外反射元件。
收集器光學件CO可為具有掠入射反射器GR之巢套式收集器,僅作為收集器(或收集器鏡面)之實例。掠入射反射器GR經安置為繞光軸O軸向對稱,且此類型之收集器光學件CO可與通常稱為DPP源之放電產生電漿源組合使用。
圖17為根據本發明之實施例的微影投影設備LPA之源收集器模組SO的詳細視圖。
源收集器模組SO可為LPP輻射系統之部分。雷射LA可經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數十電子伏特(eV)的電子溫度之高度離子化電漿HP。在此等離子之去激發及再結合期間所產生之高能輻射自電漿發射,由近正入射收集器光學裝置CO收集,且聚焦至圍封結構ES中的開口OP上。
本文中所揭示之概念可模擬或數學上模型化用於使子波長特徵成像之任何通用成像系統,且可尤其供能夠產生愈來愈短波長之新興成像技術使用。已經在使用中之新興技術包括極紫外線(EUV) DUV微影,其能夠藉由使用ArF雷射來產生193 nm之波長且甚至能夠藉由使用氟雷射來產生157 nm之波長。此外,EUV微影能夠藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體或電漿)而產生在20 nm至50 nm之範圍內的波長,以便產生在此範圍內之光子。
本發明之實施例可藉由以下條項進一步描述。 1.     一種用於判定供搭配一微影程序一起使用之一遮罩圖案的方法,該方法包含: 基於一目標圖案指派二維元件之位置; 基於關聯準則使該等二維元件相關聯以形成表示一遮罩特徵之一叢集;及 調整該叢集之該等二維元件以改變該遮罩特徵。 2.     如條項1之方法,其中該調整係基於與該微影程序相關聯的一模擬。 3.     如條項1之方法,其中該調整係基於該遮罩圖案之幾何性質及基於經指定用於OPC之規則。 4.     如條項1之方法,其進一步包含基於該等二維元件產生該叢集之一輪廓。 5.     如條項4之方法,其中該輪廓為對應於該遮罩特徵之一外邊緣的該叢集之一外輪廓。 6.     如條項4之方法,其中該輪廓為對應於該遮罩特徵之一內邊緣的該叢集之一內輪廓。 7.     如條項4之方法,其進一步包含: 藉由將一多邊形偏移操作應用於該等相關聯二維元件之對而產生該輪廓之子區域; 運算該等子區域之聯集,其中該輪廓為該等子區域之該聯集。 8.     如條項4之方法,其進一步包含自包括由該等經調整二維元件產生的該輪廓之該遮罩圖案製造一遮罩。 9.     如條項4之方法,其中該輪廓至少部分與該等二維元件之該等位置相距一指定距離。 10.   如條項9之方法,其中該輪廓完全至少與該等二維元件之該等位置相距該指定距離。 11.    如條項9之方法,其中該指定距離係基於用於該遮罩特徵之一最小寬度的一MRC規則。 12.   如條項9之方法,其中該輪廓之至少一部分違反一MRC規則。 13.   如條項1之方法,其中該等二維元件之幾何形狀係基於一或多個遮罩規則遵從性(MRC)規則而界定。 14.   如條項13之方法,其中該二維元件之一尺寸參數經選擇為藉由該等MRC規則指定之一最小寬度。 15.   如條項13之方法,其中該一或多個MRC規則包括一最小空間要求,該等關聯準則包含當用於一第二二維元件之一第二輪廓與用於該叢集中之該等二維元件之該輪廓之間的一距離小於該最小空間要求時將該第二二維元件連接至該叢集中。 16.   如條項1之方法,其進一步包含將該等二維元件之該叢集修改成一或多個經修改叢集。 17.   如條項16之方法,該一或多個經修改叢集係基於MRC規則而形成。 18.   如條項16之方法,該等操作進一步包含: 藉由使該等二維元件中之一者與該叢集解離修改該叢集;及 基於該經修改叢集修改該輪廓。 19.   如條項16之方法,該等操作進一步包含: 藉由使來自另一叢集之一二維元件與該叢集相關聯而修改該叢集;及 基於該經修改叢集修改該輪廓。 20.   如條項1之方法,該調整包含藉由移動該等二維元件中之一或多者的一位置而最佳化該遮罩圖案。 21.   如條項1之方法,該調整包含藉由調整該等二維元件中之一或多者的一大小或一形狀而最佳化該遮罩圖案。 22.   如條項1之方法,該相關聯進一步包含使在距其他二維元件之一指定距離內的一二維元件相關聯。 23.   如條項22之方法,該相關聯或調整進一步包含使一二維元件與該叢集之該等二維元件解離及使該二維元件與一第二叢集中之一二維元件相關聯。 24.   如條項1之方法,其進一步包含運算量化該遮罩圖案之一評估的一成本函數,其中該等二維元件之該調整係基於該成本函數。 25.   如條項24之方法,其中該成本函數不包括基於MRC規則之任何項。 26.   如條項1之方法,其中該等二維元件中之各者係圓形的。 27.   如條項1之方法,其中該等二維元件中之各者係橢圓形的。 28.   如條項1之方法,其中該等二維元件中之各者係相同的大小。 29.   如條項1之方法,其中該等二維元件中之各者界定一非零區域。 30.   如條項1之方法,其中該等二維元件中之各者係一多邊形。 31.   如條項1之方法,其中該等二維元件中之各者界定一圍封或半圍封區域。 32.   如條項5之方法,其進一步包含對於該外輪廓執行拐角圓化。 33.   如條項32之方法,其中該拐角圓化包含在一拐角之任一側之兩個點之間執行樣條內插。 34.   如條項1之方法,該等操作進一步包含: 藉由複製該遮罩圖案中之該叢集而產生一致叢集;及 調整該等一致叢集中之相應二維元件。 35.   如條項34之方法,該等一致叢集之該調整包含: 識別跨越一第一遮罩嵌塊與一第二遮罩嵌塊之間的一邊界的一邊界二維元件; 其中調整該等二維元件不包括調整該邊界二維元件。 36.   如條項34之方法,該等一致叢集之該調整包含: 將在一第一遮罩嵌塊及一第二遮罩嵌塊之一邊界的一臨限距離內的該等二維元件指定為優先順序二維元件;且 其中調整該等二維元件不包括調整任何優先順序二維元件。 37.   如條項35之方法,其進一步包含在用於稍後重新叫用之電腦記憶體中儲存該等優先順序二維元件。 38.   如條項35之方法,其進一步包含用優先順序二維元件取代在距該邊界之該臨限距離內的該等二維元件中之一或多者。 39.   如條項1之方法,其進一步包含: 接收該遮罩圖案; 藉由複製該遮罩圖案中之該叢集而產生一致叢集,其中該調整包括調整該等一致叢集中之相應二維元件; 將在一第一遮罩嵌塊及一第二遮罩嵌塊之一邊界的一臨限距離內的該等二維元件指定為優先順序二維元件; 用自電腦記憶體重新叫用之優先順序二維元件取代接近於該邊界的該等二維元件中之一或多者;及 基於該等一致叢集中之該等二維元件而產生一經調整遮罩圖案,其中調整該等二維元件不包括調整任何優先順序二維元件。 40.   一種非暫時性電腦可讀媒體,其上記錄有用於判定供搭配一微影程序一起使用之一遮罩圖案的指令,該等指令在由具有至少一個可程式化處理器之一電腦執行時引起包含如條項1至39中之任一項之操作的操作。 41.   一種用於判定供搭配一微影程序一起使用之一遮罩圖案的系統,該系統包含: 至少一個可程式化處理器;及 一非暫時性電腦可讀媒體,其上記錄有指令,該等指令在由具有該至少一個可程式化處理器之一電腦執行時引起如條項1至39中任一項之操作。
雖然本文所揭示之概念可用於在諸如矽晶圓之基板上之成像,但應理解,所揭示概念可供搭配任何類型之微影成像系統一起使用,例如,用於在除了矽晶圓以外的基板上之成像之微影成像系統。
本文中所揭示之元件之組合及子組合構成單獨實施例且僅作為實例提供。又,以上描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下如所描述進行修改。
10A:微影投影設備 12A:輻射源 14A:光學件 16Aa:光學件 16Ab:光學件 16Ac:透射光學件 18A:圖案化裝置 20A:可調整濾光片/孔徑 22A:基板平面 31:源模型 32:投影光學件模型 35:設計佈局模型 36:空中影像 37:抗蝕劑模型 38:抗蝕劑影像 310:遮罩圖案 310a:遮罩嵌塊 310b:遮罩嵌塊 310c:遮罩嵌塊 310d:遮罩嵌塊 312:邊界 314:邊界 320:插圖 330:遮罩特徵 400A:頂部部分 400B:底部部分 410:遮罩特徵 420:直線遮罩特徵 422:部分 430:遮罩特徵 500A:第一(頂部)部分 500B:下一畫面 500C:中間畫面 500D:下一畫面 500E:底部畫面 510:二維元件 510a:二維元件 510b:二維元件 510c:二維元件 510d:二維元件 520:關聯 530:叢集 540:輪廓 550a:二維元件 550b:二維元件 600A:頂部部分 600B:下一部分 600C:底部部分 600D:畫面 610a:二維元件 610b:二維元件 610c:二維元件 620:虛擬線段 620a:另一虛擬線段 622a:子區域 622b:子區域 630b:輪廓 630c:輪廓 640:多邊形 650:額外線段 660:圓化拐角 670:正方形化拐角 680:斜接拐角 680a:另一正方形化拐角 700A:上部部分 710:輪廓 720:指定距離 730:二維元件 730a:中心 740:部分 750:二維元件 810:輪廓 810a:叢集 820:第二輪廓 820a:第二叢集 830:最小空間要求 840:輪廓 840a:叢集 910:所得輪廓 920:關聯 1010:實例遮罩部分 1020:遮罩特徵 1030:二維元件 1040:輪廓 1050:相應二維元件 1110:第一遮罩嵌塊 1120:第二遮罩嵌塊 1130:邊界 1140:遮罩特徵 1140a:遮罩特徵 1150:二維元件 1160:第二臨限距離/邊界 1160a:第一臨限距離 1170:優先順序二維元件 1180:群組 1190:群組 AD:調整裝置 B:光束/輻射光束 BS:匯流排 C:目標部分 CC:游標控制件/收集器腔室 CI:通信介面 CO:聚光器/輻射收集器 CS:電腦系統 CT:污染物截留器 DS:顯示器/下游輻射收集器側 ES:圍封結構 GR:掠入射反射器: HC:主機電腦 HP:熱電漿 ID:輸入裝置 IF:干涉式量測設備/虛擬源點/中間焦點 IL:照明系統 IN:積光器 INT:網際網路 LA:雷射 LAN:區域網路 LPA:微影投影設備 MA:圖案化裝置 MM:主記憶體 MT:第一物件台 M1:圖案化裝置對準標記 M2:圖案化裝置對準標記 NDL:網路鏈路 O:點虛線 OP:開口 PB:光束 PM:第一定位器/琢面化光瞳鏡面裝置 PRO:處理器 PS:投影系統 PS2:位置感測器 PW:第二定位器 P1:基板對準標記 P2:基板對準標記 RE:反射元件 ROM:唯讀記憶體 SC:源腔室 SD:儲存裝置 SF:光柵光譜濾光器 SO:輻射源 US:上游輻射收集器側 W:基板 WT:第二物件台
併入於本說明書中且構成其之一部分之隨附圖式展示本文揭示之主題的某些態樣,且與描述一起,有助於解釋與所揭示實施相關聯之一些原理。在圖式中,
圖1說明根據本發明之實施例之微影投影設備的各種子系統之方塊圖。
圖2說明根據本發明之實施例之用於模擬微影投影設備中的微影之例示性流程圖。
圖3說明例示性遮罩圖案及遮罩特徵。
圖4說明運用違反MRC規則之OPC產生的習知遮罩特徵。
圖5說明根據本發明之實施例的用於置放、相關聯及調整形成遮罩特徵之二維元件的例示性程序。
圖6說明根據本發明之實施例的用於基於二維元件獲得遮罩特徵之輪廓的例示性程序。
圖7說明根據本發明之各種實施例的運用實踐MRC規則之二維元件製成之例示性遮罩特徵輪廓及運用允許運用MRC規則之可撓性的二維元件製成之例示性輪廓。
圖8A說明根據本發明之實施例的以端至端形式配置並基於MRC規則分離的二維元件之例示性叢集。
圖8B說明根據本發明之實施例的以端至側形式配置並基於MRC規則分離的二維元件之例示性叢集。
圖9說明根據本發明之實施例的基於MRC規則之二維元件的叢集之例示性合併。
圖10A說明根據本發明之實施例的複製遮罩圖案上在對稱位置中之二維元件之叢集以確保OPC圖案一致性。
圖10B說明根據本發明之實施例的調整一致叢集中之相應二維元件。
圖11A說明根據本發明之實施例的接近遮罩邊界之優先順序二維元件。
圖11B說明根據本發明之實施例的接近自調整排除的遮罩邊界之優先順序二維元件。
圖12為說明根據本發明之實施例的利用優先順序二維形狀以改良接近遮罩邊界之遮罩一致性的例示性方法之程序流程圖。
圖13為根據本發明之實施例的實例電腦系統之方塊圖。
圖14為根據本發明之實施例的微影投影設備之示意圖。
圖15為根據本發明之實施例之另一微影投影設備的示意圖。
圖16為根據本發明之實施例之微影投影設備的詳細視圖。
圖17為根據本發明之實施例的微影投影設備之源收集器模組的詳細視圖。
410:遮罩特徵
500A:第一(頂部)部分
500B:下一畫面
500C:中間畫面
500D:下一畫面
500E:底部畫面
510:二維元件
510a:二維元件
510b:二維元件
510c:二維元件
510d:二維元件
520:關聯
530:叢集
540:輪廓
550a:二維元件
550b:二維元件

Claims (16)

  1. 一種用於判定供搭配一微影程序一起使用之一遮罩圖案的電腦實施方法,該方法包含: 基於一目標圖案指派二維元件之位置; 基於關聯準則使該等二維元件相關聯以形成表示一遮罩特徵之一叢集;及 調整該叢集之該等二維元件以改變該遮罩特徵。
  2. 如請求項1之電腦實施方法,其中該調整係基於用以最佳化該遮罩圖案的該微影程序之一模擬。
  3. 如請求項1之電腦實施方法,其進一步包含基於該等二維元件產生該叢集之一輪廓,其中該輪廓為以下各者中之一者:該叢集之一外輪廓,其對應於該遮罩特徵之一外邊緣;及該叢集之一內輪廓,其對應於該遮罩特徵之一內邊緣。
  4. 如請求項3之電腦實施方法,其進一步包含: 藉由將一多邊形偏移操作應用於該等相關聯二維元件之對而產生該輪廓之子區域; 運算該等子區域之聯集,其中該輪廓界定該等子區域之該聯集。
  5. 如請求項2之電腦實施方法,其中該輪廓至少部分設定為與該等二維元件之該等位置相距一指定距離。
  6. 如請求項1之電腦實施方法,其中該等二維元件之幾何形狀係基於一或多個遮罩規則遵從性(MRC)規則而界定。
  7. 如請求項6之電腦實施方法,其中該一或多個MRC規則包括一最小空間要求,該等關聯準則包含當用於一第二二維元件之一第二輪廓與用於該叢集中之該等二維元件之該輪廓之間的一距離小於該最小空間要求時將該第二二維元件連接至該叢集中。
  8. 如請求項1之電腦實施方法,其進一步包含將該等二維元件之該叢集修改成一或多個經修改叢集。
  9. 如請求項8之電腦實施方法,該一或多個經修改叢集係基於MRC規則及/或與該微影程序相關聯之該模擬而形成。
  10. 如請求項8之電腦實施方法,其中該修改該叢集包含 藉由使該等二維元件中之一者與該叢集解離或藉由使來自另一叢集之一二維元件與該叢集相關聯而修改該叢集;且進一步包含基於該經修改叢集修改該輪廓。
  11. 如請求項1之電腦實施方法,該調整包含藉由調整該等二維元件中之一或多者的一大小或一形狀而最佳化該遮罩圖案。
  12. 如請求項1之電腦實施方法,其中該等二維元件中之各者界定一圍封或半圍封區域,其中該等二維元件中之各者為圓形、橢圓形或一多邊形。
  13. 如請求項1之電腦實施方法,其進一步包含: 藉由複製該遮罩圖案中之該叢集而產生用於重複特徵之一致叢集;及 調整該等一致叢集中之相應二維元件。
  14. 如請求項13之電腦實施方法,該等一致叢集之該調整包含: 識別跨越一第一遮罩嵌塊與一第二遮罩嵌塊之間的一邊界的一邊界二維元件; 其中調整該等二維元件不包括調整該邊界二維元件。
  15. 如請求項13之電腦實施方法,該等一致叢集之該調整包含: 將在一第一遮罩嵌塊及一第二遮罩嵌塊之一邊界的一臨限距離內的該等二維元件指定為優先順序二維元件;且 其中調整該等二維元件不包括調整任何優先順序二維元件。
  16. 如請求項14之電腦實施方法,其進一步包含用優先順序二維元件取代在距該邊界之該臨限距離內的該等二維元件中之一或多者。
TW112109455A 2022-03-22 2023-03-15 具有曲線元件之微影圖案表示 TW202407459A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263322517P 2022-03-22 2022-03-22
US63/322,517 2022-03-22

Publications (1)

Publication Number Publication Date
TW202407459A true TW202407459A (zh) 2024-02-16

Family

ID=85462198

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112109455A TW202407459A (zh) 2022-03-22 2023-03-15 具有曲線元件之微影圖案表示

Country Status (2)

Country Link
TW (1) TW202407459A (zh)
WO (1) WO2023180020A1 (zh)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
JP4806020B2 (ja) 2005-08-08 2011-11-02 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
CN101305320B (zh) 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
KR20240052072A (ko) * 2018-12-28 2024-04-22 에이에스엠엘 네델란즈 비.브이. 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
WO2021160522A1 (en) * 2020-02-12 2021-08-19 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
JP2023528208A (ja) * 2020-06-03 2023-07-04 エーエスエムエル ネザーランズ ビー.ブイ. パターニングデバイス及びそのパターンを生成するためのシステム、製品、及び方法

Also Published As

Publication number Publication date
WO2023180020A1 (en) 2023-09-28

Similar Documents

Publication Publication Date Title
TWI803834B (zh) 圖案化製程之最佳化流程
TWI823616B (zh) 執行用於訓練機器學習模型以產生特性圖案之方法的非暫時性電腦可讀媒體
TWI753681B (zh) 用於改良光罩圖案產生中之一致性之方法
TWI828011B (zh) 判定光罩圖案之方法及相關非暫時性電腦程式產品
TW201939157A (zh) 二值化方法及自由形式光罩最佳化流程
TWI783185B (zh) 藉由源及遮罩最佳化以建立理想源光譜的方法
TWI714165B (zh) 用於改善製程模型之方法
TWI778722B (zh) 用於選擇資訊模式以訓練機器學習模型之設備及方法
TWI786651B (zh) 產生圖案化裝置之系統、產品及方法及其圖案
TWI835008B (zh) 產生光罩圖案之方法
TW202407459A (zh) 具有曲線元件之微影圖案表示
TWI834463B (zh) 用以判定局部焦點之軟體、方法及系統
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
TWI820359B (zh) 用於增強成像至基板上之圖案的目標特徵之方法和系統
CN112889004B (zh) 通过源和掩模优化创建理想源光谱的方法
TW202401161A (zh) 用於微影製程之失效率之判定之方法、系統及軟體
TW202338489A (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
TW202334739A (zh) 模擬模型穩定性判定系統及方法
TW202409714A (zh) 用於最佳化微影程序之基於繞射的光瞳判定
TW202340847A (zh) 用於判定基於蝕刻偏差方向之蝕刻功效之系統及方法
WO2024017807A1 (en) Systems and methods for optimizing metrology marks
TW202405567A (zh) 圖案選擇系統及方法
WO2024110141A1 (en) Curvilinear polygon recovery for opc mask design
CN118265950A (zh) 模拟模型稳定性确定方法