TWI820359B - 用於增強成像至基板上之圖案的目標特徵之方法和系統 - Google Patents

用於增強成像至基板上之圖案的目標特徵之方法和系統 Download PDF

Info

Publication number
TWI820359B
TWI820359B TW109140449A TW109140449A TWI820359B TW I820359 B TWI820359 B TW I820359B TW 109140449 A TW109140449 A TW 109140449A TW 109140449 A TW109140449 A TW 109140449A TW I820359 B TWI820359 B TW I820359B
Authority
TW
Taiwan
Prior art keywords
features
pattern
target
substrate
auxiliary
Prior art date
Application number
TW109140449A
Other languages
English (en)
Other versions
TW202127147A (zh
Inventor
維爾拉 厄爾 康利
端孚 徐
Original Assignee
美商希瑪有限責任公司
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商希瑪有限責任公司, 荷蘭商Asml荷蘭公司 filed Critical 美商希瑪有限責任公司
Publication of TW202127147A publication Critical patent/TW202127147A/zh
Application granted granted Critical
Publication of TWI820359B publication Critical patent/TWI820359B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70325Resolution enhancement techniques not otherwise provided for, e.g. darkfield imaging, interfering beams, spatial frequency multiplication, nearfield lenses or solid immersion lenses
    • G03F7/70333Focus drilling, i.e. increase in depth of focus for exposure by modulating focus during exposure [FLEX]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本發明描述增強成像至一基板上之一圖案的目標特徵。此可包括將一或多個輔助特徵新增至一圖案化器件圖案中之鄰近該圖案化器件圖案中之一或多個目標特徵的一或多個位置中。基於該基板中之兩個或更多個不同聚集位置新增該一或多個輔助特徵。此亦包括基於該兩個或更多個不同聚集位置及一或多個經新增之輔助特徵使該圖案化器件圖案及/或一設計佈局移位。此可實用於改善橫跨隙縫之不對稱性。將該一或多個輔助特徵新增至該圖案及使該圖案及/或該設計佈局移位藉由縮減由一多焦點微影成像裝置之一隙縫的橫跨隙縫之不對稱性引起的一移位來增強該等目標特徵。此可縮減橫跨一整個成像場之該移位。

Description

用於增強成像至基板上之圖案的目標特徵之方法和系統
本文中之描述係關於一種用於增強成像至基板上之圖案的目標特徵之方法及系統。
微影投影裝置可用於(例如)積體電路(IC)製造中。圖案化器件(例如,光罩)可含有或提供對應於IC之個別層的圖案(「設計佈局」),且此圖案可藉由諸如經由圖案化器件上之圖案照射目標部分的方法經轉印於基板(例如,矽晶圓)上之目標部分(例如,包含一或多個晶粒)上,該目標部分已塗佈有一層輻射敏感材料(「抗蝕劑」)。一般而言,單個基板包括複數個鄰近目標部分,該圖案藉由微影投影裝置連續地轉印至該等目標部分,一次一個目標部分。在一種類型之微影投影裝置中,在一個操作中將整個圖案化器件上之圖案轉印至一個目標部分上。此裝置通常被稱作步進器。在通常稱為步進掃描裝置之替代裝置中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化器件進行掃描,同時平行或反平行於此參考方向而同步地移動基板。圖案化器件上之圖案之不同部分逐漸地轉印至一個目標部分。一般而言,由於微影投影裝置將具有縮減比率M(例如4)且在x及y方向特徵中之縮減比率可不同,故基板移動之速度F將為 投影光束掃描圖案化器件之速度的1/M倍。可例如自以引用方式併入本文中之US 6,046,792搜集到關於如本文中所描述之微影器件的更多資訊。
在將圖案自圖案化器件轉印至基板之前,基板可經歷各種工序,諸如,上底漆、抗蝕劑塗佈,及軟烘烤。在曝光之後,基板可經受其他工序(「曝光後工序」),諸如曝光後烘烤(PEB)、顯影、硬烘烤及對經轉印圖案之量測/檢測。此工序陣列用作製造器件(例如IC)之個別層的基礎。基板接著可經歷各種製程,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學-機械拋光等等,該等製程皆意欲精整器件之個別層。若在器件中需要若干層,則針對每一層來重複整個工序或其變體。最終,在基板上之每一目標部分中將存在器件。接著藉由諸如切塊或鋸切之技術來使此等器件彼此分離,據此,可將個別器件安裝於載體上、連接至銷釘,等等。
因此,製造器件(諸如半導體器件)通常涉及使用數個製造製程來處理基板(例如,半導體晶圓)以形成該等器件之各種特徵及多個層。通常使用(例如)沈積、微影、蝕刻、化學機械拋光及離子植入等來製造及處理此等層及特徵。可在基板上之複數個晶粒上製作多個器件,且接著將該等器件分離成個別器件。此器件製造製程可視為圖案化製程。圖案化製程涉及圖案化步驟,諸如使用微影裝置中之圖案化器件來將圖案化器件上的圖案轉印至基板之光學及/或奈米壓印微影,且圖案化製程通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影裝置進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻裝置使用圖案進行蝕刻等。
如所提及,微影為在諸如IC之器件之製造時的中心步驟,其中形成於基板上之圖案界定器件之功能元件,諸如微處理器、記憶體晶 片等。類似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他器件。
隨著半導體製造製程繼續進步,幾十年來,功能元件之尺寸已不斷地減小,而每器件的諸如電晶體之功能元件之數目已在穩固地增加,此遵循通常被稱作「莫耳定律(Moore's law)」之趨勢。在當前技術狀態下,使用微影投影裝置來製造器件之層,該等微影投影裝置使用來自深紫外照明源之照明將設計佈局投影至基板上,從而產生尺寸遠低於100nm(亦即小於來自照明源(例如,193nm照明源)之輻射的波長之一半)的個別功能元件。
供印刷尺寸小於微影投影裝置之經典解析度限制之特徵的此製程根據解析度公式CD=k1×λ/NA而通常被稱為低-k1微影,其中λ為所使用輻射之波長(當前在大多數狀況下為248nm或193nm),NA為微影投影裝置中之投影光學件之數值孔徑,CD為「臨界尺寸(critical dimension)」(通常為所印刷之最小特徵大小),且k1為經驗解析度因數。一般而言,k1愈小,則在基板上再現類似於由設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用至微影投影裝置、設計佈局或圖案化器件。此等微調步驟包括(例如,但不限於):NA及光學相干設定之最佳化、自訂照明方案、相移圖案化器件之使用、設計佈局中之光學近接校正(OPC,有時亦被稱作「光學及製程校正」),或通常被定義為「解析度增強技術」(RET)之其他方法。
根據一實施例,提供一種其上具有指令之非暫時性電腦可 讀媒體。該等指令在由電腦執行時使電腦將一或多個輔助特徵置放在設計佈局中之鄰近設計佈局中之一或多個目標特徵的一或多個位置中。該設計佈局經組態以用於圖案化基板。基於基板中之兩個或更多個不同聚集位置置放一或多個輔助特徵。該等指令亦使電腦基於兩個或更多個不同聚集位置及一或多個經置放輔助特徵來使設計佈局(例如,電路設計)移位。該移位經組態以當一或多個目標特徵經圖案化在基板上時增強一或多個目標特徵。
在一實施例中,使設計佈局移位包含相對於該基板重新定位基於設計佈局而判定之圖案化器件圖案。
在一實施例中,該增強係藉由縮減原本將由成像輻射在基板之成像期間經過之隙縫的橫跨隙縫之不對稱性引起的移位來實現。
在一實施例中,橫跨隙縫之不對稱性係與Z2任尼克多項式或附帶任尼克多項式相關聯。
在一實施例中,置放一或多個輔助特徵及使設計佈局移位包含模擬數值孔徑(NA)、均方偏差、最佳焦點、及/或與成像輻射相關聯之波長峰距的調整以最佳化一或多個輔助特徵。在一實施例中,該最佳化包含穿縫最佳化。
在一實施例中,置放一或多個輔助特徵及使設計佈局移位包含一或多個輔助特徵之電磁或純量模型化及運用電子模型使設計佈局移位。
在一實施例中,該等指令進一步經組態以使電腦:基於經最佳化輔助特徵判定穿縫輔助特徵規則及置放一或多個輔助特徵;及向設計佈局應用全場光學近接校正。全場光學近接校正可基於模型或基於規 則。應用全場光學近接校正包含:基於經移位設計佈局將穿縫重新定位移位應用於設計佈局之一或多個目標特徵;應用經最佳化穿縫輔助特徵;及應用主要特徵偏置。
在一實施例中,經由基於模型之最佳化運用自訂成本函數判定穿縫輔助特徵位置及寬度,且最佳輔助特徵位置及寬度轉換成規則表。自訂成本函數包含用於目標特徵側壁角、側壁角線性度、側壁角對稱性及圖案置放誤差之項。
在一實施例中,一或多個目標特徵(當形成於基板中時)具有側壁,且其中執行置放一或多個輔助特徵及使設計佈局移位以獲得所需側壁角、側壁角線性度及/或側壁角對稱性。
在一實施例中,具有兩個或更多個不同波長之經最佳化成像輻射控制基板上之兩個或更多個不同聚集位置以用於成像輻射對基板之單次曝光。
在一實施例中,一或多個輔助特徵及經移位設計佈局經組態以藉由在基板中改善一或多個目標特徵之對稱性或一或多個目標特徵之置放中之一者或兩者來增強基板中之一或多個目標特徵。
在一實施例中,置放一或多個輔助特徵包含基於與不同聚集位置相關聯之成像輻射的兩個或更多個不同波長來判定一或多個輔助特徵相對於一或多個目標特徵之數量、形狀、大小、位置及/或定向。在一實施例中,一或多個輔助特徵之形狀、大小、位置及/或定向經組態使得一或多個輔助特徵不形成於基板中。
在一實施例中,針對半導體製造製程執行置放一或多個輔助特徵及使設計佈局移位。
根據另一實施例,提供一種用於當一或多個目標特徵經圖案化在基板上時增強一或多個目標特徵之方法。該方法包含將一或多個輔助特徵置放在設計佈局中之鄰近設計佈局中之一或多個目標特徵的一或多個位置中。該設計佈局經組態以用於圖案化基板。基於基板中之兩個或更多個不同聚集位置置放一或多個輔助特徵。該方法亦包含基於兩個或更多個不同聚集位置及一或多個經置放輔助特徵來使設計佈局(例如,電路設計)移位。該移位經組態以當一或多個目標特徵經圖案化在基板上時增強一或多個目標特徵。
在一實施例中,使設計佈局移位包含相對於該基板重新定位基於設計佈局而判定之圖案化器件圖案。
在一實施例中,該增強係藉由縮減原本將由成像輻射在基板之成像期間經過之隙縫的橫跨隙縫之不對稱性引起的移位來實現。
在一實施例中,橫跨隙縫之不對稱性係與Z2任尼克多項式或附帶任尼克多項式相關聯。
在一實施例中,置放一或多個輔助特徵及使設計佈局移位包含模擬數值孔徑(NA)、均方偏差、最佳焦點、及/或與成像輻射相關聯之波長峰距的調整以最佳化一或多個輔助特徵。在一實施例中,該最佳化包含穿縫最佳化。
在一實施例中,置放一或多個輔助特徵及使設計佈局移位包含一或多個輔助特徵之電磁或純量模型化及運用電子模型使設計佈局移位。
在一實施例中,該方法進一步包含基於經最佳化輔助特徵判定穿縫輔助特徵規則及置放一或多個輔助特徵,及向設計佈局應用全場 光學近接校正。全場光學近接校正可基於模型或基於規則。應用全場光學近接校正包含:基於經移位設計佈局將穿縫重新定位移位應用於設計佈局之一或多個目標特徵;應用經最佳化穿縫輔助特徵;及應用主要特徵偏置。
在一實施例中,穿縫輔助特徵規則係基於自訂成本函數而判定。自訂成本函數包含用於目標特徵側壁角、側壁角線性度、側壁角對稱性及圖案置放誤差之項。
在一實施例中,一或多個目標特徵具有側壁。執行置放一或多個輔助特徵及使設計佈局移位以獲得所需側壁角、側壁角線性度及/或側壁角對稱性。
在一實施例中,具有兩個或更多個不同波長之經最佳化成像輻射控制基板上之兩個或更多個不同聚集位置以用於成像輻射對基板之單次曝光。
在一實施例中,一或多個輔助特徵及經移位設計佈局經組態以藉由在基板中改善一或多個目標特徵之對稱性或一或多個目標特徵之置放中之一者或兩者來增強基板中之一或多個目標特徵。
在一實施例中,置放一或多個輔助特徵包含基於與不同聚集位置相關聯之成像輻射的兩個或更多個不同波長來判定一或多個輔助特徵相對於一或多個目標特徵之數量、形狀、大小、位置及/或定向。在一實施例中,一或多個輔助特徵之形狀、大小、位置及/或定向經組態使得一或多個輔助特徵不形成於基板中。
在一實施例中,針對半導體製造製程執行置放一或多個輔助特徵及使設計佈局移位。
根據另一實施例,提供一種用於增強成像至基板上之圖案的目標特徵之方法。該方法包含:針對成像輻射判定基板上之兩個或更多個不同聚集位置;及基於兩個或更多個不同聚集位置將一或多個輔助特徵新增至圖案中之靠近該圖案之目標特徵中之一或多者的一或多個位置中。經新增之一或多個輔助特徵經組態以增強基板上之目標特徵。
在一實施例中,基板上之兩個或更多個不同聚焦位置係用於具有兩個或更多個不同波長之成像輻射,且經判定以用於成像輻射對層之單次曝光。
在一實施例中,成像輻射包含對應於兩個或更多個不同波長之兩個或更多個不同顏色。
在一實施例中,基於成像輻射之兩個或更多個不同波長而判定兩個或更多個不同聚焦位置。
在一實施例中,一或多個輔助特徵包含一或多個子解析度輔助特徵。
在一實施例中,經新增之一或多個輔助特徵經組態以藉由在該基板中改善圖案之目標特徵的對稱性或圖案之目標特徵的置放中之一者或兩者來增強基板上之目標特徵。
在一實施例中,該方法進一步包含:藉由將一或多個輔助特徵新增至圖案中之靠近目標特徵中之一或多者之一或多個位置中來判定與基板相關聯之影像;及基於一或多個經新增之輔助特徵及目標特徵判定影像。
在一實施例中,該影像為空中影像。
在一實施例中,基於一或多個經新增之輔助特徵及一或多 個目標特徵判定該影像會在影像中改善圖案之目標特徵之對稱性或圖案之目標特徵之置放中之一者或兩者。
在一實施例中,相對於在不考慮輔助特徵之情況下判定之不同影像中的目標特徵之對稱性及/或置放來改善該影像中的圖案之目標特徵之對稱性或圖案之目標特徵之置放中之一者或兩者。
在一實施例中,將一或多個輔助特徵新增至圖案中之靠近該圖案之一或多個目標特徵的一或多個位置中包含判定一或多個輔助特徵相對於一或多個目標特徵之形狀、大小、位置及/或定向。
在一實施例中,將一或多個輔助特徵新增至圖案藉由縮減由多焦點微影成像裝置之隙縫的橫跨隙縫之不對稱性引起的移位來增強目標特徵。
在一實施例中,橫跨隙縫之不對稱性係與Z2任尼克多項式相關聯。
在一實施例中,橫跨隙縫之不對稱性係與附帶任尼克多項式相關聯。
在一實施例中,一或多個輔助特徵中之不同輔助特徵對應於隙縫中之一或多個不同隙縫位置。
在一實施例中,一或多個輔助特徵之形狀、大小、位置及/或定向經組態使得一或多個輔助特徵不形成於基板上。
在一實施例中,將一或多個輔助特徵新增至圖案中之靠近圖案之一或多個目標特徵的一或多個位置中包含以電子方式模型化圖案中之一或多個輔助特徵。
在一實施例中,該圖案包含光罩圖案。
在一實施例中,針對半導體製造製程執行:針對成像輻射判定基板上之兩個或更多個不同聚集位置;及將一或多個輔助特徵新增至圖案中之靠近圖案之一或多個目標特徵的一或多個位置中。
在一實施例中,將一或多個輔助特徵新增至圖案中之靠近該圖案之一或多個目標特徵的一或多個位置中包含在給定目標特徵之一側上新增一個輔助特徵。
在一實施例中,將一或多個輔助特徵新增至圖案中之靠近圖案之一或多個目標特徵的一或多個位置中包含在給定目標特徵之一側上新增兩個或更多個輔助特徵。
在一實施例中,將一或多個輔助特徵新增至圖案中之靠近該圖案之一或多個目標特徵的一或多個位置中包含在給定目標特徵之兩個不同側中之每一者上新增至少一個輔助特徵。
根據另一實施例,提供一種非暫時性電腦可讀媒體。該媒體在其上儲存有指令。該等指令在由電腦執行時實施本文中所描述的實施例中任一者之方法。
根據另一實施例,提供一種非暫時性電腦可讀媒體。該媒體在其上具有指令。該等指令在由電腦執行時使電腦:針對成像輻射判定基板上之兩個或更多個不同聚集位置;及基於兩個或更多個不同聚集位置將一或多個輔助特徵新增至圖案中的靠近圖案之目標特徵中之一或多者的一或多個位置中。經新增之一或多個輔助特徵經組態以增強基板上之目標特徵。
在一實施例中,基板上之兩個或更多個不同聚焦位置係用於具有兩個或更多個不同波長之成像輻射,且經判定以用於成像輻射對層 之單次曝光。
在一實施例中,一或多個輔助特徵包含一或多個子解析度輔助特徵。
在一實施例中,經新增之一或多個輔助特徵經組態以藉由在該基板中改善圖案之目標特徵的對稱性或圖案之目標特徵的置放中之一者或兩者來增強基板上之目標特徵。
在一實施例中,該等指令進一步經組態以使電腦:藉由將一或多個輔助特徵新增至圖案中之靠近目標特徵中之一或多者的一或多個位置中來判定與基板相關聯之空中影像;及基於一或多個經新增之輔助特徵及目標特徵來判定空中影像。
在一實施例中,相對於在不考慮輔助特徵之情況下判定之不同影像中的目標特徵之對稱性及/或置放來改善空中影像中的圖案之目標特徵之對稱性或圖案之目標特徵之置放中之一者或兩者。
在一實施例中,將一或多個輔助特徵新增至圖案中之靠近該圖案之一或多個目標特徵的一或多個位置中包含判定一或多個輔助特徵相對於一或多個目標特徵之形狀、大小、位置及/或定向。
在一實施例中,將一或多個輔助特徵新增至圖案藉由縮減由多焦點微影成像裝置之隙縫的橫跨隙縫之不對稱性引起的移位來增強目標特徵。
在一實施例中,一或多個輔助特徵中之不同輔助特徵對應於隙縫中之一或多個不同隙縫位置。
在一實施例中,一或多個輔助特徵之形狀、大小、位置及/或定向經組態使得一或多個輔助特徵不形成於基板上。
在一實施例中,將一或多個輔助特徵新增至圖案中之靠近圖案之一或多個目標特徵的一或多個位置中包含以電子方式模型化圖案中之一或多個輔助特徵。
根據另一實施例,描述一種微影裝置。該裝置包含:照明源及投影光學件,其經組態以將圖案成像至基板上;及一或多個處理器,其藉由機器可讀指令組態以:針對成像輻射判定基板上之兩個或更多個不同聚集位置;及基於兩個或更多個不同聚集位置將一或多個輔助特徵新增至圖案中之靠近該圖案之目標特徵中之一或多者的一或多個位置中,經新增之一或多個輔助特徵經組態以增強基板上之目標特徵。
在一實施例中,基板上之兩個或更多個不同聚焦位置係用於具有兩個或更多個不同波長之成像輻射,且經判定以用於成像輻射對層之單次曝光。
在一實施例中,一或多個輔助特徵包含一或多個子解析度輔助特徵。
在一實施例中,經新增之一或多個輔助特徵經組態以藉由在該基板中改善圖案之目標特徵的對稱性或圖案之目標特徵的置放中之一者或兩者來增強基板上之目標特徵。
在一實施例中,該一或多個處理器進一步經組態以:藉由將一或多個輔助特徵新增至圖案中之靠近目標特徵中之一或多者之一或多個位置中來判定與基板相關聯之影像;及基於一或多個經新增之輔助特徵及目標特徵來判定影像。
在一實施例中,該影像為空中影像。
在一實施例中,該一或多個處理器經組態使得將一或多個 輔助特徵新增至圖案中之靠近圖案之一或多個目標特徵的一或多個位置中包含判定一或多個輔助特徵相對於一或多個目標特徵之形狀、大小、位置及/或定向。
在一實施例中,該一或多個處理器經組態使得將一或多個輔助特徵新增至圖案藉由縮減由多焦點微影成像裝置之隙縫的橫跨隙縫之不對稱性引起的移位來增強目標特徵。
在一實施例中,一或多個輔助特徵中之不同輔助特徵對應於隙縫中之一或多個不同隙縫位置。
在一實施例中,該一或多個處理器經組態使得將一或多個輔助特徵新增至圖案中之靠近圖案之一或多個目標特徵的一或多個位置中包含在給定目標特徵之一側上新增一個輔助特徵。
在一實施例中,該一或多個處理器經組態使得將一或多個輔助特徵新增至圖案中之靠近圖案之一或多個目標特徵的一或多個位置中包含在給定目標特徵之一側上新增兩個或更多個輔助特徵。
根據另一實施例,描述一種用於增強成像至基板上之圖案的目標特徵之方法。該方法包含:使用成像輻射以在基板上產生兩個或更多個不同聚集位置;及基於該兩個或更多個不同聚集位置將一或多個輔助特徵新增至圖案中之靠近該圖案之目標特徵中之一或多者的一或多個位置中。經新增之一或多個輔助特徵經組態以增強基板上之目標特徵。該方法包含使圖案之目標特徵基於一或多個經新增之輔助特徵及目標特徵而成像至基板上。
根據另一實施例,提供一種用以增強將設計佈局之一部分成像至基板上之製程的電腦實施方法。該方法包含:針對成像輻射判定基 板上之兩個或更多個不同聚集位置;及基於該兩個或更多個不同聚集位置將一或多個輔助特徵不對稱地置放至用於成像之設計佈局的靠近用於成像之設計佈局中之目標特徵的一或多個位置中。
10A:微影投影裝置
12A:輻射源
14A:光學件組件
16Aa:光學件組件
16Ab:光學件組件
16Ac:透射光學件
18A:圖案化器件
20A:光瞳
22A:基板平面
31:源模型
32:投影光學件模型
33:設計佈局
35:設計佈局模型
36:空中影像
37:抗蝕劑模型
38:抗蝕劑影像
300:實例方法
302:判定/操作
304A:新增/操作
304B:移位/操作
306:成像/操作
400:單焦點成像
402:厚光阻劑層
404:輻射
405:透鏡
410:單個聚焦位置
412:非線性側壁
500:額外蝕刻製程
502:側壁角均勻性及線性度
504:特徵
506:基板
510:可用(例如,光阻劑)層厚度
600:多焦點成像
602:厚光阻劑層
604:輻射
605:透鏡
610:聚集位置
611:聚集位置
612:側壁角線性度
700:影像移位
702:不同隙縫位置
710:邊緣
712:邊緣
750:移位
752:不同影像
754:不同影像
760:焦點移位
800:強度
802:光罩位置
804:標繪圖
806:圖案目標特徵
810:中心線
811:強度
812:側
814:側
904:標繪圖
911:傾斜線
1001:輔助特徵
1003:圖案
1005:目標特徵
1007:寬度
1009:邊緣
1011:給定距離
1100:強度
1102:光罩位置
1104:標繪圖
1105:標繪圖
1106:標繪圖
1108:目標特徵
1110:輔助特徵
1112:側
1114:側
1116:增加或減小
1200:強度
1202:光罩位置
1204:標繪圖
1208:目標特徵
1210:輔助特徵
1212:輔助特徵
1214:側
1216:側
1220:大體類似強度
1300:輔助特徵
1302:目標特徵
1304:強度
1306:光罩位置
1308:標繪圖
1310:標繪圖
1312:圖案目標特徵
1320:輔助特徵
1350:側
1360:側
1370:抗蝕劑剖面
1372:側壁
1374:特徵
1376:區域
1380:抗蝕劑剖面
1382:側壁
1384:特徵
1386:較線性化
1400:實例抗蝕劑剖面
1402:經新增之輔助特徵
1450:點
1460:實例集合
1500:移位
1502:光罩
1504:KrF多焦點成像配置
1506:特徵
1508:基板
1510:所要位置
1512:第一視圖
1514:第二視圖
1516:移位
1518:第三視圖
1520:量
1600:模型
1601:影像
1602:側壁
1603:影像
1604:圖案目標特徵
1605:隙縫位置
1607:隙縫位置
1610:改變
1620:移位
1622:移位
1700:模型
1701:影像
1703:影像
1704:圖案特徵
1705:隙縫位置
1707:隙縫位置
1710:改變
1720:移位
1722:移位
1800:判定
1801:流程
1802:應用
1804:全場
1806:胞元
1808:隙縫
1810:調諧/操作
1812:最佳化/操作
1814:判定/操作
1820:產生
1822:初始檢查
1902:峰值波長離距
1904:圖案特徵
1906:中心隙縫橫截面影像
1908:圖案特徵
1910:判定
1912:不同側壁角
1914:判定
1916:輔助特徵
1950:位置
1952:位置
2000:目標圖案特徵
2002:經新增之輔助特徵
2004:特定寬度
2006:分離距離
2010:移位
2100:最佳聚焦位置
2102:抗蝕劑層
2104:底部
2106:中間
2110:抗蝕劑剖面
2112:抗蝕劑剖面
2114:抗蝕劑剖面圖表
2116:晶圓位置
2118:抗蝕劑高度
2201:抗蝕劑剖面標繪圖
2202:單焦點成像
2203:基板位置
2204:多焦點
2205:抗蝕劑高度
2206:抗蝕劑層
2208:抗蝕劑層
2210:改善
2212:理想狀態
2300:實例自訂成本函數
2302:目標特徵側壁角
2304:側壁角線性度
2306:側壁角對稱性
2308:圖案置放誤差
2310:圖案目標特徵
2312:目標臨界尺寸(CD)
2314:左邊緣置放誤差(EPE)按鈕
2316:右邊緣置放誤差(EPE)按鈕
2320:EPEi
2322:hi
2350:橫截面視圖
2360:俯視圖
AD:調整器件
B:投影光束/輻射光束
BS:匯流排
C:目標部分
CC:游標控制件/收集器腔室
CI:通信介面
CO:聚光器/輻射收集器/收集器光學件
CS:實例電腦系統
CT:污染物截留器
DS:顯示器/下游輻射收集器側
ES:圍封結構
FM:琢面化場鏡面器件
GR:掠入射反射器
HC:主機電腦
HP:EUV輻射發射熱電漿
ID:輸入器件
IF:干涉式量測裝置/虛擬源點
IL:照明系統/照明器/照明光學件單元
IN:積光器
INT:網際網路
LA:雷射器
LAN:區域網路
LPA:微影投影裝置
M1:圖案化器件對準標記
M2:圖案化器件對準標記
MA:圖案化器件
MM:主記憶體
MT:第一物件台/圖案化器件台/支撐結構
NDL:網路資料鏈路
O:光軸
OP:開口
P1:基板對準標記
P2:基板對準標記
PB:經圖案化光束
PM:第一定位器/琢面化光瞳鏡面器件
PRO:處理器
PS:投影系統
PS1:位置感測器
PS2:位置感測器
PW:第二定位器
RE:反射元件
ROM:唯讀記憶體
SC:源腔室
SD:儲存器件
SF:光柵光譜濾光器
SO:輻射源
US:上游輻射收集器側
W:基板
WT:第二物件台
併入本說明書中且構成其一部分的隨附圖式展示本文中所揭示之主題的某些態樣,且與描述一起,有助於闡明與所揭示之實施相關聯的一些原理。在圖式中,
圖1說明根據一實施例之微影投影裝置之各種子系統的方塊圖。
圖2說明根據一實施例之用於模擬微影投影裝置中之微影的例示性流程圖。
圖3說明根據一實施例之用於增強成像至基板上之圖案的目標特徵之實例方法。
圖4說明根據一實施例之用於厚光阻劑層之單焦點成像(例如,使用單波長或顏色之輻射來成像)。
圖5說明根據一實施例之使用額外蝕刻製程以改善使用單焦點成像形成於基板上之圖案的特徵之側壁角均勻性及線性度。
圖6說明根據一實施例之多焦點成像。
圖7A說明根據一實施例之橫跨用於多焦點微影成像裝置中之隙縫的不同隙縫位置之影像移位之實例。
圖7B說明根據一實施例之與不同(例如,空中)影像相關聯之移位,且該等不同影像對應於用於多焦點成像之兩個不同輻射波長。
圖8說明根據一實施例之用於與使用(或基於)單焦點成像產 生之圖案特徵相關聯的空中影像之一部分的強度相對於光罩位置標繪圖。
圖9說明根據一實施例之用於與使用(或基於)多焦點成像產生之圖案特徵相關聯的空中影像之部分之不同強度相對於光罩位置標繪圖。
圖10說明根據一實施例之經新增至圖案之輔助特徵。
圖11說明根據一實施例之用於與目標特徵及輔助特徵相關聯之空中影像之一部分的一系列強度相對於光罩位置標繪圖。
圖12說明根據一實施例之用於與目標特徵及兩個輔助特徵相關聯之空中影像之一部分的強度相對於光罩位置標繪圖。
圖13說明根據一實施例之靠近圖案中之目標特徵新增輔助特徵對抗蝕劑剖面之影響。
圖14說明根據一實施例之基於不同經新增輔助特徵產生(例如,模型化)之各種實例抗蝕劑剖面1400。
圖15說明根據一實施例之基於兩個或更多個不同聚焦位置及一或多個經新增輔助特徵使圖案化器件圖案及/或設計佈局移位。
圖16說明根據一實施例之經移位目標圖案特徵之側壁的模型之影像之第一實例。
圖17說明根據一實施例之經移位目標圖案特徵之側壁的模型之影像之第二實例。
圖18說明根據一實施例之流程,其包含基於經最佳化之經新增輔助特徵判定穿縫輔助特徵及圖案移位規則及置放一或多個輔助特徵,以及向橫跨隙縫之圖案化器件圖案應用全場光學近接校正。
圖19根據一實施例提供關於圖18中所展示的操作之其他細 節。
圖20說明根據一實施例之經最佳化穿縫圖案及輔助特徵規則之實例。
圖21說明根據一實施例之針對多焦點成像製程最佳化抗蝕劑層中之最佳聚焦位置的實例。
圖22說明根據一實施例之調整(例如,調諧)及/或以其他方式最佳化多焦點成像輻射之波長峰距。
圖23說明根據一實施例之自訂成本函數之實例。
圖24為根據一實施例之實例電腦系統的方塊圖。
圖25為根據一實施例之微影投影裝置的示意圖。
圖26為根據一實施例之另一微影投影裝置的示意圖。
圖27為根據一實施例的微影投影裝置之詳細視圖。
圖28為根據一實施例之微影投影裝置之源收集器模組的詳細視圖。
本發明描述使用輔助特徵及設計佈局(例如,電路設計)移位以改善經圖案化特徵中之橫跨隙縫之不對稱性。該不對稱性可能來自影像移位,該影像移位發生在多焦點成像製程(例如,使用具有多個波長及/或顏色之輻射的成像)期間。多焦點成像包含基於具有兩個或更多個不同波長(及/或顏色)之成像輻射來形成(例如,經平均化)空中影像(在本文中描述)。使用具有兩個或更多個不同波長(及/或顏色)之成像輻射會在基板中產生兩個或更多個不同聚焦位置。基板中之兩個或更多個不同聚焦位置係與例如成像輻射對層之單次曝光相關聯。多焦點成像製程可用於增加聚 焦深度,對側壁角進行成像,及/或增強積體電路製造之其他態樣。然而,在多焦點成像中,影像移位橫跨隙縫進行,且移位之影響或程度係取決於兩個或更多個波長之間的差。
本發明方法及裝置經組態以縮減或去除由於多焦點(例如,多波長及/或多色)成像中之色像差而造成的橫跨隙縫之不對稱效應。本發明方法及裝置經組態以增強將設計佈局圖案化至基板上之製程。本發明方法及裝置經組態以基於兩個或更多個不同聚焦位置將一或多個輔助特徵新增及/或以其他方式置放至設計佈局中之靠近設計佈局之目標特徵中之一或多者的一或多個位置。可不對稱地、對稱地及/或在其他定向上新增一或多個輔助特徵。本發明方法及裝置亦經組態以基於兩個或更多個不同聚焦位置及一或多個經新增輔助特徵而相對於基板使圖案化器件圖案及/或設計佈局(例如,電路設計)移位。一或多個經新增輔助特徵及經移位圖案化器件圖案及/或設計佈局經組態以增強基板中之一或多個目標特徵。在一些實施例中,如本文中所描述,可作為多焦點成像製程流程之計算最佳化的部分來執行新增一或多個輔助特徵及移位。
儘管在本文中可特定地參考IC製造,但應明確地理解,本文中之描述具有許多其他可能應用。舉例而言,其可用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等。熟習此項技術者將瞭解,在此類替代應用之上下文中,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應視為可分別與更一般的術語「光罩」、「基板」及「目標部分」互換。
在本文件中,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如具有365nm、248nm、193nm、157 nm或126nm之波長)及EUV(極紫外線輻射,其例如具有約5nm至100nm之範圍內的波長)。
圖案化器件可包含或可形成一或多個設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局。此製程常常被稱作電子設計自動化(EDA)。大多數CAD程式遵循預定設計規則之集合,以便產生功能設計佈局/圖案化器件。基於處理及設計限制而設定此等規則。舉例而言,設計規則界定器件(諸如閘極、電容器等)或互連線之間的空間容許度,以確保器件或線不會以不合意的方式彼此相互作用。設計規則限制中之一或多者可被稱作「臨界尺寸」(CD)。可將器件之臨界尺寸界定為線或孔之最小寬度或兩條線或兩個孔之間的最小空間。因此,CD調節經設計器件之總大小及密度。器件製造中之目標中之一者係在基板上如實地再現原始器件意圖(經由圖案化器件)。
如本文中所使用之術語「光罩」或「圖案化器件」可廣泛地解釋為係指可用於向入射輻射光束賦予經圖案化橫截面之通用圖案化器件,經圖案化橫截面對應於待在基板的目標部分中產生之圖案。術語「光閥」亦可用於此上下文中。除經典光罩(透射或反射;二元、相移、混合式等)以外,其他此類圖案化器件之實例亦包括可程式化鏡面陣列。此器件之實例為具有黏彈性控制層及反射表面之矩陣可定址表面。此裝置所隱含之基本原理為(例如):反射表面之經定址區域使入射輻射反射為繞射輻射,而未經定址區域使入射輻射反射為非繞射輻射。在使用適當濾光器之情況下,可自經反射光束濾出該非繞射輻射,從而僅留下繞射輻射;以此方式,光束根據矩陣可定址表面之定址圖案而變得圖案化。可使用適合之電子構件來執行所需矩陣定址。其他此類圖案化器件之實例亦包括可程式 化LCD陣列。在以引用之方式併入本文中的美國專利第5,229,872號中給出此構造之一實例。
如本文中所使用之術語「投影光學件」應被廣泛地解譯為涵蓋各種類型之光學系統,包括(例如)折射光學件、反射光學件、光圈及反射折射光學件。術語「投影光學件」亦可包括用於集體地或單個地導向、塑形或控制投影輻射光束的根據此等設計類型中之任一者而操作之組件。術語「投影光學件」可包括微影投影裝置中之任何光學組件,而不管光學組件定位於微影投影裝置之光學路徑上之何處。投影光學件可包括用於在來自源之輻射通過圖案化器件之前塑形、調整及/或投影該輻射的光學組件,及/或用於在該輻射通過圖案化器件之後塑形、調整及/或投影該輻射的光學組件。投影光學件通常不包括源及圖案化器件。
圖1說明根據一實施例之微影投影裝置10A之各種子系統的方塊圖。主要組件為:輻射源12A,其可為深紫外線準分子雷射源或包括極紫外線(EUV)源的其他類型之源(微影投影裝置自身不需要具有輻射源);照明光學件,其例如定義部分相干性(被表示為均方偏差)且可包括塑形來自源12A之輻射的光學件組件14A、16Aa及16Ab;圖案化器件(或光罩)18A;及透射光學件16Ac,其將圖案化器件圖案之影像投影至基板平面22A上。應注意,圖1意欲為微影投影裝置之一般表示。舉例而言,該裝置可屬於反射類型,或其亦可屬於透射類型。
光瞳20A可包括於透射光學件16Ac中。在一些實施例中,在光罩18A之前及/或之後可存在一或多個光瞳。如本文中進一步詳細地描述,光瞳20A可提供最終到達基板平面22A之光之圖案化。在投影光學件之光瞳平面處的可調整濾光器或光圈可限定照射於基板平面22A上之光 束角度之範圍,其中最大可能角度界定投影光學件之數值孔徑NA=n sin(Θmax),其中n為基板與投影光學件之最末元件之間的介質之折射率,且Θmax為自投影光學件射出的仍可照射於基板平面22A上之光束的最大角度。
在微影投影裝置中,源將照明(亦即,輻射)提供至圖案化器件,且投影光學件經由該圖案化器件將該照明導向至基板上且塑形該照明。投影光學件可包括組件14A、16Aa、16Ab及16Ac中之至少一些。空中影像(AI)為在基板水平面處之輻射強度分佈。可使用抗蝕劑模型以自空中影像計算抗蝕劑影像,可在全部揭示內容特此以引用方式併入之美國專利申請公開案第US 2009-0157630號中找到此情形之實例。抗蝕劑模型係與抗蝕劑層之屬性(例如,在曝光、曝光後烘烤(PEB)及顯影期間發生的化學程序之效應)有關。微影投影裝置之光學屬性(例如照明、圖案化器件及投影光學件之屬性)指示空中影像且可經定義在光學模型中。由於可改變用於微影投影裝置中之圖案化器件,故需要使圖案化器件之光學屬性與至少包括源及投影光學件的微影投影裝置之其餘部分之光學屬性分離。用於將設計佈局變換成各種微影影像(例如,空中影像、抗蝕劑影像等)、使用彼等技術及模型應用OPC且評估效能(例如,依據製程窗)的技術及模型之細節描述於美國專利申請公開案第US 2008-0301620號、第2007-0050749號、第2007-0031745號、第2008-0309897號、第2010-0162197號及第2010-0180251號中,前述各案的揭示內容特此以全文引用之方式併入。
理解微影製程之一個態樣係理解輻射與圖案化器件之相互作用。可自在輻射到達圖案化器件之前的輻射之電磁場及表徵該相互作用之函數判定在輻射通過圖案化器件之後的輻射之電磁場。此函數可稱為光 罩透射函數(其可用於描述透射圖案化器件及/或反射圖案化器件之相互作用)。
光罩透射函數可具有各種不同形式。一種形式為二元的。二元光罩透射函數在圖案化器件上的任何給定位置處具有兩個值(例如零及正常數)中之任一者。呈二元形式之光罩透射函數可稱為二元光罩。另一形式為連續的。亦即,圖案化器件之透射率(或反射率)之模數為圖案化器件上之部位的連續函數。透射率(或反射率)之相位亦可為圖案化器件上之部位的連續函數。呈連續形式之光罩透射函數可被稱作連續色調光罩或連續透射光罩(CTM)。舉例而言,可將CTM表示為像素化影像,其中可向每一像素指派介於0與1之間的值(例如0.1、0.2、0.3等)來代替0或1之二進位值。在一實施例中,CTM可為像素化灰階影像,其中每一像素具有若干值(例如在範圍[-255,255]內、在範圍[0,1]或[-1,1]或其他適當範圍內之正規化值)。
薄光罩近似(亦稱為克希荷夫(Kirchhoff)邊界條件)廣泛地用於簡化對輻射與圖案化器件之相互作用之判定。薄光罩近似假定圖案化器件上之結構之厚度與波長相比極小,且光罩上的結構之寬度與波長相比極大。因此,薄光罩近似假定在圖案化器件之後的電磁場係入射電磁場與光罩透射函數之乘積。然而,當微影製程使用具有愈來愈短之波長的輻射,且圖案化器件上之結構變得愈來愈小時,對薄光罩近似之假定可分解。舉例而言,由於結構(例如頂部表面與側壁之間的邊緣)之有限厚度,輻射與結構之相互作用(「光罩3D效應」或「M3D」)可變得重要。在光罩透射函數中涵蓋此散射可使得光罩透射函數能夠較佳捕捉輻射與圖案化器件之相互作用。依據薄光罩近似之光罩透射函數可被稱作薄光罩透射函 數。涵蓋M3D的光罩透射函數可稱為M3D光罩透射函數。
根據本發明之一實施例,可產生一或多個影像。影像包括可由每一像素之像素值或強度值表徵的各種類型之信號。取決於影像內像素之相對值,信號可稱作例如弱信號或強信號,如一般熟習此項技術者可理解。術語「強」及「弱」為基於影像內之像素之強度值的相對術語,且強度之具體值可能並不限制本發明之範疇。在實施例中,強信號及弱信號可基於所選擇之臨限值來識別。在一實施例中,臨限值可為固定的(例如影像內像素之最高強度與最低強度的中點)。在一實施例中,強信號可指具有大於或等於橫跨影像之平均信號值之值的信號,且弱信號可指具有小於平均信號值之值的信號。在一實施例中,相對強度值可基於百分比。舉例而言,弱信號可為具有低於影像內像素(例如對應於目標圖案之像素可被視為具有最高強度之像素)之最高強度的50%的強度之信號。此外,影像內之每一像素可視為變數。根據本發明實施例,導數或偏導數可相對於影像內之每一像素予以判定,且每一像素之值可根據基於成本函數之評估及/或成本函數之基於梯度的計算來判定或修改。舉例而言,CTM影像可包括像素,其中每一像素為可採用任何實數值之變數。
圖2說明根據一實施例之用於模擬微影投影裝置中之微影的例示性流程圖。源模型31表示源之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學件模型32表示投影光學件之光學特性(包括由投影光學件引起的輻射強度分佈及/或相位分佈之改變)。設計佈局模型35表示設計佈局之光學特性(包括由設計佈局33引起的輻射強度分佈及/或相位分佈之改變),該設計佈局為在圖案化器件上或藉由圖案化器件形成之特徵配置的表示。可自設計佈局模型35、投影光學件模型32及設計佈局模型35來 模擬空中影像36。可使用抗蝕劑模型37自空中影像36模擬抗蝕劑影像38。微影之模擬可例如預測抗蝕劑影像中之輪廓及CD。
更特定而言,源模型31可表示源之光學特性,該等光學特性包括但不限於數值孔徑設定、照明均方偏差(σ)設定,以及任何特定照明形狀(例如離軸輻射源,諸如環圈、四極子、偶極子等)。投影光學件模型32可表示投影光學件之光學特性,該等光學特性包括像差、失真、一或多個折射率、一或多個實體大小、一或多個實體尺寸等。設計佈局模型35可表示實體圖案化器件之一或多個物理屬性,如(例如)以全文引用的方式併入之美國專利第7,587,704號中所描述。模擬之目標為準確地預測例如邊緣置放、空中影像強度斜率及/或CD,接著可將邊緣置放、空中影像強度斜率及/或CD與預期設計進行比較。預期設計一般被定義為可以諸如GDSII或OASIS或另一檔案格式之標準化數位檔案格式來提供的預OPC設計佈局。
自此設計佈局,可識別被稱作「剪輯」之一或多個部分。在一實施例中,提取剪輯集合,其表示設計佈局中之複雜圖案(通常約為50個至1000個剪輯,但可使用任何數目個剪輯)。此等圖案或剪輯表示設計之小部分(亦即,電路、胞元或圖案),且更具體言之,該等剪輯通常表示需要特定注意及/或驗證的小部分。換言之,剪輯可為設計佈局之部分,或可為相似的或具有設計佈局之部分的相似行為,其中一或多個臨界特徵藉由體驗(包括由客戶提供之剪輯)、藉由試誤法或藉由執行全晶片模擬來予以識別。剪輯可含有一或多個測試圖案或量規圖案。
可由客戶基於設計佈局中需要特定影像最佳化之一或多個已知臨界特徵區域而先驗地提供初始較大剪輯集合。替代地,在另一實施 例中,可藉由使用識別該一或多個臨界特徵區域之某種自動(諸如機器視覺)或手動演算法自整個設計佈局提取初始較大剪輯集合。
在微影投影裝置中,作為一實例,成本函數可表達為
Figure 109140449-A0305-02-0027-1
其中(z 1 ,z 2 ,,z N )為N個設計變數或其值,f p (z 1 ,z 2 ,,z N )可為設計變數(z 1 ,z 2 ,,z N )之函數,諸如用於設計變數(z 1 ,z 2 ,,z N )之一組值之特性的實際值與預期值之間的差。w p 為與f p (z 1 ,z 2 ,,z N )相關聯之權重常數。舉例而言,特性可為在邊緣上之給定點處量測的圖案之邊緣之位置。不同f p (z 1 ,z 2 ,,z N )可具有不同權重w p 。舉例而言,若特定邊緣具有窄准許位置範圍,則用於表示邊緣之實際位置與預期位置之間的差之f p (z 1 ,z 2 ,,z N )之權重w p 可被給出較高值。f p (z 1 ,z 2 ,,z N )亦可為層間特性之函數,層間特性又為設計變數(z 1 ,z 2 ,,z N )之函數。當然,CF(z 1 ,z 2 ,,z N )不限於等式1中之形式。CF(z 1 ,z 2 ,,z N )可呈任何其他合適形式。
成本函數可表示微影投影裝置、微影製程或基板之任何一或多個適合特性,例如,焦點、CD、影像移位、影像失真、影像旋轉、隨機變化、產出率、局域CD變化、製程窗、層間特性或其組合。在一個實施例中,設計變數(z 1 ,z 2 ,,z N )包含選自劑量、圖案化器件之全域偏置及/或照明之形狀中之一或多者。由於抗蝕劑影像常常規定基板上之圖案,故成本函數可包括表示抗蝕劑影像之一或多個特性之函數。舉例而言,f p (z 1 ,z 2 ,,z N )可僅係抗蝕劑影像中之一點與彼點之預期位置之間的距離(亦即,邊緣置放誤差EPE p (z 1 ,z 2 ,,z N ))。設計變數可包括任何可調整參數,諸如源、圖案化器件、投影光學件、劑量、焦點等等之可調整參數。
微影裝置可包括可用以調整波前及強度分佈之形狀及/或輻 射光束之相移的總稱為「波前操控器」之組件。在一實施例中,微影裝置可調整沿著微影投影裝置之光學路徑之任何部位處的波前及強度分佈,諸如在圖案化器件之前、在光瞳平面附近、在影像平面附近及/或在焦點平面附近。波前操控器可用以校正或補償由(例如)源、圖案化器件、微影投影裝置中之溫度變異、微影投影裝置之組件之熱膨脹等等所導致的波前及強度分佈及/或相移的某些失真。調整波前及強度分佈及/或相移可改變由成本函數表示之特性之值。可自模型模擬此等改變或實際上量測此等改變。設計變數可包括波前操控器之參數。
設計變數可具有約束,約束可表達為(z 1 ,z 2 ,,z N )
Figure 109140449-A0305-02-0028-2
Z,其中Z為設計變數之可能值之集合。可藉由微影投影裝置之所要產出率來強加對設計變數之一個可能約束。在無藉由所要產出率強加之此約束的情況下,最佳化可得到不切實際的設計變數之值集合。舉例而言,若劑量為設計變數,則在無此約束之情況下,最佳化可得到使產出率經濟上不可能的劑量值。然而,約束之有用性不應解釋為必要性。舉例而言,產出率可受光瞳填充比影響。對於一些照明設計,低光瞳填充比可捨棄輻射,從而導致較低產出率。產出率亦可受抗蝕劑化學反應影響。較慢抗蝕劑(例如,要求適當地曝光較高量之輻射的抗蝕劑)導致較低產出率。
如本文中所使用,術語「製程模型」意謂包括模擬圖案化製程之一或多個模型的模型。舉例而言,製程模型可包括以下之任何組合:光學模型(例如,模型化用於在微影製程中遞送光的透鏡系統/投影系統且可包括模型化去往光阻劑上的光之最終光學影像)、抗蝕劑模型(例如,模型化抗蝕劑之物理效應,諸如歸因於光的化學效應)、光學近接校正(OPC)模型(例如,可用於製得光罩或倍縮光罩且可包括子解析度抗蝕 劑特徵(SRAF)等)。
如本文中所使用,術語「同時」」意謂兩個或更多個事情近似地但並非一定完全同時地發生。舉例而言,藉由光罩圖案使光瞳設計同時變化可意謂對光瞳設計做出小修改,隨後對光罩圖案做出小調整,且隨後對光瞳設計做出另一修改等。然而,本發明涵蓋在一些並行處理應用中,併發可指同時發生或在時間上具有一些重疊的操作。
本發明提供裝置、方法及電腦程式產品,其尤其係關於修改或最佳化微影裝置之特徵以便增加效能及製造效率。可修改之特徵可包括用於微影製程、光罩、光瞳等中之光的光譜。此等特徵(及可能其他特徵)之任何組合可經實施以便改善例如微影裝置之聚焦深度、製程窗、對比度等等。在一些實施例中,一個特徵之修改會影響其他特徵。以此方式,為達成所要改善,可同時修改/改變多個特徵,如下文所描述。
如上文所描述,本發明描述使用輔助特徵及圖案及/或設計佈局(例如,電路設計)移位以改善因在多焦點成像製程(例如,使用具有多個波長及/或顏色之輻射進行成像)期間發生的影像移位而造成的經圖案化特徵中之橫跨隙縫之不對稱性。多焦點成像製程可用於增加聚焦深度,對側壁角進行成像,及/或增強積體電路製造之其他態樣。然而,在多焦點成像中,影像移位橫跨隙縫進行,且移位之影響或程度係取決於多個波長之間的差。
本發明方法及裝置經組態以增強將設計佈局圖案化(例如,成像)至基板上之製程。本發明方法及裝置經組態以縮減或去除由於多焦點(例如,多波長及/或多色)成像中之色像差而造成的橫跨隙縫之不對稱效應。多焦點成像在基板上產生多個對應的聚焦位置。本發明方法及裝置經 組態以基於多個(例如,兩個或更多個)不同聚焦位置將一或多個輔助特徵新增及/或以其他方式置放至設計佈局圖案中靠近設計佈局圖案之目標特徵中之一或多者之一或多個位置中。本發明方法及裝置亦經組態以相對於基板使圖案化器件圖案及/或設計佈局移位。經新增之一或多個輔助特徵及移位經組態以增強最終圖案化在該基板上之目標特徵。
通常,隙縫可為開口、孔口及/或經組態以允許輻射自輻射源傳送之其他輻射傳送結構。術語隙縫可為及/或指(例如掃描器之)實體曝光隙縫、藉助於(例如)刮抹產生之不同隙縫位置、具有多個實體隙縫之曝光工具及/或其他隙縫。
圖3說明用於增強成像(圖案化)至基板上之設計佈局圖案的目標特徵之實例方法300。方法300可與例如多焦點微影成像裝置及/或其他系統相關聯。多焦點微影成像包含運用具有兩個或更多個不同波長之輻射將設計佈局圖案化至基板中(例如,使用基於設計佈局產生之圖案化器件圖案),從而在基板中產生兩個或更多個不同聚焦位置。方法300包含針對成像輻射判定302基板上之兩個或更多個不同聚焦位置;基於兩個或更多個不同聚焦位置將一或多個輔助特徵新增304A至設計佈局及/或圖案化器件圖案中之靠近一或多個目標特徵之一或多個位置中;基於兩個或更多個不同聚焦位置及一或多個經新增輔助特徵使圖案化器件圖案及/或設計佈局(例如,電路設計)移位304B;基於一或多個經新增輔助特徵及目標特徵使306目標特徵成像至基板上;及/或其他操作。經新增之一或多個輔助特徵及移位經組態以增強基板中之目標特徵。一或多個經新增輔助特徵及經移位圖案化器件圖案及/或設計佈局經組態以藉由例如改善基板中之一或多個目標特徵之對稱性或一或多個目標特徵之置放中之一者或兩者來增 強基板中之一或多個目標特徵。在一些實施例中,圖案包含圖案化器件(例如,光罩)圖案、設計佈局及/或其他圖案。在一些實施例中,例如,圖案化器件圖案可基於設計佈局產生。在一些實施例中,針對半導體製造製程(或作為其部分)執行方法300。在一些實施例中,可經由運用電子模型之模擬及/或以其他方式執行此等操作中之一或多者。舉例而言,如本文中所描述,可作為多焦點成像製程流程之計算最佳化之部分執行新增一或多個輔助特徵及移位。
下文所呈現的方法300之操作意欲為說明性的。在一些實施例中,方法300可實現為具有未描述的一或多個額外操作及/或不具有所論述之操作中的一或多者。舉例而言,方法300可能不需要使306圖案之目標特徵成像至基板上。另外,在圖3中說明及在下文描述方法300之操作的次序並不意欲為限制性的。舉例而言,電子模型可按順序、並行地及/或實質上同時地執行方法300之步驟中之一些或全部。
在一些實施例中,方法300之一或多個部分可(例如藉由模擬、模型化等)實施於一或多個處理器件(例如一或多個處理器)中。一或多個處理器件可包括回應於以電子方式儲存於電子儲存媒體上之指令而執行方法300之操作中之一些或全部的一或多個器件。一或多個處理器件可包括經由硬體、韌體及/或軟體組態之一或多個器件,該硬體、韌體及/或軟體經專門設計用於執行例如方法300之操作中之一或多者。
在一些實施例中,判定(302)兩個或更多個不同聚焦位置包括判定兩個或更多個聚焦位置之存在及/或辨識兩個或更多個聚焦位置之存在。舉例而言,此可在未判定與聚焦位置相關聯的任一數字值或聚焦位置之空間位置之情況下進行。在一些實施例中,判定302兩個或更多個不 同聚焦位置可包括自外部器件(例如,多焦點微影成像器件、模型化先前製造操作之電腦等)、自條目及/或使用者經由使用者介面(例如,如下文所描述)做出之選擇及/或自其他來源接收多焦點成像之指示。在一些實施例中,判定302兩個或更多個不同聚焦位置可包括自輻射源偵測兩個或更多個波長之輻射、以電子方式模型化來自輻射源之兩個或更多個波長之輻射及/或其他判定。在一些實施例中,判定302兩個或更多個不同聚焦位置可包含使用具有兩個或更多個不同波長之成像輻射(例如,在電子模型中及/或在物理成像製程中)以在基板上產生兩個或更多個不同聚焦位置。
在一些實施例中,基板上之兩個或更多個不同聚焦位置係用於具有兩個或更多個不同波長之成像輻射,且經判定以用於成像輻射對基板之單次曝光。在一些實施例中,成像輻射包含對應於兩個或更多個不同波長之兩個或更多個不同顏色。在一些實施例中,基於成像輻射之兩個或更多個不同波長而判定兩個或更多個不同聚焦位置。進一步在美國專利申請案第62/747,951號中描述多焦點成像(例如,使用具有兩個或更多個不同波長之輻射的成像,其在層中產生兩個或更多個不同聚焦位置),該美國專利申請案以全文引用的方式併入本文中。
作為非限制性實例,圖4說明用於厚光阻劑層402之單焦點成像400(例如,運用單個波長或顏色之輻射的成像)(作為一個實例)。單焦點成像400運用透鏡405在層402中之單個聚焦位置410處聚焦輻射404(例如,具有給定劑量及/或其他特性)。如圖4中所展示,單焦點成像400可產生具有非線性側壁412之特徵,由於膜厚度限制及/或經縮減蝕刻及修整(trim)步驟而引起製造生產率縮減,及/或具有其他效應。舉例而言,為了適應單焦點成像400之效應,可限制給定層之厚度以努力維持線性側壁角。 此可限制隨後的蝕刻及/或修整步驟(及/或需要隨後的具有特定限制參數之蝕刻及/或修整步驟),此係因為例如可蝕刻掉的材料較少。
圖5說明使用額外蝕刻製程500以改善使用單焦點成像400(圖4)形成於基板506上之圖案的特徵504之側壁角均勻性及線性度502。圖5說明(例如,由於上文所描述的經縮減層厚度)而如何縮減可用(例如,光阻劑)層厚度510且因此縮減可能的修整/蝕刻步驟之數目。
相比於圖4及圖5,圖6說明用於厚光阻劑層602之多焦點成像600(例如,運用兩個或更多個波長或顏色之輻射的成像)(作為一個實例)。多焦點成像600運用透鏡605在層602中之兩個聚集位置610及611處聚焦輻射604(例如,具有給定劑量及/或其他特性)。如圖6中所展示,多焦點成像600促進側壁角線性度612之改善(例如,在無蝕刻製程之情況下),每一個別微影步驟允許較多蝕刻/修整操作,促進使用較厚光阻劑層,及/或具有其他效應。
多焦點成像相比於單焦點成像具有此等及其他優點。然而,如上文所描述,(例如空中)影像移位橫跨多焦點成像中之隙縫進行。影像移位可對經製造器件具有不利影響(例如,抗蝕劑剖面之改變、特徵之間的未對準、未經塑形之特徵等)及/或對製造操作具有不利影響(例如,喪失曝光寬容度等)。移位之影響或程度係取決於用於多焦點成像中之兩個或更多個波長之間的差。
圖7A說明橫跨用於多焦點微影成像裝置中之隙縫之不同隙縫位置702的影像移位700之實例。圖7A中所展示的影像移位係與KrF透鏡(例如,圖4及圖6中所展示之透鏡405及605)相關聯,但此並不意欲為限制性的。在圖7A中所展示之KrF透鏡實例中,影像移位橫跨隙縫進行且影 響係取決於兩個波長(用於此實例多焦點成像製程中)之間的差之量。影像移位可與一或多個附帶任尼克多項式(Zernike polynomial)相關聯,該等任尼克多項式與透鏡及/或其他光學組件相關聯。任尼克多項式為在單元磁碟上正交之一連串多項式。該等多項式適用於表達波前資料,此係由於其與常常在光學測試中觀測到之像差的任尼克多項式之類型屬於相同形式。(參考文獻:Born,Max及Wolf,Emil(1999年).Principles of Optics:Electromagnetic Theory of Propagation,Interference and Diffraction of Light(第7版).英國劍橋:Cambridge University Press.986頁.ISBN 9780521642224)。在此實例中,影像移位700橫跨隙縫進行且係與任尼克多項式Z2相關聯。如圖7A中所展示,Z2之影響在隙縫之邊緣710、712處較嚴重(且Z2橫跨成像場自邊緣710至邊緣712將符號自負改變為正)。此實例並不意欲為限制性的。其他透鏡,諸如較低k1 KrF、ArF、ArF浸沒透鏡,及/或與其他任尼克多項式(例如,Z5,Z7等)相關聯之影像移位可出現此效應(且本文中所描述的方法及裝置可經組態以校正該等透鏡及該影像移位)。
繼續此實例,圖7B說明與不同(例如,空中)影像752及754相關聯之移位750,該等不同影像對應於用於此實例中之多焦點成像的兩個不同輻射波長。焦點移位760經引入(例如,因為使用了多焦點成像製程)以改善聚焦深度,從而可促進側壁角線性度之改善(例如,在無蝕刻製程之情況下),每一個別微影步驟允許較多蝕刻/修整操作,促進使用較厚光阻劑層,及/或具有如上文所描述之其他效應。然而,當使用多焦點成像時,可由如上文所描述之附帶任尼克多項式(例如,Z2)引起移位750。此可引起喪失曝光寬容度、抗蝕劑剖面之改變及/或具有其他效應。
與多焦點成像相關聯之影像移位存在於空中影像中。舉例而言,圖8說明用於與圖案目標特徵806相關聯之空中影像的一部分之強度800相對於光罩位置802標繪圖804。使用(或基於)單焦點成像製程產生標繪圖804。通常,標繪圖804圍繞目標特徵806之(假想)中心線810係對稱的。舉例而言,標繪圖804在目標特徵806之任一側812、814上在相同強度811下具有大體相同形狀。
相比之下,圖9說明相對於用於與圖案目標特徵806相關聯之空中影像的部分之標繪圖804展示的不同強度800相對於光罩位置802標繪圖904。使用(或基於)多焦點成像製程產生標繪圖904。標繪圖904圍繞目標特徵806之(假想)中心線810大體並非對稱的。舉例而言,相較於目標特徵806之側814,標繪圖904在側812上具有大體較低強度(相較於指示強度811之大體水平線產生傾斜線911)。相對於圖9中之標繪圖804,標繪圖904之左側上之傾斜係由於用於多焦點成像中之(兩個或更多個)不同波長之間的離距引起(例如,由Z2引起)之影像移位及不對稱性。
一或多個輔助特徵可經新增至設計佈局及/或圖案化器件圖案(例如,圖3中展示的操作304A)以補償及/或以其他方式調節上文所描述的影像移位。舉例而言,新增可包括置放及/或其他新增操作。在一些實施例中,新增(例如,圖3中展示的304)一或多個輔助特徵包含調整包含目標特徵(例如,目標特徵806)之基礎或初始圖案以包括一或多個輔助特徵。一或多個輔助特徵經新增在靠近且/鄰近目標特徵中之一或多者的一或多個位置中。靠近及/或鄰近可為及/或包括觸碰、幾乎觸碰、相距小距離及/或其他間隔。靠近及/或鄰近可指經組態以允許經新增之一或多個輔助特徵如本文中所描述運行之任何間隔。一或多個輔助特徵可不對稱地 (例如,經置放及/或以其他方式新增至目標特徵之一側)、對稱地(例如,目標特徵之相對側上之個別輔助特徵)及/或以其他定向經置放及/或以其他方式新增至設計佈局及/或圖案化器件圖案中。在一些實施例中,將一或多個輔助特徵置放/新增至圖案中之靠近圖案之一或多個目標特徵之一或多個位置中包含以電子方式模型化圖案中之一或多個輔助特徵。新增一或多個輔助特徵係基於兩個或更多個不同聚集位置及/或其他資訊。
在一些實施例中,目標特徵(例如,806)可為設計者意欲在該基板上印刷之器件(例如,半導體器件)之組件(例如,且變為最終器件之一部分,因此該器件如所預期運行)。輔助特徵可包含除了目標特徵之外的無需印刷及/或變為最終器件之一部分的特徵。舉例而言,輔助特徵可經置放/新增以輔助目標特徵的製造。在一些實施例中,一或多個輔助特徵包含一或多個子解析度輔助特徵及/或其他特徵。在一些實施例中,經新增之一或多個輔助特徵經組態以藉由在該基板中改善圖案之目標特徵的對稱性或圖案之目標特徵的置放中之一者或兩者來增強基板上之目標特徵。
將一或多個輔助特徵置放/新增至圖案藉由縮減成像場移位(例如,上文所描述的移位)來增強目標特徵。舉例而言,在一些實施例中,將一或多個輔助特徵置放/新增至設計佈局及/或圖案化器件圖案藉由縮減由多焦點微影成像裝置之隙縫的橫跨隙縫之不對稱性引起的移位來增強目標特徵。在一些實施例中,橫跨隙縫之不對稱性係與附帶任尼克多項式(例如,如上文所描述)相關聯。在一些實施例中,橫跨隙縫之不對稱性係與例如Z2任尼克多項式(亦如上文所描述)相關聯。
在一些實施例中,一或多個輔助特徵中之不同輔助特徵對 應於隙縫中之一或多個不同隙縫位置(的移位量)。在一些實施例中,將一或多個輔助特徵置放/新增在靠近一或多個目標特徵之一或多個位置中包含判定一或多個輔助特徵相對於一或多個目標特徵之形狀、大小、位置及/或定向。舉例而言,輔助特徵之形狀、大小、位置及/或定向係基於用於給定特徵之對應的隙縫位置、目標特徵自身之(例如,幾何結構)及/或其他資訊而判定。在一些實施例中,將一或多個輔助特徵置放/新增至圖案中之靠近圖案之一或多個目標特徵之一或多個位置中包含在給定目標特徵之一側上新增一個輔助特徵。舉例而言,可取決於對應的隙縫位置新增單側輔助特徵。可針對不同的對應的隙縫位置新增不同輔助特徵(例如,雙側輔助特徵)。
作為非限制性實例,圖10說明經新增至圖案1003(例如,設計佈局及/或圖案化器件圖案)之輔助特徵1001。如上文所描述,輔助特徵1001經新增至圖案1003以補償及/或以其他方式調節由於多焦點成像中之兩個聚集位置(例如,參見上文關於圖6所描述的聚集位置610及611)而出現的影像移位。圖案1003包含目標特徵1005。在此實例中,輔助特徵1001包含在目標特徵1005之一側上(鄰近目標特徵)新增之線。輔助特徵1001對應於隙縫中之隙縫位置。舉例而言,對應於隙縫中之隙縫位置可指經設計以補償及/或以其他方式調節與彼隙縫位置相關聯的給定量之影像移位。相對於目標特徵1005判定輔助特徵1001之形狀、大小、位置及定向。舉例而言,輔助特徵1001之形狀、大小、位置及定向係基於對應的隙縫位置、目標特徵1005之幾何結構及/或其他資訊而判定。此處,輔助特徵1001為平行於目標特徵1005之邊緣1009延行的線。輔助特徵1001具有寬度1007且定位於成與邊緣1009相距給定距離1011。基於兩個或更 多個不同聚集位置(例如,610及611)將輔助特徵1001新增至圖案1003中之靠近圖案1003的目標特徵1005之一或多個位置中經組態以增強基板上之目標特徵1005(例如,如本文中所描述,使側壁角較線性化及/或其他增強)。此係因為靠近目標特徵1005新增輔助特徵1001縮減特徵1005之(例如,空中)影像中之移位(由聚集位置610及611引起)而出現(例如,如在下文所描述之圖11至圖12中所展示)。此輔助特徵形狀、大小、位置及定向僅為實例。考慮其他輔助特徵形狀、大小、位置及定向。
作為另一非限制性實例,圖11說明用於與目標特徵1108及輔助特徵1110相關聯的空中影像之一部分的一系列強度1100相對於光罩位置1102標繪圖1104、1105及1106。在此實例中,輔助特徵1110與目標特徵1108之中心相距大致3000nm。使用(或基於)多焦點成像製程產生標繪圖1104至1106。標繪圖1104至1106反映輔助特徵1110(相對於目標特徵1108)之不斷改變的形狀、大小、位置及/或定向。隨著輔助特徵1110之形狀、大小、位置及/或定向改變(例如,基於對應的隙縫位置、目標特徵1108之幾何結構及/或其他資訊而判定或重新判定),相較於目標特徵1108之側1114的側1112上之大體不同的強度會增加或減小1116以匹配側1114上之強度。換言之,由於由用於多焦點成像中之(兩個或更多個)不同波長之間的離距引起之影像移位的目標特徵1108之左側上的傾斜會減小。因此,例如,經新增輔助特徵1110藉由改善目標特徵1108之對稱性而增強基板上之目標特徵1108。將輔助特徵1110新增至圖案藉由縮減成像場移位(例如,由多焦點微影成像裝置之隙縫的橫跨隙縫之不對稱性引起的移位)而增強目標特徵1108。應注意,無需如圖11中所展示多次判定輔助特徵1110之形狀、大小、位置及/或定向。此僅為用於展示輔助特徵1110對 目標特徵1108之影響的實例。
在一些實施例中,將一或多個輔助特徵新增至圖案中之靠近圖案之一或多個目標特徵的一或多個位置中包含在給定目標特徵之兩個或更多個不同側中之每一者上新增至少一個輔助特徵。在一些實施例中,將一或多個輔助特徵新增至圖案中之靠近圖案之一或多個目標特徵的一或多個位置中包含在給定目標特徵之一側上新增兩個或更多個輔助特徵。
舉例而言,圖12說明用於與目標特徵1208及兩個輔助特徵1210以及1212相關聯之空中影像之一部分的強度1200相對於光罩位置1202標繪圖1204。使用(或基於)多焦點成像製程產生標繪圖1204。標繪圖1204反映經新增至目標特徵1208之一側的兩個單獨的輔助特徵1210及1212如何促進目標特徵1208之側1214及1216上的大體類似強度1220。換言之,由於由用於多焦點成像中之(兩個或更多個)不同波長之間的離距引起之影像移位的目標特徵1208之左側上的任一傾斜會減小或經去除。因此,例如,經新增輔助特徵1210及1212藉由改善目標特徵1208之對稱性而增強基板上之目標特徵1208。將輔助特徵1210及1212新增至圖案藉由縮減成像場移位(例如,由多焦點微影成像裝置之隙縫的橫跨隙縫之不對稱性引起的移位)而增強目標特徵1208。應注意,兩個輔助特徵僅用作實例。考慮其他實例。
返回至圖3,在一些實施例中,新增304A一或多個輔助特徵包含判定與基板相關聯之影像(其可為以電子方式模型化之部分)。在一些實施例中,影像為空中影像、強度相對於光罩位置標繪圖,及/或其他影像。舉例而言,圖3中展示的操作304可包括判定、模型化及/或以其他方式產生如上文所描述之空中影像及/或強度相對於光罩位置標繪圖。可 藉由以下操作判定空中影像:將一或多個輔助特徵新增至圖案中之靠近目標特徵中之一或多者之一或多個位置中;及基於一或多個經新增輔助特徵及目標特徵來判定影像。判定影像可包括產生影像之電子模型、判定實體影像、判定用於目標特徵中之一或多者之特徵影像強度剖面,及/或其他影像產生操作。在一些實施例中,基於一或多個經新增之輔助特徵及一或多個目標特徵判定影像會在影像(及/或電子模型)中改善圖案之目標特徵之對稱性或圖案之目標特徵之置放中之一者或兩者,如上文(例如,關於圖8至圖12)所描述。
在一些實施例中,相對於在不考慮經新增之輔助特徵之情況下判定(例如,製造)之目標特徵之對稱性及/或置放(例如,在不同影像及/或實際器件中)改善圖案之目標特徵之對稱性或圖案之目標特徵之置放(例如,在影像及/或最終實際器件中)中之一者或兩者。舉例而言,圖13說明靠近圖案中之目標特徵1312新增輔助特徵1320對抗蝕劑剖面之影響。
圖13說明用於與圖案目標特徵1312相關聯之空中影像之一部分的兩個不同強度1304相對於光罩位置1306標繪圖1308及1310。使用(或基於)多焦點成像製程產生標繪圖1308及1310。在將輔助特徵1320新增至圖案之前產生標繪圖1308,且在將輔助特徵1320新增至圖案之後產生標繪圖1310。標繪圖1308圍繞目標特徵1312之中心線大體並非對稱的。舉例而言,相較於目標特徵1312之側1360,標繪圖1308在側1350上具有大體不同的強度。標繪圖1308之左側上之傾斜(注意線之間的離距)係由於由用於多焦點成像中之(兩個或更多個)不同波長之間的離距引起之影像移位。然而,此相同傾斜並不存在(或至少經縮減)於標繪圖1310中,該 標繪圖係在將輔助特徵1320新增至圖案之後產生。
圖13說明分別對應於標繪圖1308及1310之抗蝕劑剖面1370及1380。在抗蝕劑剖面1370(其對應於在將輔助特徵1320新增至圖案之前產生的標繪圖1308)中,特徵1374之側壁1372在一或多個區域1376中為非線性的。在抗蝕劑剖面1380(其對應於在將輔助特徵1320新增至圖案之後產生的標繪圖1310)中,特徵1384之側壁1382較線性化1386(相對於區域1376)。
在一些實施例中,一或多個輔助特徵之形狀、大小、位置及/或定向經組態使得一或多個輔助特徵不形成於該基板上。舉例而言,圖14說明基於不同經新增之輔助特徵1402產生(例如,模型化)之各種實例抗蝕劑剖面1400。經新增之輔助特徵具有不同形狀、大小、位置、定向等。如圖14中所展示,輔助特徵1402之形狀、大小、位置、定向等可變化至輔助特徵1402自抗蝕劑剖面1400消失之點1450。然而,本發明系統及方法可經組態成使得形狀、大小、位置、定向等仍足以在經圖案化至基板上之一或多個特徵中產生線性側壁角及/或其他所要特性。圖14說明抗蝕劑剖面1400之實例集合1460,其中輔助特徵之形狀、大小、位置、定向等自抗蝕劑剖面1400消失,但仍足以在經圖案化至基板上之一或多個特徵中產生線性側壁角及/或其他所要特性。
返回至圖3,在操作304B中,圖案化器件圖案及/或設計佈局圖案基於兩個或更多個不同聚集位置及一或多個經置放/新增輔助特徵而移位。該移位可相對於彼此、相對於該基板及/或相對於其他參照物。舉例而言,設計佈局可包括電路設計及/或其他設計佈局。一或多個經置放/新增輔助特徵結合經移位圖案化器件圖案及/或設計佈局經組態以進一 步增強基板中之一或多個目標特徵。該增強係藉由縮減原本將由成像輻射在基板之成像期間經過之隙縫的橫跨隙縫之不對稱性引起的移位來實現。換言之,將一或多個輔助特徵置放/新增至圖案化器件圖案及使圖案化器件圖案及/或電路設計移位藉由縮減由多焦點微影成像裝置之隙縫的橫跨隙縫之不對稱性引起的移位而增強一或多個目標特徵。舉例而言,若一或多個目標特徵具有側壁,則執行新增一或多個輔助特徵及使圖案化器件圖案移位以實現所需側壁角、側壁角線性度、側壁角對稱性及/或其他準則。
作為非限制性實例,圖15說明基於兩個或更多個不同聚集位置及一或多個經新增之輔助特徵而使圖案化器件圖案及/或設計佈局移位1500。由於上文所描述的由多焦點成像引起之(例如,空中)影像移位,使圖案化器件圖案及/或設計佈局移位1500可為必需的。影像移位距離可取決於所使用之成像輻射之波長離距、隙縫位置及/或如本文中所描述之其他因素。圖15中之實例說明KrF多焦點成像配置1504(參見圖4至圖6),且展示了光罩1502之移位1500以補償影像移位。光罩1502經移位1500,使得經圖案化在基板1508上之特徵1506處於其所要位置1510中。圖15中之第一視圖1512說明相對於光罩1502之所要位置1510。第二視圖1514說明由多焦點成像引起之移位1516。第三視圖1518說明由於使光罩1502移位1500而產生之所要位置1510中之特徵1506。光罩1502移位1500之量1520為可預測的(例如,量測經由隙縫之Z2)。可運用基於規則之模型及/或其他模型及/或使用其他操作判定量1520。舉例而言,可使用基於ASML迅子規則之模型。可運用光罩特徵重新定位、光罩之實體移動、所要位置1510之移動(例如,改變設計佈局或電路設計)及/或其他操作來實現 移位1500。
基於已知的任尼克及已知的色像差,以及所得影像之所需置放及/或其他因素,圖案化器件圖案特徵(例如,光罩上之實際Cr)及/或設計佈局(例如,GDS檔案)可經移位以補償來自多焦點(例如,波長)成像系統之(空中)影像移位以在晶圓上實現所要位置。可使用OPC工具(例如,ASML迅子OPC+,SMO)判定此移位且模型化此移位。舉例而言,圖16說明圖案目標特徵1604之側壁1602之模型1600的影像1601、1603之第一實例。圖16說明橫跨隙縫改變1610之KrF色像差。影像1601及1603對應於不同隙縫位置1605及1607。如本文中所描述,移位的量隨著隙縫位置1605、1607改變。圖16係針對1.2μm間距上之0.3μm溝槽(顯示基於已知的Z2貢獻之預期移位的空間特徵)。可針對15pm的KrF波長離距(用於此實例中)預測且量測此移位。對於隙縫位置1605,移位1620(出於在圖16中較容易檢視而放大)為約37nm。對於隙縫位置1607,移位1622(出於在圖16中較容易檢視而放大)為約18nm。此實例係基於用於影像感測器中之厚光阻劑應用之已知使用狀況(例如,0.55NA,4.4μm的光阻劑(正色調))。
圖17說明根據一實施例之經移位目標圖案目標特徵之側壁的模型之影像之第二實例。在圖17中,展示用於在20μm間距上之10μm溝槽(顯示基於已知的Z2貢獻之預期移位之空間特徵)的影像。可針對15pm的KrF波長離距(例如,用於此實例中)預測且量測此移位。此實例係基於用於3D NAND階梯應用中之厚光阻劑應用的已知使用狀況。(在數位電子設備中,NAND閘(反及)為邏輯閘,其產生僅在所有其輸入為真之情況下為假的輸出)。圖17說明圖案特徵1704之側壁1602(左側(L)及右側(R)) 之模型1700的影像1701、1703。圖17說明橫跨隙縫改變1710之KrF色像差。影像1701及1703經展示放大且對應於不同隙縫位置1705及1707。如本文中所描述,移位之量隨著隙縫位置1705、1707而改變。對於隙縫位置1705,移位1720(出於在圖17中較容易檢視而放大)為約77nm。對於隙縫位置1707,移位1722(出於在圖17中較容易檢視而放大)為約18nm。
返回至圖3,基於一或多個經新增之輔助特徵及目標特徵使306圖案之目標特徵成像至該基板上可包括基於空中影像(或空中影像之模型)及/或經調整圖案之某一其他形式使圖案之目標特徵成像至該基板上,從而具有上文所描述的經新增之輔助特徵。操作306可包括判自訂程規則、以電子方式模型化製造製程之部分、以物理方式執行製造製程之額外部分,及/或其他活動。舉例而言,方法300之操作306及/或其他操作可包含電磁模型化、純量模型化及/或其他類型之模型化。在一些實施例中,僅操作306及/或結合操作302、304A及304B中之一或多者可包含多焦點成像製程流程之計算最佳化。
在一些實施例中,操作302、304A、304B及/或306包含基於經最佳化之經新增輔助特徵判定穿縫輔助特徵規則及置放一或多個輔助特徵,及向圖案化器件圖案應用全場光學近接校正。全場光學近接校正可基於模型或基於規則。應用全場光學近接校正包含:基於經移位之圖案化器件圖案及/或電路設計將穿縫重新定位移位應用於圖案化器件圖案之一或多個目標特徵;應用經最佳化之穿縫經新增輔助特徵;及應用主要特徵偏置。在一些實施例中,僅操作306或結合新增一或多個輔助特徵(操作304A)及使圖案化器件圖案及/或設計佈局(操作304B)移位包含調整數值孔徑(NA)、均方偏差(例如,部分相干性因子=(聚光器透鏡NA c/投影透鏡 NA p))、最佳焦點(下文描述)及/或與成像輻射相關聯之波長峰距(下文描述)以最佳化一或多個經新增之輔助特徵,使得使圖案化器件圖案及/或電路設計移位係基於一或多個經最佳化之經新增輔助特徵。此最佳化包含穿縫最佳化。
作為非限制性實例,圖18說明流程1801,其包含基於經最佳化之經新增輔助特徵判定1800穿縫輔助特徵及圖案移位規則以及置放一或多個輔助特徵,及將全場1804(包含各種胞元1806)光學近接校正應用1802於橫跨隙縫1808之圖案化器件圖案。全場光學近接校正可基於模型或基於規則。應用1802全場光學近接校正包含:基於經移位之圖案化器件圖案及/或電路設計將穿縫重新定位移位應用於圖案化器件圖案之一或多個目標特徵;應用經最佳化之穿縫經新增輔助特徵;及應用主要特徵偏置。
在一些實施例中,流程1801包含調整及/或以其他方式調諧1810數值孔徑(NA)、均方偏差、最佳焦點及/或與成像輻射相關聯的波長峰距以最佳化1812一或多個經新增之輔助特徵,使得使圖案化器件圖案及/或電路設計移位係基於一或多個經最佳化之經新增輔助特徵。在此實例中,目標特徵具有側壁。流程1801可包括判定1814穿縫之側壁角及線性度、影像置放及/或其他參數在最佳化1812之後是否符合規格(且視需要重複最佳化)。舉例而言,流程1801可開始於產生1820經組態以預測抗蝕劑3D剖面之經校準電子模型,及/或側壁角及/或線性度之初始檢查1822。
圖19提供關於圖18中所展示的流程1801之操作的其他細節。圖19說明步驟1至8。步驟1至8可為及/或包括例如模擬,及/或可以其 他方式執行。步驟1包含產生1820經組態以預測抗蝕劑3D剖面之經校準電子模型。步驟2包含模擬基線多焦點成像設置。在步驟2處展示峰值波長離距1902、圖案特徵1904以及圖案特徵1908之中心隙縫橫截面影像1906的說明。步驟3說明針對側壁角(在此實例中)最佳化而判定1910多焦點成像峰值波長離距及最佳調焦。步驟4說明運用色像差評估多焦點成像(例如,針對Z2及所有Zi判定橫跨隙縫之變化)。步驟4說明相較於中心隙縫橫截面影像1906中展示之側壁角的用於隙縫之邊緣處的位置之不同側壁角1912。步驟5說明多焦點成像圖案化器件(例如,光罩)圖案移位之判定1914。步驟6說明一或多個輔助特徵1916之判定及置放。在此實例中,輔助特徵為不對稱的(例如,僅置放在特徵1904之一側上)。可完成步驟5及6連同其他步驟中之一或多者以補償及/或校正本文中所描述的橫跨隙縫之變化。應注意,可以任何次序(例如,步驟5接著步驟6或步驟6接著步驟5)及/或實質上同時完成步驟5及6。步驟7說明穿縫圖案化器件(例如,光罩)圖案最佳化(例如,包括步驟5之移位及步驟6之輔助特徵產生)以實現較佳圖案特徵側壁角(在此實例中)。步驟7說明針對隙縫之兩側上之位置1950、1952以及影像1906中展示之中心隙縫位置而判定1914及/或以其他方式最佳化之經新增輔助特徵1916及圖案移位。舉例而言,步驟4至7可形成圖18中所展示的操作1810至1814中之一些或全部。步驟8說明判定1800穿縫輔助特徵及圖案移位規則。該等規則可經判定以在新增一或多個輔助特徵及/或圖案移位之後確保側壁特性、影像置放誤差及/或其他參數符合規格。該等規則可與輔助特徵與目標特徵之間的間隔、用於特定波長峰距及數值孔徑之影像移位量及/或其他圖案特性相關聯。
圖20說明經最佳化之穿縫圖案之實例,及輔助特徵規則。 圖20說明目標圖案特徵2000及經新增之輔助特徵2002。在此實例中,經最佳化之穿縫圖案包含目標圖案特徵2000及經新增之輔助特徵2002。輔助特徵2002具有特定寬度2004及與目標特徵2000之分離距離2006。輔助特徵2002可如本文中所描述經判定為必需的、經成形及/或置放(例如,作為穿縫最佳化之部分)。舉例而言,可基於穿縫輔助特徵規則而判定寬度2004、分離距離2006及/或其他參數。該等規則可與輔助特徵與目標特徵之間的間隔(例如,輔助特徵2002與目標特徵2000之邊緣之間的分離距離2006)、輔助特徵寬度(例如,2004)、用於特定波長峰距及數值孔徑(例如,參考NA)之影像移位量及/或其他圖案特性相關聯。如圖20中所展示,包括目標特徵2000及輔助特徵2002之圖案亦可需要移位2010以(例如,進一步)補償橫跨隙縫之變化且確保目標特徵2000如所預期定位在基板中。此等(例如,移位2010、寬度2004、分離距離2006)及其他參數可針對不同隙縫位置變化(例如,該等規則可指示差異)。
圖21說明用於多焦點成像製程之最佳化抗蝕劑層(例如)2102中之最佳聚焦位置2100的實例。舉例而言,可在圖18中所展示的操作1810及/或其他操作中包括最佳化最佳聚焦位置2100。最佳聚焦位置2100可與空中影像相關聯。舉例而言,最佳聚焦位置可為實現最高影像對比度之掃描儀基板台上之基板位置。最佳化最佳聚焦位置2100可包含重複地改變抗蝕劑層2102中之最佳聚焦位置,及針對不同最佳聚焦位置判定目標特徵之對應的側壁角及/或其他特性。最佳化最佳聚焦位置2100可包含判定及/或以其他方式選擇最佳聚焦位置,其促進製造目標特徵之最一致的(及/或其他品質量度)側壁角、線性度及/或其他特性。在圖21中所展示之實例中,最佳聚焦位置2100自抗蝕劑層2102之「底部」(此術語不 意欲為限制性的)2104(例如,8μm深度)處或附近之(例如,z方向)位置(其中最佳聚焦值為-4320.49nm)改變為抗蝕劑層2102之「中間」(此術語不意欲為限制性的)2106(例如,5μm深度)處或附近之位置(其中最佳聚焦值為-2700.31nm)。在此實例中,當最佳聚焦位置2100處於抗蝕劑層2102之「底部」處的位置(其中最佳聚焦值為-4320.49nm)時,所得側壁角為81.84度,其中R平方值(例如,線性度之量度)為0.8962。當最佳聚焦位置2100處於抗蝕劑層2102之「中間」處(其中最佳聚焦值為-2700.31nm)時,所得側壁角為84.53度(例如,較接近90度及/或某一其他目標量測值),其中R平方值為0.9208(例如,較接近1.0)。
圖21之抗蝕劑剖面圖表2114中所展示之抗蝕劑剖面2110、2112中說明與「中間」最佳聚焦位置相關聯的較佳(例如,較接近90度)側壁角及線性度(例如,較接近1.0)。圖表2114說明用於(經模擬)抗蝕劑層之晶圓位置2116相對於抗蝕劑高度2118。如圖表2114中所展示,抗蝕劑剖面2112具有較接近90度(例如,84.53度)之側壁角且相對於抗蝕劑剖面2110較線性化。此意謂,對於此實例,經最佳化最佳聚焦位置2100將為對應於「中間」最佳聚焦位置之最佳聚焦位置。
圖22說明調整、調諧及/或以其他方式最佳化多焦點成像輻射之波長峰距。舉例而言,圖18中所展示的操作1810及/或其他操作中可包括調整及/或以其他方式最佳化波長峰距。圖22說明抗蝕劑剖面標繪圖2201,其中沿著水平軸線標繪基板位置2203且沿著豎直軸線標繪抗蝕劑高度2205。如圖22中所展示,自單焦點成像2202輻射改變至多焦點2204且將用於抗蝕劑層2206之底部處之位置的最佳聚焦位置改變為抗蝕劑層2208之中間改善2210(例如,變得較接近理想狀態2212)側壁(例如,在側 壁角及/或線性度方面)。調整、調諧及/或以其他方式最佳化波長峰距可包括使多焦點成像輻射自身之波長峰距變化及/或使波長峰距連同一或多個其他參數變化(例如,在實驗設計-DOE-變化型式中)。舉例而言,此可包括模擬抗蝕劑層中之不同中間位置(例如,4μm深度相對於5μm深度相對於6μm深度),連同不同波長峰距(例如,15pm相對於22.5pm相對於30pm)。此等實驗可使深度(例如,4、5、6μm深度)、波長離距(例如,15pm,22.5pm,30pm)及/或其他參數變化以找到最佳組合(例如,產生所要側壁角及線性度之組合)。繼續上文所描述的實例,單焦點成像產生81.27度的側壁角。定位於「底部」處之多焦點為81.84度,且定位於「中間」中之多焦點為84.53度。藉由使不同中間位置(例如,4μm深度至5μm深度)變化且使波長離距變化,可發現4μm最佳聚焦深度及15pm波長峰距會產生具有0.99 R平方線性度的側壁(在此實例中)。
在一些實施例中,基於自訂成本函數而判定穿縫輔助特徵規則(例如,如上文所描述)。自訂成本函數可用於最佳化具有一或多個經新增之輔助特徵的圖案化器件圖案以補償由Z2及/或其他多項式引起之移位。此可包括產生最佳輔助特徵置放、實現目標側壁角及/或對稱性、產生輔助特徵規則表及/或其他操作。
可針對EPE產生基線成本函數。可藉由使用者定義之製程窗及光罩誤差條件在單個聚集(Z)平面處評估EPE。最佳化在單個影像平面處最小化EPE且無法控制側壁角。相比於基線成本函數,本發明之自訂成本函數可以實現特定目標側壁角為目標。繼續本文中所描述的目標特徵側壁實例,自訂成本函數可包含用於目標特徵側壁角、側壁角線性度、側壁角對稱性、圖案置放誤差之項及/或其他項。
作為非限制性實例,圖23說明實例自訂成本函數2300。自訂成本函數2300包括用於目標特徵側壁角2302、側壁角線性度2304、側壁角對稱性2306及圖案置放誤差2308之項。此等項經加權。在自訂成本函數2300中,wSWA表示自訂成本函數中之側壁角項之加權,且wEPE表示自訂成本函數中之EPEi角度項之加權。圖23說明自訂成本函數2300中之項如何與具有側壁之圖案目標特徵2310相關。圖23說明目標臨界尺寸(CD)2312,及各種自訂成本函數2300「按鈕」(例如,影響總成本之變數)的實體表示。舉例而言,圖23說明左及右邊緣置放誤差(EPE)按鈕2314、2316(在橫截面視圖2350及俯視圖2360兩者中)。圖23亦說明EPEi 2320-邊緣置放誤差、經印刷抗蝕劑剖面與評估點處之目標邊緣評估之間的誤差及hi 2322(例如,與側壁相關聯的高度)。隨著此等個別按鈕改變,由成本函數2300產生的總成本隨之改變。
圖24為根據一實施例的實例電腦系統CS之方塊圖。電腦系統CS可輔助實施本文中所揭示之方法、流程或裝置。電腦系統CS包括用於傳達資訊之匯流排BS或其他通信機構及與匯流排BS耦接以供處理資訊之處理器PRO(或多個處理器)。電腦系統CS亦包括耦接至匯流排BS以用於儲存待由處理器PRO實行之資訊及指令的主記憶體MM,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體MM亦可用於在待由例如處理器PRO執行之指令之執行期間儲存暫時性變數或其他中間資訊。電腦系統CS包括耦接至匯流排BS以用於儲存用於處理器PRO之靜態資訊及指令的唯讀記憶體(ROM)ROM或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件SD,且將其耦接至匯流排BS以用於儲存資訊及指令。
電腦系統CS可經由匯流排BS耦接至用於向電腦使用者顯 示資訊之顯示器DS,諸如陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入器件ID耦接至匯流排BS以用於將資訊及命令選擇傳達至處理器PRO。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器PRO且用於控制顯示器DS上之游標移動的游標控制件CC,諸如,滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個軸線(第一軸線(例如,x)及第二軸線(例如,y))上之兩個自由度,從而允許該器件指定平面中之位置。觸控面板(螢幕)顯示器亦可被用作輸入器件。
在一些實施例中,本文中所描述之一或多種方法的部分可藉由電腦系統CS回應於處理器PRO執行主記憶體MM中所含有之一或多個指令的一或多個序列來執行。可將此等指令自另一電腦可讀媒體(諸如儲存器件SD)讀取至主記憶體MM中。執行主記憶體MM中含有之指令序列使得處理器PRO執行本文中所描述之製程步驟。呈多處理配置之一或多個處理器亦可用於執行主記憶體MM中所含有之指令序列。在一些實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,本文中之描述不限於硬體電路系統與軟體之任何特定組合。
本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器PRO以供執行之任何媒體。此媒體可呈許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存器件SD。揮發性媒體包括動態記憶體,諸如主記憶體MM。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排BS之電線。傳輸媒體亦可採用聲波或光波之形式,諸如在射頻(RF)及紅外線(IR)資料通信期間所產生的聲波或光波。電腦可讀媒體可為非暫時性的,例如軟 碟、可撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣。非暫時性電腦可讀媒體可具有記錄於其上之指令。在由電腦執行時,指令可實施本文中所描述的特徵中之任一者。暫時性電腦可讀媒體可包括載波或其他傳播電磁信號。
各種形式之電腦可讀媒體可涉及將一或多個指令之一或多個序列攜載至處理器PRO以供執行。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線來發送指令。在電腦系統CS本端之數據機可接收電話線上之資料,且使用紅外線傳輸器以將資料轉換為紅外線信號。耦接至匯流排BS之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排BS上。匯流排BS將資料攜載至主記憶體MM,處理器PRO自該主記憶體擷取且執行指令。由主記憶體MM接收之指令可視情況在由處理器PRO執行之前或之後儲存於儲存器件SD上。
電腦系統CS亦可包括耦接至匯流排BS之通信介面CI。通信介面CI提供與網路鏈路NDL之雙向資料通信耦接,該網路鏈路連接至區域網路LAN。舉例而言,通信介面CI可為整合服務數位網路(ISDN)卡或數據機以提供與對應類型之電話線的資料通信連接。作為另一實例,通信介面CI可為提供與相容LAN之資料通信連接的區域網路(LAN)卡。亦可實施無線鏈路。在任何此類實施中,通信介面CI發送且接收攜載表示各種類型之資訊的數位資料串流之電信號、電磁信號或光學信號。
網路鏈路NDL通常經由一或多個網路提供與其他資料器件 之資料通信。舉例而言,網路鏈路NDL可經由區域網路LAN向主機電腦HC提供連接。此可包括經由全球封包資料通信網路(現在通常被稱作「網際網路」INT)而提供之資料通信服務。區域網路LAN(網際網路)皆使用攜載數位資料流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路資料鏈路NDL上且經由通信介面CI之信號為輸送資訊的例示性形式之載波,該等信號將數位資料攜載至電腦系統CS且自該電腦系統攜載數位資料。
電腦系統CS可經由網路、網路資料鏈路NDL及通信介面CI發送訊息及接收資料(包括程式碼)。在網際網路實例中,主機電腦HC可經由網際網路INT、網路資料鏈路NDL、區域網路LAN及通信介面CI傳輸用於應用程式之經請求程式碼。舉例而言,一個此類經下載應用程式可提供本文中所描述之方法中的全部或部分。所接收程式碼可在其被接收時由處理器PRO執行,及/或儲存於儲存器件SD或其他非揮發性儲存器中以供稍後實行。以此方式,電腦系統CS可獲得呈載波形式之應用程式碼。
圖25為根據一或多個實施例之微影投影裝置之示意圖。微影投影裝置可包括照明系統IL、第一物件台MT、第二物件台WT及投影系統PS。
照明系統IL可調節輻射光束B。在此特定狀況下,照明系統亦包含輻射源SO。
第一物件台(例如,圖案化器件台)MT可具有用以固持圖案化器件MA(例如,倍縮光罩)之圖案化器件固持器,且連接至用以相對於項目PS來精確地定位圖案化器件之第一定位器PM。
第二物件台(基板台)WT可具有用以固持基板W(例如,抗 蝕劑塗佈矽晶圓)之基板固持器,且連接至用以相對於項目PS來準確地定位基板之第二定位器PW。
投影系統(「透鏡」)PS(例如折射、反射或反射折射光學系統)可將圖案化器件MA之經輻照部分成像至基板W之目標部分C(例如包含一或多個晶粒)上。
如所描繪,該裝置可屬於透射類型((亦即,具有透射圖案化器件)。然而,一般而言,其亦可屬於反射類型,例如(具有反射圖案化器件)。裝置可使用與經典光罩不同種類之圖案化器件;實例包括可程式化鏡面陣列或LCD矩陣。
源SO(例如,水銀燈或準分子雷射、雷射產生電漿(LPP)EUV源)產生輻射光束。舉例而言,此光束係直接地抑或在已橫穿諸如光束擴展器之調節裝置之後饋入至照明系統(照明器)IL中。照明器IL可包含調整器件AD以用於設定光束中之強度分佈的外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL通常將包含各種其他組件,諸如,積光器IN及聚光器CO。以此方式,照射於圖案化器件MA上之光束B在其橫截面中具有所要均一性及強度分佈。
在一些實施例中,源SO可在微影投影裝置之外殼內(此常常為源SO為例如水銀燈時之狀況),但其亦可遠離微影投影裝置,其產生之輻射光束經導引至該裝置中(例如憑藉合適導向鏡);此後一情境可為在源SO為準分子雷射器(例如,基於KrF、ArF或F2雷射作用)時之狀況。
光束B可隨後截取被固持於圖案化器件台MT上之圖案化器件MA。在已橫穿圖案化器件MA的情況下,光束B可穿過透鏡,該透鏡將光束B聚焦至基板W之目標部分C上。憑藉第二定位裝置(及干涉式量測裝 置IF),可準確地移動基板台WT,例如以便定位光束B之路徑中的不同目標部分C。類似地,第一定位裝置可用以例如在自圖案化器件庫中機械擷取圖案化器件MA之後或在掃描期間相對於光束B之路徑精確地定位圖案化器件MA。一般而言,可憑藉長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在步進器(相對於步進掃描工具)之狀況下,圖案化器件台MT可僅連接至短衝程致動器,或可固定。
可在兩種不同模式-步進模式及掃描模式中使用所描繪工具。在步進模式中,將圖案化器件台MT保持基本上靜止,且將整個圖案化器件影像一次性投影((亦即,單次「閃光」)至目標部分C上。可使基板台WT在x及/或y方向上移位,使得不同目標部分C可由光束B輻照。
在掃描模式中,基本上相同的情境適用,不同之處在於不在單次「閃光」中曝光給定目標部分C。取而代之,圖案化器件台MT可在給定方向(所謂「掃描方向」,例如,y方向)上以速度v移動,使得造成投影光束B遍及圖案化器件影像進行掃描;同時發生地,基板台WT以速度V=Mv在相同或相對方向上同時地移動,其中M為透鏡之放大率(通常,M=¼或1/5)。以此方式,可在不必損害解析度之情況下曝光相對較大之目標部分C。
圖26為根據一或多個實施例之另一微影投影裝置(LPA)之示意圖。LPA可包括源收集器模組SO、經組態以調節輻射光束B(例如EUV輻射)的照明系統(照明器)IL、支撐結構MT、基板台WT及投影系統PS。
支撐結構(例如圖案化器件台)MT可經建構以支撐圖案化器件(例如,光罩或倍縮光罩)MA且連接至經組態以準確地定位該圖案化 器件之第一定位器PM。
基板台(例如,晶圓台)WT可經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以準確地定位該基板之第二定位器PW。
投影系統(例如,反射性投影系統)PS可經組態以將藉由圖案化器件MA賦予至輻射光束B之圖案投影至基板W的目標部分C(例如,包含一或多個晶粒)上。
如此處所描繪,LPA可屬於反射類型(例如,使用反射圖案化器件)。應注意,由於大多數材料在EUV波長範圍內具吸收性,因此圖案化器件可具有包含例如鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度層為四分之一波長。可利用X射線微影來產生甚至更小的波長。由於大部分材料在EUV及x射線波長下係吸收性的,因此圖案化器件構形上之薄片的圖案化吸收材料(例如多層反射器頂部上之TaN吸收體)限定將印刷特徵(正抗蝕劑)之位置或不印刷特徵(負抗蝕劑)之位置。
照明器IL可自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但未必限於用在EUV範圍內之一或多個發射譜線將具有至少一種元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿(「LPP」))中,可藉由運用雷射光束來輻照燃料(諸如,具有譜線發射元素之材料小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射之EUV輻射系統的部分,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射(例如EUV輻射),該輸出輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而 言,當使用CO2雷射器以提供用於燃料激發之雷射光束時,雷射及源收集器模組可為分離實體。
在此等狀況下,可不認為雷射形成微影裝置之部分,且輻射光束可憑藉包含例如適合導向鏡及/或光束擴展器之光束遞送系統而自雷射器傳遞至源收集器模組。在其他狀況下,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部分。
照明器IL可包含用於調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈的至少外部及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可用以調節輻射光束,以在其橫截面中具有期望均一性及強度分佈。
輻射光束B可入射於固持在支撐結構(例如圖案化器件台)MT上之圖案化器件(例如光罩)MA上,且由圖案化器件圖案化。在自圖案化器件(例如,光罩)MA反射之後,輻射光束B穿過投影系統PS,該投影系統PS將光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2(例如,干涉式器件、線性編碼器或電容式感測器),可準確地移動基板台WT(例如)以便將不同目標部分C定位在輻射光束B之路徑中。類似地,第一定位器PM及另一位置感測器PS1可用於相對於輻射光束B之路徑準確地定位圖案化器件(例如,光罩)MA。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩)MA及基板W。
所描繪之裝置LPA可用於以下模式中之至少一者中:步進 模式、掃描模式及靜止模式。
在步進模式中,在將經賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如,圖案化器件台)MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。
在掃描模式中,在將賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如,圖案化器件台)MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,圖案化器件台)MT之速度及方向。
在靜止模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構(例如,圖案化器件台)MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源且在基板台WT之每一移動之後或在掃描期間之連續輻射脈衝之間視需要更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如,可程式化鏡面陣列)之無光罩微影。
圖27為根據一或多個實施例之微影投影裝置LPA之詳細視圖。如所展示,LPA可包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經組態成使得可將真空環境維持於源收集器模組SO之圍封結構ES中。可藉由放電產生電漿源而形成EUV輻射發射熱電漿HP。可藉由氣體或蒸汽(例如,Xe氣體、Li蒸汽或Sn蒸汽)而產生EUV輻射,其中產生熱電漿HP以發射在電磁頻譜之EUV範圍內之輻射。舉例而言,藉由產生至少部分離子化電漿之放電來建立熱電漿HP。為了輻射之 高效產生,可能需要分壓為例如10Pa之Xe、Li、Sn蒸汽或任何其他適合氣體或蒸汽。在一實施例中,提供經激發之錫(Sn)電漿以產生EUV輻射。
由熱電漿HP發射之輻射經由定位於源腔室SC中的開口中或後方之視情況選用的氣體障壁或污染物截留器CT(在一些狀況下,亦稱為污染物障壁或箔片截留器)而自源腔室SC傳遞至收集器腔室CC中。污染物截留器CT可包括通道結構。污染物截留器CT亦可包括氣體障壁,或氣體障壁與通道結構之組合。如在此項技術中為吾人所知,本文中進一步所指示之污染物截留器或污染物障壁CT至少包括通道結構。
收集器腔室CC可包括可為所謂的掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側US及下游輻射收集器側DS。橫穿輻射收集器CO之輻射可自光柵光譜濾光器SF反射以沿由點虛線「O」指示之光軸聚焦於虛擬源點IF中。虛擬源點IF可被稱作中間焦點,且源收集器模組可經配置使得中間焦點IF位於圍封結構ES中之開口OP處或附近。虛擬源點IF為輻射發射電漿HP之影像。
隨後,輻射橫穿照明系統IL,該照明系統IL可包括琢面化場鏡面器件FM及琢面化光瞳鏡面器件PM,該琢面化場鏡面器件及該琢面化光瞳鏡面器件經配置以提供在圖案化器件MA處的輻射光束B之所要角度分佈以及在圖案化器件MA處的輻射振幅之所要均一性。在由支撐結構MT固持之圖案化器件MA處的輻射光束B之反射後,形成經圖案化光束PB,且藉由投影系統PS經由反射元件RE將經圖案化光束PB成像至由基板台WT固持之基板W上。
比所展示之元件更多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影裝置之類型,光柵光譜濾光器SF可視情 況存在。此外,可存在比圖式中所展示之鏡面更多的鏡面,例如,可存在存在於投影系統PS中的1至6個額外反射元件。
收集器光學件CO可為具有掠入射反射器GR之巢套式收集器,僅作為收集器(或收集器鏡面)之實例。掠入射反射器GR經安置為圍繞光軸O軸向對稱,且此類型之收集器光學件CO可與通常稱為DPP源之放電產生電漿源組合使用。
圖28為根據一或多個實施例之微影投影裝置LPA之源收集器模組SO的詳細視圖。源收集器模組SO可為LPA輻射系統之部分。雷射器LA可經配置以將雷射能量存放至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數10eV之電子溫度的高度離子化電漿HP。在此等離子之去激發及再組合期間所產生之高能輻射自電漿發射,由近正入射收集器光學件CO收集,且聚焦至圍封結構ES中的開口OP上。
可使用以下條項來進一步描述實施例:
1.一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一電腦執行時致使該電腦進行以下操作:將一或多個輔助特徵置放在一設計佈局中之鄰近該設計佈局之一或多個目標特徵的一或多個位置中,該設計佈局經組態以用於圖案化一基板,該一或多個輔助特徵基於該基板上之兩個或更多個不同聚集位置來置放;及基於該兩個或更多個不同聚集位置及一或多個經置放輔助特徵來使該設計佈局移位,該移位經組態以當該一或多個目標特徵經圖案化在該基板上時增強該一或多個目標特徵。
2.如條項1之媒體,其中使該設計佈局移位包含相對於該基板重 新定位基於該設計佈局而判定之一圖案化器件圖案。
3.如條項1至2中任一項之媒體,其中該增強係藉由縮減原本將由成像輻射在該基板之成像期間經過之一隙縫的橫跨隙縫之不對稱性引起的一移位來實現。
4.如條項3之媒體,其中該橫跨隙縫之不對稱性係與一Z2任尼克多項式或附帶任尼克多項式相關聯。
5.如條項1至4中任一項之媒體,其中置放該一或多個輔助特徵及使該設計佈局移位包含模擬一數值孔徑(NA)、一均方偏差、一最佳焦點、及/或與成像輻射相關聯之一波長峰距的調整以最佳化該一或多個輔助特徵。
6.如條項5之媒體,其中該最佳化包含穿縫最佳化。
7.如條項1至6中任一項之媒體,其中置放該一或多個輔助特徵及使該設計佈局移位包含該一或多個輔助特徵之電磁或純量模型化及運用一電子模型使該設計佈局移位。
8.如條項1至7中任一項之媒體,其中該等指令進一步經組態以使得該電腦:基於經最佳化輔助特徵判定穿縫輔助特徵規則及置放該一或多個輔助特徵;及向該設計佈局應用一全場光學近接校正,該全場光學近接校正基於模型或基於規則,應用該全場光學近接校正包含:基於經移位設計佈局將一穿縫重新定位移位應用於該設計佈局之該一或多個目標特徵;應用經最佳化穿縫輔助特徵;及應用一主要特徵偏置。
9.如條項8之媒體,其中該等穿縫輔助特徵規則係基於一自訂成 本函數而判定,該自訂成本函數包含用於目標特徵側壁角、側壁角線性度、側壁角對稱性及圖案置放誤差之項。
10.如條項1至9中任一項之媒體,其中該一或多個目標特徵具有側壁,且其中執行置放該一或多個輔助特徵及使該設計佈局移位以獲得一所需側壁角、側壁角線性度及/或側壁角對稱性。
11.如條項1至10中任一項之媒體,其中具有兩個或更多個不同波長之經最佳化成像輻射控制該基板上之該兩個或更多個不同聚集位置以用於該成像輻射對該基板之一單次曝光。
12.如條項1至11中任一項之媒體,其中該一或多個輔助特徵及經移位設計佈局經組態以藉由在該基板中改善該一或多個目標特徵之一對稱性或該一或多個目標特徵之置放中之一者或兩者來增強該基板中之該一或多個目標特徵。
13.如條項1至12中任一項之媒體,其中置放該一或多個輔助特徵包含基於與該等不同聚集位置相關聯之成像輻射的兩個或更多個不同波長來判定該一或多個輔助特徵相對於該一或多個目標特徵之一數量、一形狀、一大小、一位置及/或一定向。
14.如條項13之媒體,其中該一或多個輔助特徵之該形狀、大小、位置及/或定向經組態使得該一或多個輔助特徵不形成於該基板中。
15.如條項1至14中任一項之媒體,其中針對一半導體製造製程執行置放該一或多個輔助特徵及使該設計佈局移位。
16.一種用於當一或多個目標特徵經圖案化在一基板上時增強該一或多個目標特徵之方法,該方法包含:將一或多個輔助特徵置放在一設計佈局中之鄰近該設計佈局中之一 或多個目標特徵的一或多個位置中,該設計佈局經組態以用於圖案化該基板,該一或多個輔助特徵基於該基板上之兩個或更多個不同聚集位置而置放;及基於該兩個或更多個不同聚集位置及一或多個經置放輔助特徵來使該設計佈局移位,該移位經組態以當該一或多個目標特徵經圖案化在該基板上時增強該一或多個目標特徵。
17.如條項1之方法,其中使該設計佈局移位包含相對於該基板重新定位基於該設計佈局而判定之一圖案化器件圖案。
18.如條項16至17中任一項之方法,其中該增強係藉由縮減原本將由成像輻射在該基板之成像期間經過之一隙縫的橫跨隙縫之不對稱性引起的一移位來實現。
19.如條項18之方法,其中該橫跨隙縫之不對稱性係與一Z2任尼克多項式或附帶任尼克多項式相關聯。
20.如條項16至19中任一項之方法,其中置放該一或多個輔助特徵及使該設計佈局移位包含模擬一數值孔徑(NA)、一均方偏差、一最佳焦點及/或與成像輻射相關聯之一波長峰距的調整以最佳化一或多個經置放輔助特徵。
21.如條項20之方法,其中該最佳化包含穿縫最佳化。
22.如條項16至21中任一項之方法,其中置放該一或多個輔助特徵及使該設計佈局移位包含該一或多個輔助特徵之電磁或純量模型化及運用一電子模型使該設計佈局移位。
23.如條項16至22中任一項之方法,其進一步包含基於經最佳化輔助特徵判定穿縫輔助特徵規則及置放該一或多個輔助特徵及向該設計佈局 應用一全場光學近接校正,該全場光學近接校正基於模型或基於規則,應用該全場光學近接校正包含:基於經移位設計佈局將一穿縫重新定位移位應用於該設計佈局之該一或多個目標特徵;應用經最佳化穿縫輔助特徵;及應用一主要特徵偏置。
24.如條項23之方法,其中該等穿縫輔助特徵規則係基於一自訂成本函數而判定,該自訂成本函數包含用於目標特徵側壁角、側壁角線性度、側壁角對稱性及圖案置放誤差之項。
25.如條項16至24中任一項之方法,其中該一或多個目標特徵具有側壁,且其中執行置放該一或多個輔助特徵及使該設計佈局移位以獲得一所需側壁角、側壁角線性度及/或側壁角對稱性。
26.如條項16至25中任一項之方法,其中具有兩個或更多個不同波長之經最佳化成像輻射控制該基板上之該兩個或更多個不同聚集位置以用於該成像輻射對該基板之一單次曝光。
27.如條項16至26中任一項之方法,其中該一或多個輔助特徵及經移位設計佈局經組態以藉由在該基板中改善該一或多個目標特徵之一對稱性或該一或多個目標特徵之置放中之一者或兩者來增強該基板中之該一或多個目標特徵。
28.如條項16至27中任一項之方法,其中置放該一或多個輔助特徵包含基於與該等不同聚集位置相關聯之成像輻射之兩個或更多個不同波長判定該一或多個輔助特徵相對於該一或多個目標特徵之一數量、一形狀、一大小、一位置及/或一定向。
29.如條項28之方法,其中該一或多個輔助特徵之該形狀、大小、位置及/或定向經組態使得該一或多個輔助特徵不形成於該基板中。
30.如條項16至29中任一項之方法,其中針對一半導體製造製程執行置放該一或多個輔助特徵及使該設計佈局移位。
31.一種用於增強成像至一基板上之一圖案的目標特徵之方法,該方法包含:針對成像輻射判定該基板上之兩個或更多個不同聚集位置;及基於該兩個或更多個不同聚集位置將一或多個輔助特徵新增至該圖案中之靠近該圖案之該等目標特徵中之一或多者的一或多個位置中,經新增之一或多個輔助特徵經組態以增強該基板上之該等目標特徵。
32.如條項31之方法,其中該基板上之該兩個或更多個不同聚集位置係用於具有兩個或更多個不同波長之成像輻射,且經判定以用於該成像輻射對該基板之一單次曝光。
33.如條項32之方法,其中該成像輻射包含對應於該兩個或更多個不同波長之兩個或更多個不同顏色。
34.如條項32至33中任一項之方法,其中該兩個或更多個不同聚集位置係基於成像輻射之該兩個或更多個不同波長而判定。
35.如條項31至34中任一項之方法,其中該一或多個輔助特徵包含一或多個子解析度輔助特徵。
36.如條項31至35中任一項之方法,其中該經新增之一或多個輔助特徵經組態以藉由改善該基板上之該圖案的該等目標特徵之一對稱性或該圖案的該等目標特徵之置放中之一者或兩者來增強該基板上之該等目標特徵。
37.如條項31至36中任一項之方法,其進一步包含:藉由將該一或多個輔助特徵新增至該圖案中之靠近該等目標特徵中之一或多者之該一或多個位置中來判定與該基板相關聯之一影像;及基於該一或多個經新增之輔助特徵及該等目標特徵來判定該影像。
38.如條項37之方法,其中該影像為一空中影像。
39.如條項37至38中任一項之方法,其中基於該一或多個經新增之輔助特徵及該一或多個目標特徵判定該影像會在該影像中改善該圖案之該等目標特徵之一對稱性或該圖案之該等目標特徵之置放中之一者或兩者。
40.如條項39之方法,其中相對於在不考慮該等輔助特徵之情況下判定之一不同影像中的目標特徵之一對稱性及/或置放來改善該影像中之該圖案之該等目標特徵之該對稱性或該圖案之該等目標特徵之該置放中之一者或兩者。
41.如條項31至40中任一項之方法,其中將該一或多個輔助特徵新增至該圖案中之靠近該圖案之該一或多個目標特徵的該一或多個位置中包含判定該一或多個輔助特徵相對於該一或多個目標特徵之一形狀、一大小、一位置及/或一定向。
42.如條項31至41中任一項之方法,其中將該一或多個輔助特徵新增至該圖案藉由縮減由一多焦點微影成像裝置之一隙縫的橫跨隙縫之不對稱性引起的一移位來增強該等目標特徵。
43.如條項42之方法,其中該橫跨隙縫之不對稱性係與一Z2任尼克多項式相關聯。
44.如條項42之方法,其中該橫跨隙縫之不對稱性係與附帶任尼克多項式相關聯。
45.如條項42至44中任一項之方法,其中該一或多個輔助特徵中之不同輔助特徵對應於該隙縫中之一或多個不同隙縫位置。
46.如條項31至45中任一項之方法,其中該一或多個輔助特徵之一形狀、大小、位置及/或定向經組態使得該一或多個輔助特徵不形成於該基板上。
47.如條項31至46中任一項之方法,其中將一或多個輔助特徵新增至該圖案中之靠近該圖案之該一或多個目標特徵的該一或多個位置中包含以電子方式模型化該圖案中之該一或多個輔助特徵。
48.如條項31至47中任一項之方法,其中該圖案包含一光罩圖案。
49.如條項31至48中任一項之方法,其中針對一半導體製造製程執行:針對該成像輻射判定該基板上之該兩個或更多個不同聚集位置;及將該一或多個輔助特徵新增至該圖案中之靠近該圖案之該一或多個目標特徵的該一或多個位置中。
50.如條項31至49中任一項之方法,其中將一或多個輔助特徵新增至該圖案中之靠近該圖案之一或多個目標特徵的一或多個位置中包含在一給定目標特徵之一側上新增一個輔助特徵。
51.如條項31至50中任一項之方法,其中將一或多個輔助特徵新增至該圖案中之靠近該圖案之一或多個目標特徵之一或多個位置中包含在一給定目標特徵之一側上新增兩個或更多個輔助特徵。
52.如條項31至51中任一項之方法,其中將一或多個輔助特徵新增至該圖案中之靠近該圖案之一或多個目標特徵的一或多個位置中包含在一給定目標特徵之兩個不同側中之每一者上新增至少一個輔助特徵。
53.一種在其上具有指令之非暫時性電腦可讀媒體,該等指令在由 一電腦執行時實施如條項31至52中任一項之方法。
54.一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一電腦執行時致使該電腦進行以下操作:針對成像輻射判定一基板上之兩個或更多個不同聚集位置;及基於該兩個或更多個不同聚集位置將一或多個輔助特徵新增至一圖案中之靠近該圖案之一或多個目標特徵的一或多個位置中,經新增之一或多個輔助特徵經組態以增強該基板上之該等目標特徵。
55.如條項54之媒體,其中該基板上之該兩個或更多個不同聚集位置係用於具有兩個或更多個不同波長之成像輻射,且經判定以用於該成像輻射對該基板之一單次曝光。
56.如條項54至55中任一項之媒體,其中該一或多個輔助特徵包含一或多個子解析度輔助特徵。
57.如條項54至56中任一項之媒體,其中該經新增之一或多個輔助特徵經組態以藉由改善該基板上之該圖案的該等目標特徵之一對稱性或該圖案的該等目標特徵之置放中之一者或兩者來增強該基板上之該等目標特徵。
58.如條項54至57中任一項之媒體,其中該等指令進一步經組態以使該電腦:藉由將該一或多個輔助特徵新增至該圖案中之靠近該等目標特徵中之一或多者之該一或多個位置中來判定與該基板相關聯之一空中影像;及基於該一或多個經新增之輔助特徵及該等目標特徵來判定該空中影像。
59.如條項58之媒體,其中相對於在不考慮該等輔助特徵之情況下判定之一不同影像中的目標特徵之一對稱性及/或置放來改善該空中影像 中之該圖案之該等目標特徵之該對稱性或該圖案之該等目標特徵之該置放中之一者或兩者。
60.如條項54至59中任一項之媒體,其中將該一或多個輔助特徵新增至該圖案中之靠近該圖案之該一或多個目標特徵的該一或多個位置中包含判定該一或多個輔助特徵相對於該一或多個目標特徵之一形狀、一大小、一位置及/或一定向。
61.如條項54至60中任一項之媒體,其中將該一或多個輔助特徵新增至該圖案藉由縮減由一多焦點微影成像裝置之一隙縫的橫跨隙縫之不對稱性引起的一移位來增強該等目標特徵。
62.如條項61之媒體,其中該一或多個輔助特徵中之不同輔助特徵對應於該隙縫中之一或多個不同隙縫位置。
63.如條項54至62中任一項之媒體,其中該一或多個輔助特徵之一形狀、大小、位置及/或定向經組態使得該一或多個輔助特徵不形成於該基板上。
64.如條項54至63中任一項之媒體,其中將一或多個輔助特徵新增至該圖案中之靠近該圖案之該一或多個目標特徵的該一或多個位置中包含以電子方式模型化該圖案中之該一或多個輔助特徵。
65.一種微影裝置,該裝置包含:一照明源及投影光學件,其經組態以將一圖案成像至一基板上;及一或多個處理器,其藉由機器可讀指令組態以:針對成像輻射判定該基板上之兩個或更多個不同聚集位置;及基於該兩個或更多個不同聚集位置將一或多個輔助特徵新增至該圖案中之靠近該圖案之該等目標特徵中之一或多者的一或多個位置中,經新 增之一或多個輔助特徵經組態以增強該基板上之該等目標特徵。
66.如條項65之裝置,其中該基板上之該兩個或更多個不同聚集位置係用於具有兩個或更多個不同波長之成像輻射,且經判定以用於該成像輻射對該基板之一單次曝光。
67.如條項65至66中任一項之裝置,其中該一或多個輔助特徵包含一或多個子解析度輔助特徵。
68.如條項65至67中任一項之裝置,其中該經新增之一或多個輔助特徵經組態以藉由改善該基板上之該圖案的該等目標特徵之一對稱性或該圖案的該等目標特徵之置放中之一者或兩者來增強該基板上之該等目標特徵。
69.如條項65至68中任一項之裝置,其中該一或多個處理器進一步經組態以:藉由將該一或多個輔助特徵新增至該圖案中之靠近該等目標特徵中之一或多者之該一或多個位置中來判定與該基板相關聯之一影像;及基於該一或多個經新增之輔助特徵及該等目標特徵來判定該影像。
70.如條項69之裝置,其中該影像為一空中影像。
71.如條項65至70中任一項之裝置,其中該一或多個處理器經組態使得將該一或多個輔助特徵新增至該圖案中之靠近該圖案之該一或多個目標特徵的該一或多個位置中包含判定該一或多個輔助特徵相對於該一或多個目標特徵之一形狀、一大小、一位置及/或一定向。
72.如條項65至71中任一項之裝置,其中該一或多個處理器經組態使得將該一或多個輔助特徵新增至該圖案藉由縮減由一多焦點微影成像裝置之一隙縫的橫跨隙縫之不對稱性引起的一移位來增強該等目標特徵。
73.如條項72之裝置,其中該一或多個輔助特徵中之不同輔助特徵 對應於該隙縫中之一或多個不同隙縫位置。
74.如條項65至73中任一項之裝置,其中該一或多個處理器經組態使得將一或多個輔助特徵新增至該圖案中之靠近該圖案之一或多個目標特徵的一或多個位置中包含在一給定目標特徵之一側上新增一個輔助特徵。
75.如條項65至74中任一項之裝置,其中該一或多個處理器經組態使得將一或多個輔助特徵新增至該圖案中之靠近該圖案之一或多個目標特徵之一或多個位置中包含在一給定目標特徵之一側上新增兩個或更多個輔助特徵。
76.一種用於增強成像至一基板上之一圖案的目標特徵之方法,該方法包含:使用成像輻射以在該基板上產生兩個或更多個不同聚集位置;基於該兩個或更多個不同聚集位置將一或多個輔助特徵新增至該圖案中之靠近該圖案之該等目標特徵中之一或多者的一或多個位置中,經新增之一或多個輔助特徵經組態以增強該基板上之該等目標特徵;及基於該一或多個經新增之輔助特徵及該等目標特徵使該圖案之該等目標特徵成像至該基板上。
77.一種用以增強將一設計佈局之一部分成像至基板上之一製程的電腦實施方法,該方法包含:針對成像輻射判定該基板上之兩個或更多個不同聚集位置;及基於該兩個或更多個不同聚集位置將一或多個輔助特徵不對稱地置放至用於成像之該設計佈局中的靠近用於成像之該設計佈局中的一目標特徵之一或多個位置中。
本文中所揭示之概念可模擬或數學上模型化用於使子波長 特徵成像之任何通用成像系統,且可尤其供能夠產生愈來愈短波長之新興成像技術使用。已經在使用中之新興技術包括能夠藉由使用ArF雷射器來產生193nm波長且甚至能夠藉由使用氟雷射器來產生157nm波長之極紫外線(EUV)、DUV微影。此外,EUV微影能夠藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體或電漿)而產生在20nm至50nm之範圍內的波長,以便產生在此範圍內之光子。
雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上之成像,但應理解,所揭示概念可與任何類型之微影成像系統一起使用,例如,用於在除了矽晶圓以外的基板上成像之微影成像系統。
另外,所揭示元件之組合及子組合可包含單獨的實施例。舉例而言,如本文中所描述之新增單個或多個輔助特徵及/或移位可包含其自身單獨的實施例,或其可與本文中所描述的一或多個其他實施例包括在一起。
以上描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下如所描述進行修改。
1304:強度
1306:光罩位置
1308:標繪圖
1310:標繪圖
1312:圖案目標特徵
1320:輔助特徵
1350:側
1360:側
1370:抗蝕劑剖面
1372:側壁
1374:特徵
1376:區域
1380:抗蝕劑剖面
1382:側壁
1384:特徵
1386:較線性化

Claims (14)

  1. 一種非暫時性電腦可讀媒體,其上具有指令,該等指令在由一電腦執行時致使該電腦進行以下操作:針對成像輻射判定一基板上之兩個或更多個不同聚集位置(focus positions);及基於該兩個或更多個不同聚集位置將一或多個輔助特徵新增(add)至一圖案中之靠近該圖案之一或多個目標特徵的一或多個位置中,經新增之一或多個輔助特徵經組態以增強該基板上之該等目標特徵。
  2. 如請求項1之媒體,其中該基板上之該兩個或更多個不同聚集位置係用於具有兩個或更多個不同波長之成像輻射,且經判定以用於該成像輻射對該基板之一單次曝光。
  3. 如請求項1之媒體,其中該一或多個輔助特徵包含一或多個子解析度輔助特徵。
  4. 如請求項1之媒體,其中該經新增之一或多個輔助特徵經組態以藉由改善該基板上之該圖案的該等目標特徵之一對稱性或該圖案的該等目標特徵之置放中之一者或兩者來增強該基板上之該等目標特徵。
  5. 如請求項1之媒體,其中該等指令進一步經組態以使該電腦進行以下操作:藉由將該一或多個輔助特徵新增至該圖案中之靠近該等目標特徵中 之一或多者的該一或多個位置中來判定與該基板相關聯之一空中影像;及基於該一或多個經新增之輔助特徵及該等目標特徵來判定該空中影像。
  6. 如請求項5之媒體,其中相對於在不考慮該等輔助特徵之情況下判定之一不同影像中的目標特徵之一對稱性及/或置放來改善該空中影像中之該圖案之該等目標特徵之該對稱性或該圖案之該等目標特徵之該置放中之一者或兩者。
  7. 如請求項1之媒體,其中將該一或多個輔助特徵新增至該圖案中之靠近該圖案之該一或多個目標特徵的該一或多個位置中包含判定該一或多個輔助特徵相對於該一或多個目標特徵之一形狀、一大小、一位置及/或一定向。
  8. 如請求項1之媒體,其中將該一或多個輔助特徵新增至該圖案藉由縮減由一多焦點微影成像裝置之一隙縫的橫跨隙縫之不對稱性引起的一移位來增強該等目標特徵。
  9. 如請求項8之媒體,其中該橫跨隙縫之不對稱性係與一Z2任尼克多項式相關聯。
  10. 如請求項8之媒體,其中該橫跨隙縫之不對稱性係與附帶(collateral)任尼克多項式相關聯。
  11. 如請求項8之媒體,其中該一或多個輔助特徵中之不同輔助特徵對應於該隙縫中之一或多個不同隙縫位置。
  12. 如請求項1之媒體,其中該一或多個輔助特徵之一形狀、大小、位置及/或定向經組態使得該一或多個輔助特徵不形成於該基板上。
  13. 如請求項1之媒體,其中將一或多個輔助特徵新增至該圖案中之靠近該圖案之該一或多個目標特徵的該一或多個位置中包含以電子方式模型化該圖案中之該一或多個輔助特徵。
  14. 一種用以增強將一設計佈局之一部分成像至基板上之一製程的電腦實施方法,該方法包含:針對成像輻射判定該基板上之兩個或更多個不同聚集位置;及基於該兩個或更多個不同聚集位置將一或多個輔助特徵不對稱地置放至用於成像之該設計佈局中的靠近用於成像之該設計佈局中的一目標特徵之一或多個位置中。
TW109140449A 2019-12-02 2020-11-19 用於增強成像至基板上之圖案的目標特徵之方法和系統 TWI820359B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962942362P 2019-12-02 2019-12-02
US62/942,362 2019-12-02
US202063031802P 2020-05-29 2020-05-29
US63/031,802 2020-05-29

Publications (2)

Publication Number Publication Date
TW202127147A TW202127147A (zh) 2021-07-16
TWI820359B true TWI820359B (zh) 2023-11-01

Family

ID=73172657

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109140449A TWI820359B (zh) 2019-12-02 2020-11-19 用於增強成像至基板上之圖案的目標特徵之方法和系統

Country Status (4)

Country Link
US (1) US20230010700A1 (zh)
CN (1) CN114787715A (zh)
TW (1) TWI820359B (zh)
WO (1) WO2021110343A1 (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW541607B (en) * 2001-05-11 2003-07-11 Cymer Inc Laser spectral engineering for lithographic process
US20070224519A1 (en) * 2006-03-27 2007-09-27 Intel Corporation Diagonal corner-to-corner sub-resolution assist features for photolithography

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
CN101258498B (zh) 2005-08-08 2011-04-13 Asml荷兰有限公司 用于形成光刻工艺的焦点曝光模型的系统和方法
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
DE102017209440A1 (de) * 2017-06-02 2018-12-06 Carl Zeiss Smt Gmbh Projektionsbelichtungsverfahren und Projektionsbelichtungsanlage für die Mikrolithografie

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW541607B (en) * 2001-05-11 2003-07-11 Cymer Inc Laser spectral engineering for lithographic process
US20070224519A1 (en) * 2006-03-27 2007-09-27 Intel Corporation Diagonal corner-to-corner sub-resolution assist features for photolithography

Also Published As

Publication number Publication date
WO2021110343A1 (en) 2021-06-10
TW202403469A (zh) 2024-01-16
US20230010700A1 (en) 2023-01-12
CN114787715A (zh) 2022-07-22
TW202127147A (zh) 2021-07-16

Similar Documents

Publication Publication Date Title
TWI745863B (zh) 訓練機器學習模型以判定光罩的光學接近校正的方法及相關聯電腦程式產品
CN107430347B (zh) 图像对数斜率(ils)优化
US11022894B2 (en) Rule-based deployment of assist features
TWI749522B (zh) 用於判定光罩之特徵校正之方法
TWI723292B (zh) 圖案化製程之最佳化流程
TWI620034B (zh) 用於微影模擬的電腦實施方法及電腦程式產品
TW202401137A (zh) 用於判定遮罩圖案及訓練機器學習模型之非暫時性電腦可讀媒體
TWI828011B (zh) 判定光罩圖案之方法及相關非暫時性電腦程式產品
CN109313391B (zh) 基于位移的重叠或对准
TW202036169A (zh) 藉由源及遮罩最佳化以建立理想源光譜的方法
TW202006317A (zh) 利用圖案識別以自動地改善掃描電子顯微鏡(sem)的輪廓量測精準度及穩定性
TW201738664A (zh) 在設計佈局與圖案化器件之間的圖案映射
CN116648672A (zh) 用于确定掩模图案和训练机器学习模型的方法
TW201841049A (zh) 藉由圖案化裝置上的有限厚度之結構判定輻射之散射的方法
TWI820359B (zh) 用於增強成像至基板上之圖案的目標特徵之方法和系統
TWI842639B (zh) 用於增強成像至基板上之圖案的目標特徵之方法和系統
TW202028859A (zh) 用於高數值孔徑穿縫源光罩最佳化之方法
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
TW202338489A (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
TW202409714A (zh) 用於最佳化微影程序之基於繞射的光瞳判定
WO2024110141A1 (en) Curvilinear polygon recovery for opc mask design
TW202407459A (zh) 具有曲線元件之微影圖案表示
WO2024017807A1 (en) Systems and methods for optimizing metrology marks
TW202401161A (zh) 用於微影製程之失效率之判定之方法、系統及軟體