TW202036169A - 藉由源及遮罩最佳化以建立理想源光譜的方法 - Google Patents

藉由源及遮罩最佳化以建立理想源光譜的方法 Download PDF

Info

Publication number
TW202036169A
TW202036169A TW108137589A TW108137589A TW202036169A TW 202036169 A TW202036169 A TW 202036169A TW 108137589 A TW108137589 A TW 108137589A TW 108137589 A TW108137589 A TW 108137589A TW 202036169 A TW202036169 A TW 202036169A
Authority
TW
Taiwan
Prior art keywords
spectrum
mask pattern
modified
mask
pupil
Prior art date
Application number
TW108137589A
Other languages
English (en)
Other versions
TWI783185B (zh
Inventor
維爾拉 厄爾 康利
約書亞 瓊 索恩斯
端孚 徐
葛雷格里 艾倫 里克茲坦那
Original Assignee
荷蘭商Asml荷蘭公司
美商希瑪有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司, 美商希瑪有限責任公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202036169A publication Critical patent/TW202036169A/zh
Application granted granted Critical
Publication of TWI783185B publication Critical patent/TWI783185B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70325Resolution enhancement techniques not otherwise provided for, e.g. darkfield imaging, interfering beams, spatial frequency multiplication, nearfield lenses or solid immersion lenses
    • G03F7/70333Focus drilling, i.e. increase in depth of focus for exposure by modulating focus during exposure [FLEX]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明揭示用於增加一微影系統之一聚焦深度的系統、方法及電腦程式。在一個態樣中,一種方法包括提供一光譜、一遮罩圖案及一光瞳設計,該光譜、該遮罩圖案及該光瞳設計一起經組態以向該微影系統提供一聚焦深度。該方法亦包括使該光譜及該遮罩圖案中之一輔助特徵反覆地變化以提供一經修改之光譜及增加該聚焦深度的一經修改之遮罩圖案。該方法進一步包括基於該經修改之光譜及增加該聚焦深度的該經修改之遮罩圖案組態該微影系統之一組件。

Description

藉由源及遮罩最佳化以建立理想源光譜的方法
本文中之描述通常係關於改良及最佳化微影程序。更特定言之,本發明包括用於藉由修改光譜、遮罩圖案及/或光瞳設計來增加微影系統之聚焦深度的設備、方法及電腦程式。
微影投影設備可用於例如積體電路(IC)之製造中。在此情況下,圖案化裝置(例如,遮罩)可含有或提供對應於IC之個別層的圖案(「設計佈局」),且此圖案可藉由諸如通過圖案化裝置上之圖案輻照目標部分的方法轉印至已塗佈有一層輻射敏感材料(「抗蝕劑」)之基板(例如,矽晶圓)上之目標部分(例如包含一或多個晶粒)上。大體而言,單個基板含有複數個鄰近目標部分,圖案藉由微影投影設備順次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型的微影投影設備中,將整個圖案化裝置上之圖案一次性轉印至一個目標部分上;此設備亦可稱為步進器。在替代設備中,步進掃描設備可使得投影光束在給定參考方向(「掃描」方向)上掃描圖案化裝置同時平行或反平行於此參考方向同步地移動基板。圖案化裝置上之圖案之不同部分逐漸地轉印至一個目標部分。大體而言,因為微影投影設備將具有減小比率M (例如,4),所以移動基板之速度F將為投影光束掃描圖案化裝置之速度的1/M倍。關於微影裝置的更多資訊可見於例如以引用之方式併入本文中之US 6,046,792。
在將圖案自圖案化裝置轉印至基板之前,基板可經歷各種工序,諸如上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他工序(「曝光後工序」),諸如曝光後烘烤(PEB)、顯影、硬烘烤及對經轉印圖案之量測/檢測。此工序陣列用作製得裝置(例如IC)之個別層的基礎。基板可隨後經歷諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學-機械拋光等各種程序,該等程序皆意欲精整裝置之個別層。若在裝置中需要若干層,則針對每一層來重複整個工序或其變化形式。最終,裝置將存在於基板上之每一目標部分中。隨後藉由諸如切割或鋸割之技術來使此等裝置彼此分離,由此,可將個別裝置安裝於載體上、連接至銷釘等。
因此,製造諸如半導體裝置之裝置通常涉及使用多個製作程序來處理基板(例如半導體晶圓)以形成裝置之各種特徵及多個層。通常使用例如沈積、微影、蝕刻、化學機械拋光及離子植入來製造及處理此類層及特徵。可在基板上之複數個晶粒上製作多個裝置,且隨後將該等裝置分離成個別裝置。可將此裝置製造程序視為圖案化程序。圖案化程序涉及圖案化步驟,諸如使用微影設備中之圖案化裝置來將圖案化裝置上的圖案轉印至基板之光學及/或奈米壓印微影,且圖案化程序通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影設備進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻設備使用圖案進行蝕刻等。
如所提及,微影為製造諸如IC之裝置中的中心步驟,其中形成於基板上之圖案定義裝置之功能元件,諸如微處理器、記憶體晶片等。類似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他裝置。
隨著半導體製造程序繼續進步,幾十年來,功能元件之尺寸已不斷地減小,同時每個裝置的諸如電晶體之功能元件之量已在穩定地增加,此遵循稱為「莫耳定律(Moore's law)」之趨勢。在當前技術狀態下,使用微影投影設備來製造裝置之層,該等微影投影設備使用來自深紫外線照明源之照明將設計佈局投影至基板上,從而建立尺寸遠低於100 nm (亦即小於來自照明源(例如,193 nm照明源)之輻射波長之一半)的個別功能元件。
供印刷尺寸小於微影投影設備之經典解析度限制之特徵的此程序可根據解析度公式CD = k1×λ/NA而稱為低k1微影,其中λ為所採用的輻射之波長(例如,248 nm或193 nm),NA為微影投影設備中之投影光學器件的數值孔徑,CD為「臨界尺寸(critical dimension)」(大體上為所印刷之最小特徵大小),且k1為經驗解析度因數。大體而言,k1愈小,則在基板上再生類似於由設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用於微影投影設備、設計佈局或圖案化裝置。此等步驟包括例如但不限於NA及光學相干設定之最佳化、定製照明方案、相移圖案化裝置之使用、設計佈局中的光學鄰近校正(OPC,有時亦稱為「光學及程序校正」),或通常定義為「解析度增強技術」(RET)之其他方法。如本文中所使用之術語「投影光學器件」應廣泛地解釋為涵蓋各種類型的光學系統,例如包括折射光學器件、反射光學器件、光圈及反射折射光學器件。術語「投影光學器件」亦可包括根據此等設計類型中之任一者操作以用於共同地或單個地導向、塑形或控制輻射投影光束的組件。術語「投影光學器件」可包括微影投影設備中之任何光學組件,而不管光學組件位於微影投影設備之光學路徑上之何處。投影光學器件可包括用於在來自源之輻射通過圖案化裝置之前塑形、調整及/或投影該輻射的光學組件,及/或用於在該輻射通過圖案化裝置之後塑形、調整及/或投影該輻射的光學組件。投影光學器件通常不包括源及圖案化裝置。
本發明揭示用於增加一微影系統之一聚焦深度的系統、方法及電腦程式。在一個態樣中,一種方法包括提供一光譜、一遮罩圖案及一光瞳設計,該光譜、該遮罩圖案及該光瞳設計一起經組態以向該微影系統提供一聚焦深度。該方法亦包括使該光譜及該遮罩圖案中之一輔助特徵反覆地變化以提供一經修改之光譜及增加該聚焦深度的一經修改之遮罩圖案。該方法進一步包括基於該經修改之光譜及增加該聚焦深度的該經修改之遮罩圖案組態該微影系統之一組件。
在一些變化中,該反覆變化可進一步包括使該光譜、該遮罩圖案及該光瞳設計同時反覆地變化以提供該經修改之光譜、一經修改之遮罩圖案及一經修改之光瞳設計。
此外,該光譜可以一系列脈衝提供,其中該光譜中之至少一個峰值中的一中心波長在每隔一次脈衝中進一步變化以移位大致500 fm。
在其他變化中,該光譜可包括一多色光譜,且該多色光譜可包括至少兩個不同峰值,該等峰值具有一峰值間距。該方法亦可包括藉由一光源遞送對應於該多色光譜之光,其中光的該多個顏色可在不同時間遞送。
在其他變化中,該反覆變化可進一步包括使該光譜中之一峰值的一頻寬反覆地變化或使該光譜中之兩個峰值之間的一峰值間距反覆地變化。
在一些變化中,該反覆變化可進一步包括使該遮罩圖案中之一主特徵變化以增加該聚焦深度,且該主特徵可包括一邊緣部位及一遮罩偏置部位,且該反覆變化可進一步包括使該邊緣部位或該遮罩偏置部位中之至少一者變化。兩個遮罩偏置部位可圍繞該主特徵之一中心對稱地變化。該反覆變化可進一步包括使該遮罩圖案中之一子解析度輔助特徵變化以增加該聚焦深度。此外,該反覆變化可進一步包括藉由改變該子解析度輔助特徵之一位置或寬度中之至少一者來使該子解析度輔助特徵變化。
在其他變化中,該反覆變化可進一步包括執行該反覆變化,至少直至一程序窗基於至少部分地由一劑量及一曝光寬容度定義之一區域增加為止。該反覆變化可進一步包括執行該變化,至少直至該聚焦深度及一曝光寬容度之一乘積增加為止。此外,該反覆變化可進一步包括在該光譜之該變化使得該光譜中之一峰值的頻寬增加時約束該變化以增加空中影像處的一對比度。
在其他變化中,該組件可為一雷射,且該雷器射可經組態以基於該經修改之光譜提供光。該組件可為一遮罩,且方法可進一步包括基於該經修改之遮罩圖案製造該遮罩。該組件可為包含一繞射光學元件之一光瞳,且該方法可進一步包括基於該經修改之光瞳設計製造該光瞳。該組件可為包含一鏡面陣列之一光瞳,且該方法可進一步包括基於該經修改之光瞳設計組態該光瞳。此外,該方法可包括基於該經修改之光瞳設計組態包括一鏡面陣列的一光瞳且基於該經修改之遮罩圖案製造一遮罩。
在一相關態樣中,一種用於增加一微影系統之一聚焦深度之方法包括提供一光譜、一遮罩圖案及一光瞳設計,該光譜、該遮罩圖案及該光瞳設計一起經組態以向該微影系統提供一聚焦深度。該方法亦包括使該光譜及一鏡面陣列中之一或多個鏡面的一組態反覆地變化以提供一經修改之光譜及增加該聚焦深度的一經修改之光瞳設計。該方法亦包括基於該經修改之光譜及增加該聚焦深度的該經修改之光瞳設計組態該鏡面陣列之該一或多個鏡面。
在一些變化中,該光譜包含一多色光譜,且該多色光譜可包括至少兩個不同峰值,該等峰值具有一峰值間距。該方法進一步包括藉由一光源遞送對應於該多色光譜之光,其中光的該多個顏色可在不同時間遞送。該反覆變化可進一步包括:使該光譜中之一峰值的一頻寬反覆地變化;使該光譜中的兩個峰值之間的一峰值間距反覆地變化;執行該反覆變化,至少直至一程序窗基於至少部分地由一劑量及一曝光寬容度定義之一區域增加為止;執行該變化,至少直至該聚焦深度及一曝光寬容度之一乘積增加為止;或在該光譜之該變化使得該光譜中之一峰值的頻寬增加時約束該變化以增加空中影像處之一對比度。
在其他變化中,該方法可包括藉由一反覆程序產生將引起該增加之聚焦深度的該光譜。該反覆程序可至少包括:使該光譜中之至少兩個峰值之間的一間距反覆地變化;獲得該微影系統之指定態樣的複數個設定參數;產生一點源模型,該點源模型產生該光譜,該產生包含指定一程序窗;產生一不受限的光瞳設計及一遮罩圖案;將一自由光瞳映射或一參數光瞳映射應用於該不受限的光瞳設計以定義該不受限之光瞳設計的特徵且產生一受限光瞳設計;應用指定一遮罩透射率之一遮罩約束、遮罩相位及一子解析度輔助特徵晶種之部位中之至少一者以產生一經修改之遮罩圖案;且藉由所施加之遮罩約束同時修改該受限光瞳設計以產生該經修改之光瞳設計及該經修改之遮罩圖案。
此外,根據一實施例,提供一種電腦程式產品,其包含其上記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施上文所列出之該等方法。
儘管在本文中可具體地參考IC之製造,但應明確地理解,本文中之描述具有許多其他可能應用。舉例而言,該等實施例可用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等。熟習此項技術者將瞭解,在此類替代應用之上下文中,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應視為可分別與更一般的術語「遮罩」、「基板」及「目標部分」互換。
在本發明文件中,術語「輻射」及「光束」用於涵蓋所有類型之電磁輻射,包括紫外線輻射(例如具有365、248、193、157或126 nm之波長)及EUV (極紫外線輻射,例如具有介於約5-100 nm的範圍內之波長)。
圖案化裝置可包含或可形成一或多個設計佈局。可利用CAD (電腦輔助設計)程式來產生設計佈局,此程序通常稱為EDA (電子設計自動化)。大多數CAD程式遵循一預定設計規則集合,以便建立功能設計佈局/圖案化裝置。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義裝置(諸如閘極、電容器等)或互連線之間的空間容許度,以便確保裝置或線不會以非所要的方式彼此相互作用。設計規則限制中之一或多者可稱為「臨界尺寸」(CD)。可將裝置之臨界尺寸定義為線或孔之最小寬度或兩條線或兩個孔之間的最小空間。因此,CD判定所設計裝置之總體大小及密度。當然,裝置製作之目標中之一者為在基板上如實地再生原始設計意圖(經由圖案化裝置)。
如本文中所採用之術語「遮罩」或「圖案化裝置」可廣泛地解釋為係指可用於向入射輻射光束賦予經圖案化橫截面之通用圖案化裝置,經圖案化橫截面對應於待在基板之目標部分中建立之圖案;術語「光閥」亦可用於此上下文中。除經典遮罩(透射或反射;二元、相移、混合式等)以外,其他此類圖案化裝置之實例包括可程式規劃鏡面陣列及可程式規劃LCD陣列。
可程式規劃鏡面陣列之實例可為具有黏彈性控制層及反射表面的矩陣可定址表面。此設備所隱含之基本原理為(例如):反射表面之定址區域將入射輻射反射為繞射輻射,而未經定址區域將入射輻射反射為非繞射輻射。在使用適當濾光器的情況下,可自反射光束濾出該非繞射輻射,從而僅留下繞射輻射;以此方式,光束根據矩陣可定址表面之定址圖案而變得圖案化。可使用適合的電子方法來執行所需矩陣定址。
可程式規劃LCD陣列之實例在以引用之方式併入本文中之美國專利第5,229,872號中給出。
圖1說明根據一實施例之微影投影設備10A之各種子系統的方塊圖。主要組件為:輻射源12A,其可為深紫外線準分子雷射源或包括極紫外線(EUV)源的其他類型之源(如上文所論述,微影投影設備自身不需要具有輻射源);照明光學器件,其例如定義部分相干性(被表示為均方偏差)且可包括塑形來自源12A之輻射的光學器件14A、16Aa及16Ab;圖案化裝置(或遮罩) 18A;及透射光學器件16Ac,其將圖案化裝置圖案之影像投影至基板平面22A上。
光瞳20A可包括於透射光學器件16Ac中。在一些實施例中,在遮罩18A之前及/或之後可存在一或多個光瞳。如本文中進一步詳細地描述,光瞳20A可提供最終到達基板平面22A之光之圖案化。在投影光學器件之光瞳平面處的可調整濾光器或孔徑可限定照射於基板平面22A上之光束角度之範圍,其中最大可能角度定義投影光學器件之數值孔徑NA= n sin(Θmax ),其中n為基板與投影光學器件之最後一個元件之間的媒體之折射率,且Θmax 為自投影光學器件射出的仍可照射於基板平面22A上之光束的最大角度。
在微影投影設備中,源將照明(亦即,輻射)提供至圖案化裝置,且投影光學器件經由該圖案化裝置將該照明導向至基板上且塑形該照明。投影光學器件可包括組件14A、16Aa、16Ab及16Ac中之至少一些。空中影像(AI)為在基板位階下之輻射強度分佈。可使用抗蝕劑模型以自空中影像計算抗蝕劑影像,可在全部揭示內容以全文引用之方式併入本文中之美國專利申請公開案第US 2009-0157630號中找到此情形之實例。抗蝕劑模型僅與抗蝕劑層之屬性(例如,在曝光、曝光後烘烤(PEB)及顯影期間發生的化學程序之效應)有關。微影投影設備之光學屬性(例如,照明、圖案化裝置及投影光學器件之屬性)指示空中影像且可定義於光學模型中。因為可改變用於微影投影設備中之圖案化裝置,所以需要使圖案化裝置之光學屬性與至少包括源及投影光學器件之微影投影設備之其餘部分之光學屬性分離。用於將設計佈局變換成各種微影影像(例如,空中影像、抗蝕劑影像等)、使用彼等技術及模型應用OPC且評估效能(例如,依據程序窗)的技術及模型之細節描述於美國專利申請公開案第US 2008-0301620號、第2007-0050749號、第2007-0031745號、第2008-0309897號、第2010-0162197號及第2010-0180251號中,前述各公開案的揭示內容以全文引用之方式併入本文中。
理解微影程序之一個態樣係理解輻射與圖案化裝置之相互作用。可自在輻射到達圖案化裝置之前的輻射之電磁場及表徵該相互作用之函數判定在輻射通過圖案化裝置之後的輻射之電磁場。此函數可稱為遮罩透射函數(其可用於描述透射圖案化裝置及/或反射圖案化裝置之相互作用)。
遮罩透射函數可具有各種不同形式。一種形式為二元的。二元遮罩透射函數在圖案化裝置上之任何給定部位處具有兩個值(例如零及正常數)中之任一者。呈二元形式之遮罩透射函數可稱為二元遮罩。另一形式為連續的。亦即,圖案化裝置之透射率(或反射率)的模數係圖案化裝置上之部位的連續函數。透射率(或反射率)之相位亦可為圖案化裝置上之部位的連續函數。呈連續形式之遮罩透射函數可稱為連續色調遮罩或連續透射遮罩(CTM)。舉例而言,可將CTM表示為像素化影像,其中可向每一像素指定介於0與1之間的值(例如0.1、0.2、0.3等)來代替0或1之二元值。在一實施例中,CTM可為像素化灰階影像,其中每一像素具有若干值(例如在範圍[-255, 255]內、在範圍[0, 1]或[-1, 1]或其他適當範圍內之正規化值)。
薄遮罩近似(亦稱為基爾霍夫(Kirchhoff)邊界條件)廣泛地用於簡化對輻射與圖案化裝置之相互作用之判定。薄遮罩近似假設圖案化裝置上之結構之厚度與波長相比極小,且遮罩上的結構之寬度與波長相比極大。因此,薄遮罩近似假設在圖案化裝置之後的電磁場為入射電磁場與遮罩透射函數之乘積。然而,當微影程序使用具有愈來愈短之波長的輻射,且圖案化裝置上之結構變得愈來愈小時,對薄遮罩近似之假設可能會破壞。舉例而言,由於結構(例如頂表面與側壁之間的邊緣)之有限厚度,輻射與結構之相互作用(「遮罩3D效應」或「M3D」)可變得明顯。在遮罩透射函數中涵蓋此散射可使得遮罩透射函數能夠較好捕獲輻射與圖案化裝置之相互作用。在薄遮罩近似下之遮罩透射函數可稱為薄遮罩透射函數。涵蓋M3D的遮罩透射函數可稱為M3D遮罩透射函數。
根據本發明之一實施例,可產生一或多個影像。影像包括可藉由每一像素之像素值或強度值表徵的各種類型之信號。取決於影像內像素之相對值,信號可稱作例如弱信號或強信號,如一般熟習此項技術者可理解。術語「強」及「弱」為基於影像內之像素之強度值的相對術語,且強度之具體值可能並不限制本發明之範疇。在一實施例中,強信號及弱信號可基於所選擇之臨限值來識別。在一實施例中,臨限值可為固定的(例如影像內像素之最高強度與最低強度的中點。在一實施例中,強信號可指具有大於或等於整個影像之平均信號值之值的信號,且弱信號可指具有小於平均信號值之值的信號。在一實施例中,相對強度值可基於百分比。舉例而言,弱信號可為具有小於影像內像素(例如對應於目標圖案之像素可視為具有最高強度的像素)之最高強度的50%的強度之信號。此外,影像內之每一像素可視為變數。根據本實施例,導數或偏導數可相對於影像內之每一像素判定,且每一像素之值可根據基於成本函數的評估及/或成本函數之基於梯度的計算來判定或修改。舉例而言,CTM影像可包括像素,其中每一像素為可採取任何實數值之變數。
圖2說明根據一實施例的用於模擬微影投影設備中之微影的例示性流程圖。源模型31表示源之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學器件模型32表示投影光學器件之光學特性(包括由投影光學器件引起的輻射強度分佈及/或相位分佈之改變)。設計佈局模型35表示設計佈局之光學特性(包括由設計佈局33引起的輻射強度分佈及/或相位分佈之改變),該設計佈局為在圖案化裝置上或由圖案化裝置形成之特徵配置的表示。可自設計佈局模型35、投影光學器件模型32及設計佈局模型35模擬空中影像36。可使用抗蝕劑模型37自空中影像36模擬抗蝕劑影像38。微影之模擬可例如預測抗蝕劑影像中之輪廓及CD。
更特定而言,應注意,源模型31可表示源之光學特性,該等光學特性包括但不限於數值孔徑設定、照明均方偏差(σ)設定以及任何特定照明形狀(例如離軸輻射源,諸如環狀、四極、偶極等)。投影光學器件模型32可表示投影光學器件之光學特性,該等光學特性包括像差、失真、一或多個折射率、一或多個實體大小、一或多個實體尺寸等。設計佈局模型35可表示實體圖案化裝置之一或多個實體屬性,如(例如)以全文引用的方式併入本文中之美國專利第7,587,704號中所描述。模擬之目標為精確地預測例如邊緣置放、空中影像強度斜率及/或CD,其可隨後與預期設計進行比較。預期設計通常定義為可以諸如GDSII或OASIS或其他檔案格式之標準化數位檔案格式提供之預OPC設計佈局。
自此設計佈局,可識別稱為「剪輯」之一或多個部分。在一實施例中,提取剪輯集合,其表示設計佈局中之複雜圖案(通常為約50個至1000個剪輯,但可使用任何數目個剪輯)。此等圖案或剪輯表示設計之小部分(亦即,電路、胞元或圖案),且更具體而言,該等剪輯通常表示需要特定注意及/或驗證的小部分。換言之,剪輯可為設計佈局之部分,或可為相似的或具有設計佈局之部分的相似行為,其中一或多個臨界特徵藉由經驗(包括由客戶提供之剪輯)、藉由試誤法或藉由執行全晶片模擬進行識別。剪輯可含有一或多個測試圖案或量規圖案。
可由客戶基於設計佈局中需要特定影像最佳化之一或多個已知臨界特徵區域來憑經驗提供初始較大剪輯集合。可替代地,在另一實施例中,可藉由使用識別一或多個臨界特徵區域之某種自動(諸如機器視覺)或手動演算法自整個設計佈局提取初始較大剪輯集合。
在微影投影設備中,作為一實例,可將成本函數表達為
Figure 02_image001
(方程式1)
其中(z 1 ,z 2 ,…,zN )為N個設計變數或其值。fp (z 1 ,z 2 , …,zN )可為設計變數(z 1 ,z 2 , …,zN )的函數,諸如對於(z 1 ,z 2 , …,zN )之設計變數的值集合的特性之實際值與預期值之間的差。wp 為與fp (z 1 ,z 2 , …,zN )相關聯之權重常數。舉例而言,特性可為在邊緣上之給定點處量測的圖案之邊緣之位置。不同fp (z 1 ,z 2 , …,zN )可具有不同權重wp 。舉例而言,若特定邊緣具有所准許位置之窄範圍,則用於表示邊緣的實際位置與預期位置之間的差之fp (z 1 ,z 2 , …,zN )之權重wp 可被給出較高值。fp (z 1 ,z 2 , …,zN )亦可為層間特性之函數,層間特性又為設計變數(z 1 ,z 2 , …,zN )之函數。當然,CF (z 1 ,z 2 , …,zN )不限於方程式1中之形式。CF (z 1 ,z 2 , …,zN )可呈任何其他適合形式。
成本函數可表示微影投影設備、微影程序或基板之任何一或多個適合特性,例如,焦點、CD、影像移位、影像失真、影像旋轉、隨機變化、產出率、局域CD變化、程序窗、層間特性或其組合。在一個實施例中,設計變數(z 1 ,z 2 , …,zN )包含選自劑量、圖案化裝置之全域偏置及/或照明形狀中之一或多者。因為抗蝕劑影像通常規定基板上之圖案,所以成本函數可包括表示抗蝕劑影像之一或多個特性的函數。舉例而言,fp (z 1 ,z 2 , …,zN )可僅為抗蝕劑影像中之一點與該點之預期位置之間的距離(亦即,邊緣置放誤差EPEp (z 1 ,z 2 , …,zN )。設計變數可包括任何可調整參數,諸如源、圖案化裝置、投影光學器件、劑量、焦點等之可調整參數。
微影設備可包括可用於調整波前之形狀及輻射光束之強度分佈及/或相移的統稱為「波前操控器」之組件。在一實施例中,微影設備可調整沿微影投影設備之光學路徑的任何部位處之波前及強度分佈,諸如在圖案化裝置之前、在光瞳平面附近、在影像平面附近及/或在焦平面附近。波前操控器可用於校正或補償由例如源、圖案化裝置、微影投影設備中之溫度變化、微影投影設備之組件之熱膨脹等引起的波前及強度分佈及/或相移的某些失真。調整波前及強度分佈及/或相移可改變由成本函數表示之特性的值。可自模型模擬此類改變或實際上量測此類改變。設計變數可包括波前操控器之參數。
設計變數可具有約束,該等約束表達為(z 1 ,z 2 , …,zN ) Z ,其中Z為設計變數之可能值集合。可藉由微影投影設備之所要產出率來強加對設計變數之一個可能約束。在無藉由所要產出率而強加之此約束的情況下,最佳化可得到不切實際的設計變數之值集合。舉例而言,若劑量為設計變數,則在無此約束之情況下,最佳化可得到使產出率經濟上不可能的劑量值。然而,約束之有用性不應解釋為必要性。舉例而言,產出率可受光瞳填充比影響。對於一些照明設計,低光瞳填充比可捨棄輻射,從而導致較低產出率。產出率亦可受抗蝕劑化學反應影響。較慢抗蝕劑(例如,要求適當地曝光較高量之輻射的抗蝕劑)導致較低產出率。
如本文中所使用,術語「程序模型」意謂包括模擬圖案化程序之一或多個模型的模型。舉例而言,程序模型可包括以下之任何組合:光學模型(例如,模型化用於在微影程序中遞送光的透鏡系統/投影系統且可包括模型化去往光致抗蝕劑(photoresist)上的光之最終光學影像)、抗蝕劑模型(例如,模型化抗蝕劑之物理效應,諸如歸因於光的化學效應)、光學鄰近校正(OPC)模型(例如,可用於製得遮罩或倍縮光罩且可包括子解析度抗蝕劑特徵(SRAF)等)。
如本文中所使用,術語「同時」例如在指「同時變化」時意謂兩個或大於兩個事情在大致上但不必準確地同時發生。舉例而言,使光瞳設計與遮罩圖案同時變化可意謂對光瞳設計做出小修改,隨後對遮罩圖案做出小調整,且隨後對光瞳設計做出另一修改等。然而,本發明涵蓋在一些並行處理應用中的修改,併發可指同時發生或在時間上具有一些重疊的操作。
藉助於引入,本發明提供尤其係關於修改或最佳化微影系統之特徵以便提高效能及製造效率的系統、方法及電腦程式產品。可修改之特徵可包括用於微影程序、遮罩、光瞳等中之光的光譜。可實施此等特徵(及可能其他特徵)之任何組合,以便改良例如微影系統的聚焦深度、程序窗、對比度或其類似者。尤其重要的係在一些實施例中,對一個特徵之修改影響其他特徵的實情。以此方式,為達成所要改良,可同時修改/變化多個特徵,如下文所描述。
圖3為說明根據一實施例的多個光波長之例示性應用的圖式。
在一實施例中,具有單一光波長(亦即,具有中心波長)之雷射光或電漿發射可用於微影程序。此單一波長光譜310之一個實例藉由圖3之上圖說明。此處,吾等看見,單一光波長之簡化表示可包括振幅320、中心波長及頻寬330 (相對於中心波長展示光譜310之形狀,該中心波長可為任何值)。本文中所描述的實例光譜(或其部分)中之任一者可大致為表示光束之洛倫茲(Lorentzian)、高斯(Gaussian)或其他此類資料檔案。
在另一實施例中,可使用具有多波長光譜340 (在本文中亦稱為多色光譜)之光。此光譜之實例在圖3中藉由中間圖說明,該中間圖展示表示具有第一中心波長342及與第一中心波長342不同之第二中心波長344的兩個不同光束的兩個峰值。以此方式,光譜340可為多色光譜,其中多色光譜包括至少兩個具有峰值間距346之不同峰值。雖然光在本文中通常論述為具有兩個中心波長,但此不應視為限制性的。舉例而言,具有任何數目個中心波長(四個、五個、十個等)的光可以類似方式實施成針對貫穿本發明所論述之雙色光所描述的光。類似地,可組合光之更複雜圖案或波形以實質上重建所要的主要光峰值。
圖3的底部部分說明對應於多色光譜340之光可來自光源,其中在不同時間遞送多種顏色的光。舉例而言,可在突發350時遞送兩個不同光波長,其中光之中心波長在突發與突發之間交替。在其他實施例中,兩個光波長可實質上同時遞送(例如,藉由多個雷射系統或多波長電漿發射,組合以形成雙色光圖案)。光之遞送可在微影系統之任何部分處。在一些實施例中,可將光遞送至諸如透鏡或光瞳之組件。此外,可將光遞送至諸如孔徑、遮罩、倍縮光罩、基板或其類似者之其他組件。光通過實例微影系統之光學路徑的一個實例在圖1中說明。
在一些實施例中,可在中心波長進一步變化之情況下遞送光(除恰好使光譜為「雙色」以外)。此具有「模糊」所遞送之光的效應,且亦可引起在僅略微降低聚焦深度的代價下增加曝光寬容度之有益效應。舉例而言,光譜之峰值的任何中心波長可變化(例如,增加或減少)大致1 fm、10 fm、50 fm、100 fm、200 fm、500 fm、1000 fm等。變化可設定至具體值或可經選擇以使得曝光寬容度之增加相對於聚焦深度的減小最大化。此外,在一些實施例中,變化可應用於每隔一次脈衝(亦即,交替),但亦可應用於每三次脈衝、每四次脈衝等。以此方式,光譜可以一系列脈衝提供,其中光譜中之至少一個峰值中的中心波長在每隔一次脈衝中進一步變化以移位大致500 fm。
圖4為說明根據一實施例的形成光圖案之例示性光瞳設計410的圖式。
在一實施例中,微影系統可包括一或多個光瞳。作為微影程序之一部分,光可在其穿過遮罩之前轉化成指定圖案(例如,具有強度及/或相位之特定空間分佈)。如本文中所使用,術語「光瞳設計」係指藉由光瞳之實體建構或組態產生的光之圖案。貫穿本發明,用表示光瞳設計之光的強度之影像來提及光瞳設計。光瞳設計410之一個實例在圖4的頂部部分中說明。此處,圓形區域表示藉由不同顏色所展示之變化強度的光。如本文中所說明之此類光瞳設計僅意欲作為實例且不應在任何方面視為限制性的。
在一實施例中,光瞳可為玻璃盤,在本文中稱為繞射光學元件(DOE) 420。DOE 420之材料結構可使得光偏轉且組合以形成特定光瞳設計。因為藉由DOE 420之結構設定光瞳設計,所以每一所要光瞳設計可能需要不同DOE 420。
在另一實施例中,光瞳可為鏡面陣列430,該鏡面陣列由許多小鏡面構成,該等小鏡面可個別地受控以產生光瞳設計。DOE 420及鏡面陣列430之實例在圖4的底部部分中說明。DOE 420在左側上展示為接收一束光且隨後發射所說明之光瞳設計410。右側上為實例鏡面陣列430,其中光入射於鏡面集上。藉由鏡面陣列430之特定組態,亦可形成光瞳設計410 (此處展示為等效於由DOE 420形成的光瞳設計)。
圖5為說明根據一實施例的例示性遮罩圖案之圖式。
在許多微影程序中,期望使用遮罩執行光之選擇性阻斷以影響光致抗蝕劑或基板上的特定圖案。如本文中所使用,「遮罩」係指實際實體遮罩自身。相比之下,如本文中所使用,「遮罩圖案」係指遮罩之特徵的形狀。此類特徵可包括例如不同光透射(例如,在連續透射遮罩中)之通道、狹槽、孔、脊線、變化區域或其類似者。理想遮罩圖案510在圖5的頂部部分中說明。此處,理想遮罩圖案510由完美水平及豎直線構成,且此類線在本文中稱為主特徵512。然而,在實際微影程序中,所遞送光的解析度之繞射效應及限制不准許此理想遮罩圖案510在基板處再生。為補償此等限制,可實施稱為光學鄰近校正(OPC)之程序。OPC向遮罩中添加小特徵(稱為輔助特徵520),該等小特徵在與入射於遮罩上的光之圖案組合時在基板處建立經改良的圖案(亦稱為空中影像)。在圖5的說明中,此等輔助特徵520添加至主特徵512且可視為自理想遮罩圖案510略微偏離。此外,在一些情況下,可添加全新特徵以進一步補償(或利用)繞射效應。在本文中稱為子解析度輔助特徵(SRAF) 522的此等特徵亦在圖5之底部部分中藉由在理想遮罩圖案510中不存在的較重的線說明。如本文中所使用,一般術語「輔助特徵」可指展示為對主特徵512之修改的輔助特徵520或可指SRAF 522。
圖6為說明根據一實施例的使用雙色光之例示性效應的圖式。
本發明尤其提供一種用於增加微影系統之聚焦深度之方法。方法可包括提供光譜、遮罩圖案及光瞳設計,該光譜、該遮罩圖案及該光瞳設計一起經組態以向微影系統提供聚焦深度。方法亦可包括使光譜及鏡面陣列中之一或多個鏡面的組態反覆地變化以提供經修改之光譜及增加聚焦深度的經修改之光瞳設計。鏡面陣列中之鏡面中之一或多者可隨後基於經修改之光瞳設計及增加聚焦深度的經修改之遮罩圖案來組態。如本文中所使用,「聚焦深度」意謂將所要部位處(例如,基板處、光致抗蝕劑處等)的光視為「聚焦」之距離。對應於光是否聚焦之特定數字可由使用者自動定義,且可如給定應用所請求變化,且此可稱為「規格」。
在圖6中,針對單色光譜610 (圓圈符號)及雙色光譜620 (三角形符號)展示曝光寬容度相對於聚焦深度之曲線。此處,藉由將光譜自單色改變成雙色(例如,在根據如本文中所描述之模型中之一或多者執行的模擬中,該等模型諸如OPC、抗蝕劑、源等),產生增加之聚焦深度以及曝光寬容度的改變。
經修改之光譜(或任何「經修改之」特徵)不需要為最終或最佳化特徵,但其可為最終或最佳化特徵。舉例而言,經修改之光譜可為中間步驟,其中初始光譜已經修改但可能並非在最終解決方案。然而,如本文中所描述,經修改之特徵可為所涉及的特定態樣之最佳化或最佳解決方案(例如,經修改之光譜、經修改之遮罩圖案或經修改之光瞳設計)。參考圖13進一步論述此情況。
在本發明之一些實施例中,併發變化可由在本文中統稱為最佳化模組之經電腦實施程序來實施。最佳化模組可共同最佳化且分析微影系統之任何數目個態樣,例如光譜、遮罩圖案、光瞳設計、主特徵、SRAF等。最佳化模組可包括分佈在任何數目個計算系統上之任何數目個電腦程式。亦可包括預測性模型化及機器學習技術(例如,作為最佳化模組之部分之經過訓練的模型)。最佳化模組可以圖形顯示器、資料檔案及其類似者的形式提供經改良之解決方案。舉例而言,此等解決方案可包括遮罩圖案、光致抗蝕劑參數、光源設定、光瞳組態等。
在一些實施例中,最佳化模組可修改及/或最佳化光譜,例如以增加或最大化聚焦深度。因此,在一實施例中,反覆變化可包括使光譜340中之峰值的頻寬變化。類似地,在另一實施例中,反覆變化可進一步包括使光譜340中之兩個(或更多個)峰值之間的峰值間距346變化。
歸因於微影系統之一些組件之間的相互依賴,且在考量用最佳化模組進行共同最佳化時,改變微影系統之一個態樣可影響另一態樣。舉例而言,在增加聚焦深度時,改變光譜340可使得光瞳設計410改變,以使得例如,對比度損耗可減小。如本文中所使用,展示光譜、光瞳設計及遮罩圖案之說明可指同等地初始或經修改之版本,且為簡單起見,在本文中皆用相似附圖標記來提及。經修改之光瞳設計410可實現為含有鏡面陣列之程式化指令或操作序列之資料檔案。舉例而言,經修改之光瞳設計可指定鏡面陣列430中之鏡面的角度或定向,以使得建立所要的經修改之光瞳設計410。
圖7為說明根據一實施例的基於光譜之子解析度輔助特徵之例示性分離的圖式。
遮罩圖案710之一部分的簡化實例在圖7之上圖中展示。此處,遮罩圖案710展示主特徵720、臨界尺寸730、遮罩偏置740及藉由SRAF間距760與主特徵之中心分離之兩個SRAF 750。
類似於上文所描述的實施例,其中光譜之改變可使得光瞳設計改變,方法可包括提供光譜、遮罩圖案710及光瞳設計,該光譜、該遮罩圖案及該光瞳設計一起經組態以向微影系統提供聚焦深度。方法亦可包括使光譜及遮罩圖案中之輔助特徵反覆地變化以提供經修改之光譜及增加聚焦深度的經修改之遮罩圖案。微影系統之組件可隨後基於經修改之光譜及增加聚焦深度的經修改之遮罩圖案710來組態。舉例而言,組件可包括微影系統之遮罩、光源、光瞳或其他組件的任何組合。
遮罩圖案710可與光譜同時反覆地變化,以提供經修改之光譜及經修改之遮罩圖案710。反覆變化亦可包括使遮罩圖案710中之主特徵720變化以增加聚焦深度。主特徵720可包括邊緣部位及/或遮罩偏置740,且反覆變化亦可使邊緣部位或遮罩偏置部位中之至少一者變化。在一些實施例中,兩個遮罩偏置部位可圍繞主特徵720之中心735對稱地變化。如在此類實施例中所使用,對稱地變化意謂在主特徵720之中心735的任一側上之遮罩偏置部位中進行對應變化,以使得遮罩偏置部位與主特徵720之中心735具有相同距離。
經修改之遮罩圖案710可包括對藉由在遮罩(類似於圖5中所說明的遮罩)上執行OPC所添加之特徵或對SRAF的改變。此外,如圖7中所說明,反覆變化可包括使遮罩圖案710中之子解析度輔助特徵變化以增加聚焦深度。在一些實施例中,反覆變化可包括藉由改變子解析度輔助特徵750之位置或寬度中之至少一者來使子解析度輔助特徵750變化。如圖7之下圖中所展示,在將單色光譜(圓圈) 770與雙色光譜(三角形) 780進行比較時,作為空中影像品質之量測值的歸一化影像對數斜率(NILS)藉由不同SRAF間距760最大化。在所給定之實例中,針對峰值NILS,間距760自125 nm (在單色光譜的情況下)改變成130 nm (在雙色光譜之情況下)。以此方式,最佳化模組可判定增加空中影像之品質的SRAF 750之間距760、部位等。
圖8為說明根據一實施例的同時最佳化光譜、遮罩圖案及光瞳設計之第一實例的圖式。
如本文中所描述的微影系統之態樣之組合的最佳化可產生對如圖8中所說明之微影系統的效能的益處。展示經模擬之單色光譜810 (具有任意小頻寬)以及經模擬之雙色光譜850。分別針對單色光譜810及雙色光譜850展示經修改之光瞳設計812及852之實例。針對單色光譜810,圖8說明經模擬之連續透射遮罩(CTM) 814、遮罩816 (例如存在對應於主特徵及輔助特徵之狹槽的遮罩之表示)及所得空中影像818。類似地,針對雙色光譜852,亦展示CTM 854、遮罩856及空中影像858。雖然在外觀上通常類似,但兩個解決方案之間存在差異(大多數藉由遮罩816及856中之SRAF間距之改變易於看見)。解決方案之結果展示於圖8之底部兩個圖中,其中最佳化增加程序窗(PW)。程序窗藉由曲線之間的區域說明且為在給定焦點處之所提供劑量的函數。對應於單色光譜之劑量-焦點曲線藉由三角形點820展示,且對應於雙色光譜之劑量-焦點曲線藉由圓圈點860展示。接觸其各別曲線之兩個橢圓822及862對應於理想PW。可看到,在右下圖中,程序窗在連同遮罩圖案及光瞳設計之最佳化一起實施雙色光譜時增加。類似地,在此實例中,雙色聚焦深度864 (藉由右下圖上之三角形展示)比單色聚焦深度824增加了約144 nm至320 nm,以及僅略微減小曝光寬容度。
度量值之任何分類或數目可藉由本文中所揭示之方法增加或最佳化。雖然在由於變化而增加之一些參數與減小的其他參數(例如,DOF相較於EF)之間可存在平衡點,但在一些實施例中,反覆變化可包括執行變化,至少直至聚焦深度及曝光寬容度之乘積增加為止。類似地,反覆變化可包括執行反覆變化,至少直至程序窗基於至少部分地由劑量及曝光寬容度定義的區域增加為止。
圖9為說明根據一實施例之同時最佳化光譜、遮罩圖案及光瞳設計的第三實例之圖式。
圖9中所說明之實施例可包括使光譜910、遮罩圖案914及光瞳設計912同時反覆地變化,以提供經修改之光譜950、經修改之遮罩圖案954及經修改之光瞳設計952。雖然類似於圖8,但圖9展示遮罩圖案914及經修改之遮罩圖案954,其中不僅沿所改變之主特徵具有小特徵,而且全新SRAF已作為經改良解決方案之一部分呈現(或消失)。顯著改變之此等區域藉由虛線指示。類似於圖8之實例,雙色光譜之聚焦深度在使用單色光譜時在僅適當減小曝光寬容度的情況下顯著增加。
圖10為根據一實施例的基於對光譜中之頻寬的改變說明對遮罩圖案及光瞳設計之改變的圖式。
除使雙色光譜之中心波長變化之外,亦可使光譜的一或多個峰值之頻寬變化為最佳化程序之一部分。作為簡化實例,圖10展示四種光瞳設計1010,其中使單色光譜之頻寬(例如,300 fm、900 fm、1300 fm、2000 fm)變化。可看到,最佳化模組可產生經修改之遮罩圖案1010及經修改之光瞳設計1020,以便試圖維持或增加空中影像處的對比度。因此,在一些實施例中,反覆變化可包括在光譜之變化使得光譜中之峰值的頻寬增加時約束該變化以增加空中影像處的對比度。雖然針對單色光譜展示,但可使用雙色光譜應用相似程序。
如自本發明顯而易見,存在可藉由使微影系統之態樣同時變化引起的許多可能最佳化。雖然未詳細地描述每一置換,但將所有此類置換視為在本發明之範疇內。舉例而言,可使光譜、頻寬、峰值間距、遮罩圖案、主特徵、輔助特徵、光瞳設計、程序模型(OPC、抗蝕劑等)以任何組合變化以改良微影系統。類似地,可執行變化以改良聚焦深度、曝光寬容度、劑量、焦點、對比度、NILS、程序窗等之任何組合。另外,可執行變化以減少邊緣置放誤差、遮罩誤差增強因數(MEEF)等之任何組合。
如本文中所描述,本發明之實施例可用於提供微影系統之組態的處方。因而,基於由最佳化程序提供之解決方案,光學系統的組件可經建構及/或經組態以實現所判定之益處。舉例而言,在一實施例中,組件可為經組態以基於經修改之光譜提供光的雷射。在一實施例中,組件可為基於經修改之遮罩圖案製造之遮罩。在一實施例中,組件可為基於經修改之光瞳設計製造的呈繞射光學元件之形式的光瞳。在另一實施例中,光瞳可為基於經修改之光瞳設計經組態之鏡面陣列。另一實施例可包括基於經修改之光瞳設計組態鏡面陣列且亦包括基於經修改之遮罩圖案製造遮罩。
圖11為說明根據一實施例的用於增加聚焦深度之例示性方法的程序流程圖。
在一實施例中,一種用於增加微影系統之聚焦深度之方法可包括在1110處提供光譜、遮罩圖案及光瞳設計,該光譜、該遮罩圖案及該光瞳設計一起經組態以向微影系統提供聚焦深度。方法可在1120處使光譜及遮罩圖案中之輔助特徵反覆地變化以提供經修改之光譜及增加聚焦深度的經修改之遮罩圖案。在1120處,微影系統之組件可基於經修改之光譜及增加聚焦深度的經修改之遮罩圖案。
圖12為說明根據一實施例的用於基於經修改之光譜及經修改之遮罩圖案增加聚焦深度之例示性方法的程序流程圖。
在一實施例中,一種用於增加微影系統之聚焦深度之方法可包括在1210處提供光譜、遮罩圖案及光瞳設計,該光譜、該遮罩圖案及該光瞳設計一起經組態以向微影系統提供聚焦深度。方法可在1220處使光譜及鏡面陣列中之一或多個鏡面的組態反覆地變化以提供經修改之光譜及增加聚焦深度的經修改之光瞳設計。在1220處,可基於經修改之光譜及增加聚焦深度的經修改之光瞳設計來組態鏡面陣列中之一或多個鏡面。
圖13為說明根據一實施例的用於增加聚焦深度之例示性反覆方法的程序流程圖。圖14為說明根據一實施例的對應於圖13中所展示之程序的光瞳設計及遮罩圖案之實例的圖式。
執行涉及使光譜、光瞳設計或遮罩圖案中之兩者或更多者之特徵變化之共同最佳化(或併發最佳化程序)可反覆地執行以產生例如將產生增加之聚焦深度的經修改之光譜、經修改之光瞳設計或經修改之遮罩圖案。舉例而言,在不滿足所要度量值(例如,在5% EL處150 nm DOF)時,可使光譜中的峰值中之兩者或更多者之間的間距變化以判定實現所要度量值之間距。另外,可應用約束以使得光譜、光瞳設計及遮罩圖案滿足某些程序要求,諸如具有特定透射率之遮罩或具有特定實體屬性的光瞳。在下文描述包括此類約束之實例的光譜、光瞳設計及遮罩圖案之共同最佳化之一個實例實施。
在1310處,可獲得/設定指定微影系統之態樣的設定參數以用於如本文中所描述之計算模擬(例如,以執行共同最佳化程序)。設定參數可包括成像條件之任何組合,包括來自光源之光的偏振、上方塗佈光致抗蝕劑之膜堆疊的組態、遮罩規則檢查(MRC)參數、光致抗蝕劑、光致抗蝕劑厚度、上方塗佈光致抗蝕劑之膜堆疊、掃描器的能力(例如,數值孔徑、偏振、任尼克(Zernike)係數)等。此等參數可自另一電腦接收且呈資料檔案的形式,且亦可包括含有以上中之任一者的既定值之預設設定參數。視情況,設定參數可由使用者定義且儲存為資料檔案或儲存在暫時性電腦記憶體中。
在1320處,可產生光譜(例如,如由圖3中的元件310或340所展示)。最初,光譜可包括單一波長(意謂具有單一中心波長/峰值)。在其他實施中,如本文中所描述,可產生多波長光譜(例如,兩個、三個或更多個中心波長/峰值)。在一些實施例中,光譜(單一或多個)中之任一者的頻寬最初可設定為例如200 fm、300 fm、400 fm等,且隨後貫穿反覆程序而變化。
在1330處,可產生基於點源模型之程序窗。此可將光源模型化為點源,但在一些實施中,可包括更複雜的源模型,諸如有限大小的源近似。程序窗條件可經定義,例如最佳化以達成在5%曝光寬容度下具有150 nm聚焦深度之程序窗,或接近此目標程序窗直至基於模擬之其他約束達成最佳收斂為止。此類數字僅意欲作為實例,例如程序窗可基於具有大於1、5、10、20、50、75、150、200、300、500或1000 nm之聚焦深度的程序窗之任何組合。類似地,曝光寬容度可經定義為小於1%、3%、8%、10%、15%、20%、30%或50%。
在1340處,可產生不受限的光瞳設計1440 (如圖14中所展示之此光瞳設計的圖形實例)以用於併入至反覆程序中。不受限的光瞳設計1440在光瞳之任何像素下允許任何強度的光。因為不受限的光瞳可具有任何值且(在反覆中之此階段時)尚未應用遮罩約束,所以可產生具有連續(或平滑地變化)透射率屬性(類似於連續透射遮罩(CTM)中所發現的連續透射率屬性)之遮罩圖案。實例藉由灰階CTM圖案1445展示。
在1350處,光瞳映射可應用於不受限的光瞳設計1440。光瞳映射可定義光瞳之當前不受限的特徵(參見下文實例)。光瞳映射之兩個實例為自由光瞳映射1450或參數光瞳映射1455,該等光瞳映射的應用可產生受限光瞳設計。
自由最佳化可包括應用自由光瞳映射1450以例如指定光瞳解析度(例如,如藉由繞射光學元件之解析度所設定,該繞射光學元件可由數百或數千個鏡面構成,每一鏡面符合光瞳映射中之像素)。此藉由將粗略不受限的光瞳設計1440之實例與自由光瞳映射1450進行比較來說明。此處,吾等看到,自由最佳化不改變光瞳處之一般光圖案但增加解析度。
參數最佳化可包括約束如藉由參數光瞳映射1455所說明之光瞳的特徵。可指定為約束之特徵的一個實例為均方偏差或光瞳填充因數之值。展示具有依據例如極點強度(亦即,區域中之均方偏差的值)、極點角度(亦即,區域之中心處的角度)、「極點寬度(亦即,區域之角度範圍)、sigma_in (亦即,內半徑)及sigma_out (亦即,外半徑)表達之均方偏差的參數光瞳映射1455之各種區域(亦稱為極點1457)。應理解,圖14中所展示之實例僅為實例,且可使用任何光瞳圖案(不論自由光瞳圖案或參數光瞳圖案)。在其他實施例中,對光瞳之約束亦可基於繞射光學元件之實體特徵且可包括例如鏡面反射率、解析度、鏡面位置等。
遮罩及/或實體光瞳約束亦可與自由或參數最佳化結合地產生及應用。遮罩約束可用於產生經修改之遮罩圖案,如本文中所描述。舉例而言,遮罩約束可包括遮罩透射率、對遮罩之相位效應、SRAF散佈之部位、OPC特徵等。
在1360處(在1350處定義自由源時),在應用之遮罩約束下的受限光瞳設計之併發修改(或最佳化)可產生經修改之光瞳設計及經修改之遮罩圖案。圖14亦展示所得共同最佳化光瞳1460及遮罩圖案1465之一個實例。在此階段時,遮罩圖案可視情況二進位化(在共同最佳化之前在遮罩圖案而非初始CTM圖案上具有離散透射率值)。
類似地,在1370處(在1350處定義參數源映射時),在應用之遮罩約束下的受限光瞳設計之併發修改(或最佳化)可發生以產生經修改之光瞳設計及經修改之遮罩圖案。展示所得經修改之光瞳及經修改之遮罩圖案的一個實例。可看到,所得光瞳(1460及1470)及遮罩圖案(1465及1475)因所選擇的共同最佳化模式之差異而不同。
在1380處,可基於經修改之遮罩圖案及光瞳設計來計算程序窗及/或視情況選用之MEEF。如上文關於實例所要度量值(例如,程序窗)所提及,若程序窗不滿足最初在1320處經定義之程序窗條件,則可例如藉由改變頻寬、峰值間距、峰數目或其類似者來修改光譜。經修改之光譜可輸入為程序的設定參數來重複,以使得達成與所要程序窗較接近的一致性。同樣,可視情況改變其他設定參數中之任一者。以此方式,在1380之後,反覆程序可恢復至上文所描述的任何先前步驟,例如1310或1320。
在滿足程序窗時,可將經修改之光譜、遮罩圖案及/或光瞳設計的結果提供為輸出至一或多個計算系統之資料。在一些實施中,程序可在預定義數目之反覆之後在朝向指定程序窗的最佳收斂處終止。
圖15為根據一實施例之實例電腦系統CS的方塊圖。
電腦系統CS包括用於傳達資訊之匯流排BS或其他通信機制及與匯流排BS耦接以供處理資訊之處理器PRO (或多個處理器)。電腦系統CS亦包括耦接至匯流排BS以用於儲存待由處理器PRO執行之資訊及指令的主記憶體MM,諸如隨機存取記憶體(RAM)或其他動態儲存裝置。主記憶體MM亦可用於在待由處理器PRO執行之指令的執行期間儲存暫時性變數或其他中間資訊。電腦系統CS進一步包括耦接至匯流排BS以用於儲存用於處理器PRO之靜態資訊及指令的唯讀記憶體(ROM) ROM或其他靜態儲存裝置。提供諸如磁碟或光碟之儲存裝置SD,且將其耦接至匯流排BS以用於儲存資訊及指令。
電腦系統CS可經由匯流排BS耦接至用於向電腦使用者顯示資訊之顯示器DS,諸如陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括數字按鍵及其他按鍵之輸入裝置ID耦接至匯流排BS以用於將資訊及命令選擇傳達至處理器PRO。另一類型之使用者輸入裝置為用於將方向資訊及命令選擇傳達至處理器PRO且用於控制顯示器DS上之游標移動的游標控制件CC,諸如滑鼠、軌跡球或游標方向按鍵。此輸入裝置通常具有在兩個軸線(第一軸(例如,x)及第二軸(例如,y))上之兩個自由度,從而允許該裝置指定平面中之位置。觸控面板(螢幕)顯示器亦可用作輸入裝置。
根據一個實施例,本文中所描述之一或多種方法的部分可藉由電腦系統CS回應於處理器PRO執行主記憶體MM中所含有之一或多個指令的一或多個序列來執行。可將此類指令自另一電腦可讀媒體(諸如儲存裝置SD)讀取至主記憶體MM中。主記憶體MM中所含有之指令序列的執行使處理器PRO執行本文中所描述之程序步驟。呈多處理配置之一或多個處理器亦可用於執行主記憶體MM中所含有之指令序列。在一替代實施例中,可代替或結合軟體指令來使用硬連線電路。因此,本文中之描述不限於硬體電路與軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器PRO以供執行之任何媒體。此媒體可採取許多形式,包括但不限於:非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存裝置SD。揮發性媒體包括動態記憶體,諸如主記憶體MM。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排BS之電線。傳輸媒體亦可採取聲波或光波之形式,諸如在射頻(RF)及紅外線(IR)資料通信期間所產生之聲波或光波。電腦可讀媒體可為非暫時性的,例如軟碟、可撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案的任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣。非暫時性電腦可讀媒體可具有記錄於其上之指令。在由電腦執行時,指令可實施本文中所描述的特徵中之任一者。暫時性電腦可讀媒體可包括載波或其他傳播電磁信號。
各種形式之電腦可讀媒體可涉及將一或多個指令之一或多個序列攜載至處理器PRO以供執行。舉例而言,最初可將指令承載於遠端電腦之磁碟上。遠端電腦可將指令加載至其動態記憶體中,且使用數據機經由電話線來發送指令。在電腦系統CS本端之數據機可接收電話線上之資料,且使用紅外線傳輸器將資料轉換為紅外線信號。耦接至匯流排BS之紅外線偵測器可接收紅外線信號中攜載之資料且將該資料置放於匯流排BS上。匯流排BS將資料攜載至主記憶體MM,處理器PRO自該主記憶體擷取並執行指令。由主記憶體MM接收之指令可視情況在由處理器PRO執行之前或之後儲存於儲存裝置SD上。
電腦系統CS亦可包括耦接至匯流排BS之通信介面CI。通信介面CI提供與網路鏈路NDL之雙向資料通信耦合,該網路鏈路連接至區域網路LAN。舉例而言,通信介面CI可為整合服務數位網路(ISDN)卡或數據機以提供與對應類型之電話線的資料通信連接。作為另一實例,通信介面CI可為提供與相容LAN的資料通信連接之區域網路(LAN)卡。亦可實施無線鏈路。在任何此實施中,通信介面CI發送且接收攜載表示各種類型之資訊之數位資料串流的電信號、電磁信號或光學信號。
網路鏈路NDL通常經由一或多個網路提供與其他資料裝置之資料通信。舉例而言,網路鏈路NDL可經由區域網路LAN提供與主電腦HC之連接。此可包括經由全球封包資料通信網路(現在通常稱為「網際網路」INT)來提供資料通信服務。區域網路LAN (網際網路)皆使用攜載數位資料串流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路資料鏈路NDL上且經由通信介面CI之信號為輸送資訊的例示性載波形式,該等信號將數位資料攜載至電腦系統CS且自該電腦系統攜載數位資料。
電腦系統CS可經由網路、網路資料鏈路NDL及通信介面CI發送訊息及接收資料(包括程式碼(program code))。在網際網路實例中,主電腦HC可經由網際網路INT、網路資料鏈路NDL、區域網路LAN及通信介面CI傳輸用於應用程式之經請求程式碼(code)。舉例而言,一個此經下載應用程式可提供本文中所描述之方法的全部或部分。所接收程式碼可在接收其時由處理器PRO執行,且/或儲存於儲存裝置SD或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統CS可獲得呈載波形式之應用程式碼。
圖16為根據一實施例之微影投影設備的示意圖。
微影投影設備可包括照明系統IL、第一物件台MT、第二物件台WT及投影系統PS。
照明系統IL可調節輻射光束B。在此特定情況下,照明系統亦包含輻射源SO。
第一物件台(例如,圖案化裝置台) MT可具有用以固持圖案化裝置MA (例如,倍縮光罩)之圖案化裝置固持器,且連接至用以相對於物件PS來精確地定位圖案化裝置之第一定位器。
第二物件台(基板台) WT可具有用以固持基板W (例如,抗蝕劑塗佈矽晶圓)之基板固持器,且連接至用以相對於物件PS來精確地定位基板之第二定位器。
投影系統(「透鏡」) PS (例如,折射、反射或反射折射光學系統)可使圖案化裝置MA之經輻照部分成像至基板W之目標部分C (例如,包含一或多個晶粒)上。
如本文中所描繪,設備可屬於透射型(亦即,具有透射圖案化裝置)。然而,大體而言,裝置亦可屬於例如反射型(具有反射圖案化裝置)。設備可採用與經典遮罩不同種類之圖案化裝置;實例包括可程式規劃鏡面陣列或LCD矩陣。
源SO (例如,水銀燈或準分子雷射、LPP (雷射產生電漿) EUV源)產生輻射光束。舉例而言,此光束直接地抑或在已橫穿諸如光束擴展器Ex之調節設備之後饋送至照明系統(照明器) IL中。照明器IL可包含調整裝置AD,以用於設定光束中之強度分佈之外部徑向範圍及/或內部徑向範圍(通常分別稱為σ外部及σ內部)。另外,該照明器通常將包含各種其他組件,諸如積光器IN及聚光器CO。以此方式,照射於圖案化裝置MA上之光束B在其橫截面中具有所要均一性及強度分佈。
在一些實施例中,源SO可在微影投影設備之外殼內(如常常係在源SO為例如水銀燈時的情況),但其亦可遠離微影投影設備,源SO產生之輻射光束經引導至設備中(例如憑藉適合導向鏡面);此後一情形可為在源SO為準分子雷射(例如,基於KrF、ArF或F2發出雷射)時的情況。
光束PB可隨後截取固持於圖案化裝置台MT上之圖案化裝置MA。在已橫穿圖案化裝置MA的情況下,光束B可穿過透鏡PL,該透鏡將光束B聚焦至基板W之目標部分C上。憑藉第二定位設備(及干涉式量測設備IF),可精確地移動基板台WT,例如以便將不同目標部分C定位於光束PB之路徑中。類似地,第一定位設備可用於例如在自圖案化裝置庫中機械擷取圖案化裝置MA之後或在掃描期間相對於光束B之路徑精確地定位圖案化裝置MA。大體而言,可憑藉長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在步進器(與步進掃描工具相反)之情況下,圖案化裝置台MT可僅連接至短衝程致動器,或可經固定。
可在兩種不同模式(步進模式及掃描模式)下使用所描繪工具。在步進模式下,將圖案化裝置台MT保持基本上靜止,且將整個圖案化裝置影像一次性投影((亦即,單次「閃光」)至目標部分C上。可在x及/或y方向上使基板台WT移位,以使得不同目標部分C可由光束PB輻照。
在掃描模式下,除了單次「閃光」中不曝光給定目標部分C之外,基本上相同情形適用。取而代之,圖案化裝置台MT可在給定方向(所謂的「掃描方向」,例如,y方向)上以速度v移動,以使得使投影光束B在圖案化裝置影像上進行掃描;同時,基板台WT以速度V = Mv在相同或相對方向上同時地移動,其中M為透鏡PL之放大率(通常,M = ¼或1/5)。以此方式,可在不必損害解析度的情況下曝光相對大目標部分C。
圖17為根據一實施例之另一微影投影設備(LPA)的示意圖。
LPA可包括源收集器模組SO、經組態以調節輻射光束B (例如EUV輻射)之照明系統(照明器) IL、支撐結構MT、基板台WT及投影系統PS。
支撐結構(例如,圖案化裝置台) MT可經建構以支撐圖案化裝置(例如,遮罩或倍縮光罩) MA且連接至經組態以精確地定位圖案化裝置之第一定位器PM。
基板台(例如,晶圓台) WT可經建構以固持基板(例如,抗蝕劑塗佈晶圓) W,且連接至經組態以精確地定位基板之第二定位器PW。
投影系統(例如,反射性投影系統) PS可經組態以將藉由圖案化裝置MA賦予至輻射光束B之圖案投影至基板W的目標部分C (例如,包含一或多個晶粒)上。
如此處所描繪,LPA可屬於反射型(例如,採用反射圖案化裝置)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以圖案化裝置可具有包含例如鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可藉由X射線微影來產生甚至更小的波長。因為大多數材料在EUV及x射線波長下具吸收性,所以圖案化裝置構形上的圖案化吸收材料之薄件(例如,在多層反射器的頂部上之TaN吸收體)定義特徵將列印(正性抗蝕劑)或不列印(負性抗蝕劑)在何處。
照明器IL可自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但不必限於藉由EUV範圍中之一或多個發射譜線將材料轉換成具有至少一個元素之電漿狀態,元素例如氙、鋰或錫。在一種此方法(通常稱為雷射產生電漿(「LPP」))中,可藉由用雷射光束來輻照燃料(諸如,具有譜線發射元素之材料小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射之EUV輻射系統之部分,該雷射用於提供激發燃料的雷射光束。所得電漿發射輸出輻射,例如EUV輻射,該輻射使用安置於源收集器模組中之輻射收集器來收集。舉例而言,在使用CO2雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為分離實體。
在此等情況下,可不認為雷射形成微影設備之部分,且輻射光束可憑藉包含例如適合導向鏡面及/或光束擴展器之光束傳遞系統而自雷射傳遞至源收集器模組。在其他情況下,例如在源為放電產生電漿EUV產生器(通常稱為DPP源)時,源可為源收集器模組之整體部分。
照明器IL可包含用於調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別稱為σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡面裝置及琢面化光瞳鏡面裝置。照明器可用於調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B可入射於固持於支撐結構(例如,圖案化裝置台) MT上之圖案化裝置(例如,遮罩) MA上,且由該圖案化裝置來圖案化。在自圖案化裝置(例如,遮罩) MA反射之後,輻射光束B穿過投影系統PS,該投影系統將光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2 (例如干涉式裝置、線性編碼器或電容式感測器),可精確地移動基板台WT例如以便使不同目標部分C定位於輻射光束B之路徑中。類似地,第一定位器PM及另一位置感測器PS1可用於相對於輻射光束B之路徑來精確地定位圖案化裝置(例如,遮罩) MA。可使用圖案化裝置對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置(例如,遮罩) MA及基板W。
所描繪裝置LPA可用於以下模式中之至少一者:步進模式、掃描模式及靜止模式。
在步進模式下,在將賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如,圖案化裝置台) MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。隨後,使基板台WT在X及/或Y方向上移位,以使得可曝光不同目標部分C。
在掃描模式下,在將賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如,圖案化裝置台) MT及基板台WT (亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,圖案化裝置台) MT之速度及方向。
在靜止模式下,在將賦予至輻射光束之圖案投射至目標部分C上時,使固持可程式化圖案化裝置之支撐結構(例如,圖案化裝置台) MT保持基本上靜止,且移動或掃描基板台WT。在此模式下,通常採用脈衝式輻射源,且在基板台WT之每一移動之後或在掃描期間的順次輻射脈衝之間視需要更新可程式化圖案化裝置。此操作模式可易於應用於利用可程式化圖案化裝置(諸如,可程式規劃鏡面陣列)之無遮罩微影。
圖18為根據一實施例之微影投影設備的詳細視圖。
如所展示,LPA可包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置成使得可在源收集器模組SO之圍封結構ES中維持真空環境。可藉由放電產生電漿源來形成EUV輻射發射熱電漿HP。可藉由氣體或蒸汽(例如,Xe氣體、Li蒸汽或Sn蒸汽)來產生EUV輻射,其中建立熱電漿HP以發射在電磁波譜之EUV範圍內之輻射。舉例而言,藉由產生至少部分離子化電漿之放電來建立熱電漿HP。為了輻射之高效產生,可能需要分壓為例如10 Pa之Xe、Li、Sn蒸汽或任何其他適合氣體或蒸汽。在一實施例中,提供受激發錫(Sn)電漿以產生EUV輻射。
由熱電漿HP發射之輻射經由定位於源腔室SC中之開口中或後方之視情況選用的氣體障壁或污染物截留器CT (在一些情況下,亦稱為污染物障壁或箔片截留器)而自源腔室SC傳遞至收集器腔室CC中。污染物截留器CT可包括通道結構。污染物截留器CT亦可包括氣體障壁或氣體障壁與通道結構之組合。如此項技術中已知,本文中進一步指示之污染物截留器或污染物障壁CT至少包括通道結構。
收集器腔室CC可包括可為所謂的掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側US及下游輻射收集器側DS。橫穿輻射收集器CO之輻射可自光柵光譜濾光器SF反射以沿由點虛線「O」指示之光軸聚焦於虛擬源點IF中。虛擬源點IF可稱為中間焦點,且源收集器模組經配置成使得中間焦點IF位於圍封結構ES中之開口OP處或附近。虛擬源點IF為輻射發射電漿HP之影像。
隨後,輻射橫穿照明系統IL,該照明系統可包括琢面化場鏡面裝置FM及琢面化光瞳鏡面裝置pm,該琢面化場鏡面裝置及琢面化光瞳鏡面裝置經配置以提供在圖案化裝置MA處的輻射光束B之所要角度分佈以及在圖案化裝置MA處的輻射振幅之所要均一性。在由支撐結構MT固持之圖案化裝置MA處反射輻射光束B後,隨即形成經圖案化光束PB,且經圖案化光束PB藉由投影系統PS經由反射元件RE成像至由基板台WT固持之基板W上。
比所展示元件多的元件通常可存在於照明光學器件單元IL及投影系統PS中。取決於微影設備之類型,光柵光譜濾光器SF可視情況存在。另外,可存在比圖式中所展示之鏡面更多的鏡面,例如,可存在1至6個存在於投影系統PS中之額外反射元件。
收集器光學器件CO可為具有掠入射反射器GR之巢套式收集器,僅作為收集器(或收集器鏡面)之實例。掠入射反射器GR經安置為繞光軸O軸向對稱,且此類型之收集器光學器件CO可與通常稱為DPP源之放電產生電漿源組合使用。
圖19為根據一實施例之微影投影設備LPA之源收集器模組SO的詳細視圖。
源收集器模組SO可為LPA輻射系統之部分。雷射LA可經配置以將雷射能量存放至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而建立具有數10 eV之電子溫度的高度離子化電漿HP。在此等離子之去激發及再結合期間所產生之高能輻射自電漿發射,由近正入射收集器光學器件CO收集,且聚焦至圍封結構ES中之開口OP上。
可使用以下條項來進一步描述本發明: 1. 一種用於增加微影系統之聚焦深度之方法,該方法包含: 提供光譜、遮罩圖案及光瞳設計,該光譜、該遮罩圖案及該光瞳設計一起經組態以向該微影系統提供聚焦深度; 使該光譜及該遮罩圖案中之輔助特徵反覆地變化以提供經修改之光譜及增加該聚焦深度的經修改之遮罩圖案;且 基於該經修改之光譜及增加該聚焦深度的該經修改之遮罩圖案組態該微影系統之組件。 2. 如條項1之方法,該反覆變化進一步包含使該光譜、該遮罩圖案及該光瞳設計同時反覆地變化以提供該經修改之光譜、經修改之遮罩圖案及經修改之光瞳設計。 3. 如條項1之方法,其中該光譜將以一系列脈衝提供,其中該光譜中之至少一個峰值中的中心波長在每隔一次脈衝中進一步變化以移位大致500 fm。 4. 如條項1之方法,其中該光譜包含多色光譜。 5. 如條項4之方法,其中該多色光譜包括至少兩個不同峰值,該等峰值具有峰值間距。 6. 如條項4之方法,其進一步包含藉由光源遞送對應於該多色光譜之光,其中光的該多個顏色在不同時間遞送。 7. 如條項1之方法,該反覆變化進一步包含使該光譜中之峰值的頻寬反覆地變化。 8. 如條項1之方法,該反覆變化進一步包含使該光譜中的兩個峰值之間的峰值間距反覆地變化。 9. 如條項1之方法,該反覆變化進一步包含使該遮罩圖案中之主特徵變化以增加該聚焦深度。 10.      如條項9之方法,其中該主特徵包括邊緣部位及遮罩偏置部位,且該反覆變化進一步包含使該邊緣部位或該遮罩偏置部位中之至少一者變化。 11.      如條項9之方法,其中兩個遮罩偏置部位可圍繞該主特徵的中心對稱地變化。 12.      如條項1之方法,該反覆變化進一步包含使該遮罩圖案中之子解析度輔助特徵變化以增加該聚焦深度。 13.      如條項12之方法,該反覆變化進一步包含藉由改變該子解析度輔助特徵的位置或寬度中之至少一者來使該子解析度輔助特徵變化。 14.      如條項1之方法,該反覆變化進一步包含執行該反覆變化,至少直至程序窗基於至少部分地由劑量及曝光寬容度定義的區域增加為止。 15.      如條項1之方法,該反覆變化進一步包含執行該變化,至少直至該聚焦深度及曝光寬容度之乘積增加為止。 16.      如條項1之方法,該反覆變化進一步包含在該光譜之該變化使得該光譜中之峰值的頻寬增加時約束該變化以增加該空中影像處的對比度。 17.      如條項1之方法,其中該組件為雷射,且該雷射經組態以基於該經修改之光譜提供光。 18.      如條項1之方法,其中該組件為遮罩,且該方法進一步包括基於該經修改之遮罩圖案製造該遮罩。 19.      如條項1之方法,其中該組件為包含繞射光學元件之光瞳,且該方法進一步包括基於該經修改之光瞳設計製造該光瞳。 20.      如條項1之方法,其中該組件為包含鏡面陣列之光瞳,且該方法進一步包括基於該經修改之光瞳設計組態該光瞳。 21.      如條項2之方法,其進一步包含: 基於該經修改之光瞳設計組態包含鏡面陣列的光瞳;且 基於該經修改之遮罩圖案製造遮罩。 22.      一種用於增加微影系統之聚焦深度之方法,該方法包含: 提供光譜、遮罩圖案及光瞳設計,該光譜、該遮罩圖案及該光瞳設計一起經組態以向該微影系統提供聚焦深度; 使該光譜及鏡面陣列中之一或多個鏡面的組態反覆地變化以提供經修改之光譜及增加該聚焦深度的經修改之光瞳設計;且 基於該經修改之光譜及增加該聚焦深度的該經修改之光瞳設計組態該鏡面陣列的該一或多個鏡面。 23.      如條項22之方法,其中該光譜包含多色光譜。 24.      如條項23之方法,其中該多色光譜包括至少兩個不同峰值,該等峰值具有峰值間距。 25.      如條項23之方法,其進一步包含藉由光源遞送對應於該多色光譜之光,其中光的該多個顏色在不同時間遞送。 26.      如條項22之方法,該反覆變化進一步包含使該光譜中之峰值的頻寬反覆地變化。 27.      如條項22之方法,該反覆變化進一步包含使該光譜中的兩個峰值之間的峰值間距反覆地變化。 28.      如條項22之方法,該反覆變化進一步包含執行該反覆變化,至少直至程序窗基於至少部分地由劑量及曝光寬容度定義的區域增加為止。 29.      如條項22之方法,該反覆變化進一步包含執行該變化,至少直至該聚焦深度及曝光寬容度之乘積增加為止。 30.      如條項22之方法,該反覆變化進一步包含在該光譜之該變化使得該光譜中之峰值的頻寬增加時約束該變化以增加該空中影像處的對比度。 31.      如條項22之方法,其進一步包含: 藉由反覆程序產生將引起該增加之聚焦深度的該光譜,該反覆程序包含: 至少使該光譜中之至少兩個峰值之間的間距反覆地變化; 獲得指定該微影系統之態樣的複數個設定參數; 產生點源模型,該點源模型產生該光譜,該產生包含指定程序窗; 產生不受限的光瞳設計及遮罩圖案; 將自由光瞳映射或參數光瞳映射施加至該不受限的光瞳設計以定義該不受限之光瞳設計之特徵且產生受限光瞳設計; 施加指定遮罩透射率之遮罩約束、遮罩相位及子解析度輔助特徵晶種的部位中之至少一者以產生經修改之遮罩圖案;且 藉由該施加之遮罩約束同時修改該受限光瞳設計以產生該經修改之光瞳設計及該經修改之遮罩圖案。 32.      一種電腦程式產品,其包含其上記錄有指令之非暫時性電腦可讀媒體,該等指令在由電腦執行時實施如以上條項中任一項之方法。
本文中所揭示之概念可模擬或數學上模型化用於使子波長特徵成像之任何通用成像系統,且可尤其適用於能夠產生愈來愈短波長之新興成像技術。已經在使用中之新興技術包括能夠藉由使用ArF雷射來產生193 nm波長且甚至能夠藉由使用氟雷射來產生157 nm波長之EUV (極紫外線)、DUV微影。此外,EUV微影能夠藉由使用同步加速器或藉由用高能電子撞擊材料(固體或電漿)來產生在20-50 nm之範圍內的波長,以便產生在此範圍內之光子。
雖然本文中所揭示之概念可用於在諸如矽晶圓之基板上的成像,但應理解,所揭示之概念可與任何類型之微影成像系統一起使用,例如,用於在不同於矽晶圓的基板上之成像的微影成像系統。
上文描述意欲為說明性,而非限制性的。因此,熟習此項技術者將顯而易見,可在不脫離下文所陳述之申請專利範圍之範疇的情況下如所描述進行修改。
10A:微影投影設備 12A:輻射源 14A:光學器件 16Aa:光學器件 16Ab:光學器件 16Ac:透射光學器件 18A:圖案化裝置/遮罩 20A:光瞳 22A:基板平面 31:源模型 32:投影光學器件模型 33:設計佈局 35:設計佈局模型 36:空中影像 37:抗蝕劑模型 38:抗蝕劑影像 310:單一波長光譜 320:振幅 330:頻寬 340:多波長光譜 342:第一中心波長 344:第二中心波長 346:峰值間距 350:突發 410:例示性光瞳設計 420:繞射光學元件(DOE) 430:鏡面陣列 510:理想遮罩圖案 512:主特徵 520:輔助特徵 522:子解析度輔助特徵 610:單色光譜 620:雙色光譜 710:遮罩圖案 720:主特徵 730:臨界尺寸 735:中心 740:遮罩偏置 750:SRAF 760:SRAF間距 770:單色光譜 780:雙色光譜 810:單色光譜 812:經修改之光瞳設計 814:連續透射遮罩(CTM) 816:遮罩 818:空中影像 820:三角形點 822:橢圓 824:單色聚焦深度 850:雙色光譜 852:經修改之光瞳設計 854:CTM 856:遮罩 858:空中影像 860:圓圈點 862:橢圓 864:雙色聚焦深度 910:光譜 912:光瞳設計 914:遮罩圖案 950:經修改之光譜 952:經修改之光瞳設計 954:經修改之遮罩圖案 1010:光瞳設計/經修改之遮罩圖案 1020:經修改之光瞳設計 1110:步驟 1120:步驟 1210:步驟 1220:步驟 1310:步驟 1320:步驟 1330:步驟 1340:步驟 1350:步驟 1360:步驟 1370:步驟 1380:步驟 1440:光瞳設計 1445:灰階CTM圖案 1450:光瞳映射 1455:參數光瞳映射 1457:極點 1460:共同最佳化光瞳 1465:共同最佳化遮罩圖案 1470:光瞳 1475:遮罩圖案 AD:調整裝置 B:輻射光束 BS:匯流排 C:目標部分 CC:游標控制件 CI:通信介面 CO:聚光器 CS:電腦系統 CT:污染物截留器 DS:顯示器 ES:圍封結構 Ex:光束擴展器 FM:琢面化場鏡面裝置 GR:掠入射反射器 HC:主電腦 HP:EUV輻射發射熱電漿 ID:輸入裝置 IF:干涉式量測設備 IL:照明系統 IN:積光器 INT:網際網路 LA:雷射 LAN:區域網路 LPA:裝置 M1:圖案化裝置對準標記 M2:圖案化裝置對準標記 MA:圖案化裝置 MM:主記憶體 MT:第一物件台 NDL:網路鏈路 O:光軸 OP:開口 P1:基板對準標記 P2:基板對準標記 PB:光束 PL:透鏡 PM:第一定位器 PRO:處理器 PS:投影系統 PS1:位置感測器 PS2:位置感測器 PW:第二定位器 pm:琢面化光瞳鏡面裝置 RE:反射元件 ROM:唯讀記憶體 SC:源腔室 SD:儲存裝置 SF:光柵光譜濾光器 SO:輻射源 US:上游輻射收集器側 v:速度 W:基板 WT:第二物件台
併入本說明書中且構成其一部分的隨附圖式展示本文中所揭示之主題的某些態樣,且與描述一起,幫助闡明與所揭示之實施相關聯的一些原理。在圖式中,
圖1說明根據一實施例之微影投影設備之各種子系統的方塊圖。
圖2說明根據一實施例的用於模擬微影投影設備中之微影的例示性流程圖。
圖3為說明根據一實施例的多個光波長之例示性應用的圖式。
圖4為說明根據一實施例的形成光圖案之例示性光瞳設計的圖式。
圖5為說明根據一實施例的例示性遮罩圖案之圖式。
圖6為說明根據一實施例的使用雙色光之例示性效應的圖式。
圖7為說明根據一實施例的基於光譜之子解析度輔助特徵之例示性分離的圖式。
圖8為說明根據一實施例的同時最佳化光譜、遮罩圖案及光瞳設計之第一實例的圖式。
圖9為說明根據一實施例的同時最佳化光譜、遮罩圖案及光瞳設計之第二實例的圖式。
圖10為根據一實施例的基於對光譜中之頻寬的改變說明對遮罩圖案及光瞳設計之改變的圖式。
圖11為說明根據一實施例的用於增加聚焦深度之例示性方法的程序流程圖。
圖12為說明根據一實施例的用於基於經修改之光譜及經修改之遮罩圖案增加聚焦深度之例示性方法的程序流程圖。
圖13為說明根據一實施例的用於增加聚焦深度之例示性反覆方法的程序流程圖。
圖14為說明根據一實施例的對應於圖13中所展示之程序的光瞳設計及遮罩圖案之實例的圖式。
圖15為根據一實施例之實例電腦系統的方塊圖。
圖16為根據一實施例之微影投影設備的示意圖。
圖17為根據一實施例之另一微影投影設備的示意圖。
圖18為根據一實施例之微影投影設備的詳細視圖。
圖19為根據一實施例之微影投影設備之源收集器模組的詳細視圖。
810:單色光譜
812:經修改之光瞳設計
814:連續透射遮罩(CTM)
816:遮罩
818:空中影像
820:三角形點
822:橢圓
824:單色聚焦深度
850:雙色光譜
852:經修改之光瞳設計
854:CTM
856:遮罩
858:空中影像
860:圓圈點
862:橢圓
864:雙色聚焦深度

Claims (15)

  1. 一種用於增加一微影系統之一聚焦深度之方法,該方法包含: 提供一光譜、一遮罩圖案及一光瞳設計,該光譜、該遮罩圖案及該光瞳設計一起經組態以向該微影系統提供一聚焦深度; 使該光譜及該遮罩圖案中之一輔助特徵反覆地變化以提供一經修改之光譜及增加該聚焦深度的一經修改之遮罩圖案;且 基於該經修改之光譜及增加該聚焦深度的該經修改之遮罩圖案組態該微影系統之一組件。
  2. 如請求項1之方法,該反覆變化進一步包含使該光譜、該遮罩圖案及該光瞳設計同時反覆地變化以提供該經修改之光譜、一經修改之遮罩圖案及一經修改之光瞳設計。
  3. 如請求項1之方法,其中該光譜將以一系列脈衝提供,其中該光譜中之至少一個峰值中的一中心波長在每隔一次脈衝中進一步變化以移位大致500 fm。
  4. 如請求項1之方法,其中該光譜包含一多色光譜。
  5. 如請求項4之方法,其中該多色光譜包括至少兩個不同峰值,該等峰值具有一峰值間距。
  6. 如請求項4之方法,其進一步包含藉由一光源遞送對應於該多色光譜之光,其中光的該多個顏色在不同時間遞送。
  7. 如請求項1之方法,該反覆變化進一步包含使該光譜中之一峰值的一頻寬反覆地變化。
  8. 如請求項1之方法,該反覆變化進一步包含使該光譜中之兩個峰值之間的一峰值間距反覆地變化。
  9. 如請求項1之方法,該反覆變化進一步包含使該遮罩圖案中之一主特徵變化以增加該聚焦深度。
  10. 如請求項9之方法,其中該主特徵包括一邊緣部位及一遮罩偏置部位,且該反覆變化進一步包含使該邊緣部位或該遮罩偏置部位中之至少一者變化。
  11. 如請求項9之方法,其中兩個遮罩偏置部位可圍繞該主特徵之一中心對稱地變化。
  12. 如請求項1之方法,該反覆變化進一步包含使該遮罩圖案中之一子解析度輔助特徵變化以增加該聚焦深度。
  13. 如請求項12之方法,該反覆變化進一步包含藉由改變該子解析度輔助特徵之一位置或寬度中之至少一者來使該子解析度輔助特徵變化。
  14. 如請求項1之方法,該反覆變化進一步包含執行該反覆變化,至少直至一程序窗基於至少部分地由一劑量及一曝光寬容度定義之一區域增加為止。
  15. 如請求項1之方法,該反覆變化進一步包含執行該變化,至少直至該聚焦深度及一曝光寬容度之一乘積增加為止。
TW108137589A 2018-10-19 2019-10-18 藉由源及遮罩最佳化以建立理想源光譜的方法 TWI783185B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862747951P 2018-10-19 2018-10-19
US62/747,951 2018-10-19

Publications (2)

Publication Number Publication Date
TW202036169A true TW202036169A (zh) 2020-10-01
TWI783185B TWI783185B (zh) 2022-11-11

Family

ID=68296452

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108137589A TWI783185B (zh) 2018-10-19 2019-10-18 藉由源及遮罩最佳化以建立理想源光譜的方法

Country Status (5)

Country Link
US (1) US20210349404A1 (zh)
KR (1) KR102655261B1 (zh)
CN (1) CN112889004A (zh)
TW (1) TWI783185B (zh)
WO (1) WO2020078844A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020177979A1 (en) 2019-03-03 2020-09-10 Asml Netherlands B.V. Method and apparatus for imaging using narrowed bandwidth
WO2024037859A1 (en) * 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
CN115758699B (zh) * 2022-11-09 2023-06-20 武汉宇微光学软件有限公司 面向全芯片光源掩模优化的关键图形快速筛选方法和装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US6671294B2 (en) * 1997-07-22 2003-12-30 Cymer, Inc. Laser spectral engineering for lithographic process
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US7088419B2 (en) * 2003-05-30 2006-08-08 Cdm Optics, Inc. Lithographic systems and methods with extended depth of focus
CN101258498B (zh) 2005-08-08 2011-04-13 Asml荷兰有限公司 用于形成光刻工艺的焦点曝光模型的系统和方法
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US8331645B2 (en) * 2006-09-20 2012-12-11 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
KR101562073B1 (ko) * 2007-10-16 2015-10-21 가부시키가이샤 니콘 조명 광학 시스템, 노광 장치 및 디바이스 제조 방법
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
JP5686567B2 (ja) * 2010-10-19 2015-03-18 キヤノン株式会社 露光条件及びマスクパターンを決定するプログラム及び方法
NL2007642A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
JP5656905B2 (ja) * 2012-04-06 2015-01-21 キヤノン株式会社 決定方法、プログラム及び情報処理装置
CN103631096B (zh) * 2013-12-06 2015-05-20 北京理工大学 基于Abbe矢量成像模型的光源-掩模-偏振态联合优化方法
CN104914684B (zh) * 2015-06-24 2017-06-23 北京理工大学 一种极紫外光刻光源‑掩模联合优化方法
US10416566B2 (en) 2015-12-14 2019-09-17 Asml Netherlands B.V. Optimization of source and bandwidth for new and existing patterning devices
JP6674250B2 (ja) * 2015-12-16 2020-04-01 キヤノン株式会社 露光装置、露光方法、および物品の製造方法
CN106200276B (zh) * 2016-07-19 2017-10-24 西安电子科技大学 基于随机散射介质的可控亚波长无掩模光刻系统和方法

Also Published As

Publication number Publication date
WO2020078844A1 (en) 2020-04-23
TWI783185B (zh) 2022-11-11
KR102655261B1 (ko) 2024-04-08
KR20210056428A (ko) 2021-05-18
CN112889004A (zh) 2021-06-01
US20210349404A1 (en) 2021-11-11

Similar Documents

Publication Publication Date Title
TWI596422B (zh) 用於改良微影處理程序之電腦實施方法及相關電腦程式產品
TWI698717B (zh) 用於圖案組態之方法及其電腦程式產品
US20220276563A1 (en) Prediction data selection for model calibration to reduce model prediction uncertainty
TW201539226A (zh) 用於微影程序之最佳化流程
TWI723292B (zh) 圖案化製程之最佳化流程
TWI736150B (zh) 使用窄頻寬成像的方法和裝置
TW201706724A (zh) 著色感知最佳化
TWI783185B (zh) 藉由源及遮罩最佳化以建立理想源光譜的方法
TW201702757A (zh) 使用源輻射之角分佈之多重取樣的微影模擬
TWI778722B (zh) 用於選擇資訊模式以訓練機器學習模型之設備及方法
TW202201144A (zh) 產生圖案化裝置之系統、產品及方法及其圖案
TWI667553B (zh) 判定圖案之特性之方法
TW201841049A (zh) 藉由圖案化裝置上的有限厚度之結構判定輻射之散射的方法
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
TWI842639B (zh) 用於增強成像至基板上之圖案的目標特徵之方法和系統
TW202127147A (zh) 用於增強成像至基板上之圖案的目標特徵之方法和系統
KR20230010686A (ko) 수차 영향 시스템, 모델, 및 제조 프로세스
TW202338489A (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
TW202409714A (zh) 用於最佳化微影程序之基於繞射的光瞳判定
WO2023088641A1 (en) Simulation model stability determination method
WO2024037859A1 (en) Method for radiation spectrum aware souce mask optimization for lithography
WO2024094385A1 (en) Source optimization for mitigating mask error impact
TW202333079A (zh) 產生擴增資料以訓練機器學習模型以保持物理趨勢
WO2023046385A1 (en) Pattern selection systems and methods
WO2023180020A1 (en) Lithographic pattern representation with curvilinear elements