CN101305320B - 采用独立掩模误差模型的掩模验证系统和方法 - Google Patents

采用独立掩模误差模型的掩模验证系统和方法 Download PDF

Info

Publication number
CN101305320B
CN101305320B CN2006800419911A CN200680041991A CN101305320B CN 101305320 B CN101305320 B CN 101305320B CN 2006800419911 A CN2006800419911 A CN 2006800419911A CN 200680041991 A CN200680041991 A CN 200680041991A CN 101305320 B CN101305320 B CN 101305320B
Authority
CN
China
Prior art keywords
mask
error
independently
data
mask error
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006800419911A
Other languages
English (en)
Other versions
CN101305320A (zh
Inventor
叶军
斯蒂芬·亨斯克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN101305320A publication Critical patent/CN101305320A/zh
Application granted granted Critical
Publication of CN101305320B publication Critical patent/CN101305320B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K5/00Irradiation devices

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

公开了系统和方法,所述系统和方法用于检验所制造的光刻掩模;从掩模检验数据中提取物理掩模数据;基于在所述物理掩模数据和掩模布局数据之间的差别确定系统的掩模误差数据;基于所述系统的掩模误差数据生成系统的掩模误差参数;形成具有系统的掩模误差参数的独立的掩模误差模型;采用特定的掩模和/或特定的投影系统预期光刻过程的图案化性能;以及预期工艺修正,所述工艺修正优化图案化性能并由此优化最终器件产量。

Description

采用独立掩模误差模型的掩模验证系统和方法
交叉引用
本申请要求发明名称为“System and Methods for Model-Based MaskVerification”的美国临时专利申请No.60/719,837的优先权,所述相关申请的主题以引用的方式整体并入本文中。
技术领域
本发明主要涉及光学光刻,而更具体地涉及采用独立掩模误差模型的掩模验证。
背景技术
集成电路工业从一开始就通过以更低的成本驱动增长的器件功能而维持了很高的成长速率。如今,上升沿器件仅以原来成本的几分之一提供曾经占据整个房间的计算机的计算功能。今天的许多低成本的消费类装置包括仅仅在几年前还无法以任何成本实现的功能,例如视频手机、超便携式媒体播放器、以及无线或超宽带互联网装置。这种增长的主要能动因素之一是光学光刻过程能稳定地减小最小特征尺寸的能力,所述最小特征尺寸可以作为集成电路图案的一部分被图案化。这种在每个电路上印刷更多特征的同时实现的特征尺寸和成本的稳步降低通常被称为“摩尔定律”或光刻“路线图(roadmap)”。
光刻过程涉及在掩模上形成母图像,然后将该图案正确无误地复制到器件晶片上。在设计规范内母图案被成功复制的次数越多,每个成品器件或“芯片”的成本就越低。直到最近,除掩模水平面的图案比晶片水平面的图案大许多倍的情况之外,掩模图案已经与晶片水平面上的所需图案完全相同。然后,该缩放因子在晶片曝光过程中通过曝光工具的缩减比例而被修正。掩模图案典型地通过将光吸收材料在石英或其他透射式衬底上淀积和形成图案而被形成。然后,所述掩模被置于称为“步进机”或“扫描器”的曝光工具中,在所述曝光工具中,具有特定曝光波长的光通过掩模被引导到器件晶片上。光透射通过掩模的空白区域,并在被吸收层覆盖的区域上以所期望的量(通常在90%和100%之间)被衰减。通过掩模的一些区域的光也可以以所需的相位角(典型地为180度的整数倍数)产生相移。在被曝光工具收集之后,得到的空间图像图案被聚焦到器件晶片上。沉积在晶片表面上的光敏感材料与光相互作用,以在晶片上形成所需的图案,且然后,所述图案被转移到晶片上的下层中,以根据公知的过程形成功能性的电子电路。
近年来,被图案化的特征尺寸已经明显地小于用于转移图案的光的波长。这种朝向“亚波长光刻”的趋势已经导致在光刻过程中维持足够的工艺裕量的困难增大。随着特征尺寸与波长的比例的降低,由掩模和曝光工具形成的空间图像丧失了对比度和锐度。所述比例由k1因子量化,被定义为曝光工具的数值孔径乘以最小特征尺寸,再被波长除。当前,选择曝光波长的实际自由度有限,且曝光工具的数值孔径接近物理极限。结果,器件特征尺寸的持续减小需要在光刻过程中越来越显著地减小k1因子,即在光学成像系统的经典分辨率极限处或所述极限以下成像。
用于实现低k1光刻的新方法已经在掩模上形成母图案,所述母图案与最终的晶片水平面上的图案不完全相同。掩模图案经常在图案尺寸和图案位置作为图案密度或间距的函数的情况下被调整。其他技术涉及在掩模图案(“衬线”、“锤头”或其他图案)上额外增加或减少拐角,甚至涉及将不在晶片上复制的几何尺寸的增加。这些非印刷的“辅助特征”可以包括用于改变背景光强(“灰度调整”)的散射条纹、孔、环、棋盘状条纹或“斑马条纹”,以及在文献中记载的其他结构。所有这些方法经常被统称为“光学邻近校正”或“OPC”。随着k1减小,邻近效应的幅度显著地增加。在当前的高端设计中,越来越多的器件层需要OPC,且几乎每个特征边缘需要一些调整量,以便确保所印刷的图案将合理地与设计目的相似。这种扩展的OPC应用的实现和验证仅仅可能通过详细的整个芯片的计算的光刻过程模型完成,且所述过程通常被称为基于模型的OPC。(见″Full-ChipLithography Simulation and Design Analysis-How OPC Is Changing ICDesign,″C.Spence,Proc.SPIE,Vol.5751,pp.1-14(2005)and″ExploringNew High Speed,Mask Aware RET Verification Flows,″P.Martin et al,Proc.SPIE 5853,PP.114-123,(2005)。)
掩模也可以通过增加相移区域来变更,所述相移区域可以或不可以被复制到晶片上。大量的相移技术在文献中详细地被描述,包括交变孔径移相器(alternate aperture shifter)、双重曝光掩模过程、多相位跳变以及衰减型相移掩模。由所述方法形成的掩模被称为“相移掩模”或“PSM”。所有这些用于在低k1条件下增加图像斜率(image slope)的技术(包括OPC、PSM及其他)被统称为“分辨率增强技术”,或“RET”。所有这些经常以多种组合形式应用到掩模上的RET的结果是在晶片水平面上形成的最终图案不再是掩模水平面的图案的简单复制。实际上,观察掩模图案并简单地确定最终晶片图案被重叠成什么样子是不可能的。这极大地增加了在掩模被制作和晶片被曝光之前验证设计数据的正确性、以及验证RET已经被正确地应用且掩模满足其目标规范的难度。
OPC和其他RET的增值导致多个巨大的挑战。尤其,OPC后的掩模设计与OPC前的设计意图(即预期制造的半导体结构)具有非常有限的相似之处。甚至更大的挑战在于工艺窗口的减小,即工艺过程对于确定的参数(例如曝光剂量和焦点)伴随k1因子的减小而产生的不可预期的变化的容许程度的减小。进而,随着邻近效应越来越明显,在工艺参数的小的改变下的特征的确切行为成为极其非线性的并经常是非直观的。甚至少量的未检测的工艺变化都可能对器件产量产生难以预料的显著的影响。这种产量损失将在设计的“薄弱点”或“热斑”处最可能出现,并因此以量化方式区别于由例如掩模污染物造成的随机的“点缺陷”带来的产量损失。在半导体生产的当前状态下,器件产量越来越受到设计薄弱环节而不是随机缺陷的限制。
对于可能将薄弱的设计特征演变成失效的系统工艺变化的主要贡献是掩模自身的确切的物理属性。相关的物理掩模参数可能包括线宽偏离、拐角处的倒圆角、由于掩模刻写或掩模蚀刻的邻近效应而引起的间距依赖性、各向异性、相位误差、偏振、双折射、或通常的“三维掩模效应”。这些参数可能在单个掩模的区域内、在不同时间制造的掩模之间、在不同工具上制造的掩模之间或来自不同掩模车间的掩模之间变化。
当OPC和掩模设计基于详细的模型时,掩模的实际物理属性可能不同于模型的假设,并由此可能移动工艺窗口和优化工艺条件或造成不期望的产量损失。这种产量损失可能在之前所确定的热斑处出现。然而,物理掩模参数的非预期和未检测的变化也可能很大程度上改变热斑的准确性或甚至导致在名义条件下将不会被确定为“边缘的”(或“薄弱的”)的图案的失效。(“边缘的”或“薄弱的”图案是容易由于例如制造中的不确定因素或工艺变化而导致失效或产量损失的图案。)
传统的掩模检验集中在检测掩模上的独立的点缺陷(例如灰尘颗粒或小孔),并因此不能检测掩模的系统误差以及它们对于与工艺窗口相关的“设计缺陷”或“热斑”的影响。图1是现有技术的制造工艺的方法步骤的流程图,在所述方法中,这种传统的掩模检验出现在步骤120中。在步骤110中,产生用于表示芯片的设计意图的OPC前的设计布局。然后,在步骤112中,采用OPC和其他RET处理OPC前的设计布局,以产生OPC后的掩模布局。在步骤114中,整个芯片采用光刻过程的模型和应用于OPC后的掩模布局的名义上的掩模误差模型进行模拟,以预测所印刷的图案。采用这种光刻过程模型和掩模模型模拟光刻过程的示例在发明名称为“System and Method for Lithography Simulation”的美国专利No.7,003,758(’758专利)中公开,该专利的主题以引用的方式整体合并入本文中。在步骤116中,OPC前的设计布局(即设计意图)与所预期的印刷图案对比,以确定是否OPC后的掩模布局是否可接受。如果是,所述方法继续步骤118;如果否,则所述方法回到步骤112,其中所述对比来自步骤116,所述比较将用于调整OPC后的掩模布局,以产生新的OPC后的掩模布局,且然后将重复步骤114和116。一旦所预期的印刷图案被确定为可接受的,则所述方法在步骤118中继续,在所述步骤118中,掩模根据所述可接受的OPC后的掩模布局被制造。然后,在步骤120中,所述掩模被检验以辨别独立的点缺陷,例如灰尘颗粒或小孔。在步骤122中,所辨别的点缺陷被评估以确定是否所制造的掩模是可接受的。如果是,则所述方法继续步骤128;如果否,则所述方法继续步骤124,在所述步骤124中掩模被评估以确定是否是可修复的。如果掩模是可修复的,则所述方法继续步骤126,在所述步骤126中,所述掩模被修复,且之后所述方法回到步骤120;如果所述掩模是不可修复的,则所述方法回到步骤118,在所述步骤118中,将制造新掩模。在可选的步骤128中,采用来自步骤114的模拟信息调整所述光刻过程。这种信息可能包括用于被目标化的晶片检验或被优化的工艺条件的热斑报告。然而,由于在设计阶段中,模拟基于名义条件(尤其,对于掩模误差模型参数的名义值),所以这种前馈信息的有效性将受到限制。在步骤130中,采用所制造的(也可能是所修复的)掩模印刷晶片。
如图1所示,现有技术的器件制造过程从OPC前的设计布局开始,所述OPC前的设计布局通过应用OPC或其他RET限定对于OPC后的掩模布局的所需器件功能。将OPC前的设计布局转换成OPC后的掩模布局的所述过程通常很大程度上依赖于光刻过程的数值模拟(例如基于模型的OPC和基于模型的设计验证)并通常在设计被认为可接受之前可能需要多次迭代。需要整个芯片的模拟以确定所述器件的所有元件将根据需要印刷在晶片上。用于OPC生成和设计验证的模拟可能例如采用如‘758专利所述的光刻模拟系统,所述光刻模拟系统能够在考虑投影过程的光学属性以及产品晶片上的抗蚀剂层的属性的情况下,根据掩模布局预测印刷的抗蚀剂或特征轮廓。
一旦所述掩模布局被确定为可接受的,则物理掩模将由掩模车间制造并传送给生产设备(fab)。该掩模可以采用现有的掩模检验工具检验,以便检测和在可能情况下修理由于例如在掩模制造工艺中的任何污染造成的任何的点缺陷。随后,所述掩模将被装载入曝光工具以印刷产品晶片。注意到,当详细的模拟模型是掩模设计过程的中心部分时,一旦所述掩模已经被制造,则以传统的方式在整个光刻器件制造过程中没有基于模型的信息被利用。在实际中,所述情况经常使得掩模制造工艺对于大量的不确定性开放(例如OPC是否已经被正确地在实际掩模上实现)。对于任何的新掩模,也可能存在以经验为依据地(主要通过试验一错误)调整工艺参数、以生产例如足够接近设计目标的印刷线宽的需要。结果,如果任何系统掩模误差已经在掩模制造工艺中产生,则可能需要很长时间和大量的印刷晶片才能明确地检测和修正这种误差。
因此,存在对于一定的系统和方法的强烈需求,所述系统和方法在考虑设计意图的情况下,验证实际的光刻掩模的物理属性和它们对于图案印刷过程的影响。这种方法将能够在对任意晶片曝光之前对掩模进行预测式的和提前的资格鉴定,并也将能够进行调整或工艺修正,以对于给定的物理掩模优化所印刷的器件产量。这种工艺修正可以通过精确地建模而确定,并可以例如涉及曝光剂量、焦点偏移、数值孔径(NA)-sigma设定的调整,在不同的曝光工具之间选择,且当系统的掩模误差修复技术是可行的时,对于掩模制造工艺进行反馈,以修复系统的掩模误差。
发明内容
公开了一种系统和方法,所述系统和方法用于检验制得的光刻掩模;从掩模的检验数据中提取物理掩模数据;采用物理掩模数据生成系统掩模误差数据;基于所述系统掩模误差数据形成具有系统掩模误差参数的独立的掩模误差模型;验证掩模和OPC质量;采用特定的掩模和/或特定的投影系统预测光刻过程的图案化性能;以及预期工艺修正,所述工艺修正优化图案化性能以及最终器件产量。
在一个实施例中,用于形成独立的掩模误差模型的方法包括:从采用掩模布局数据制造的掩模获得掩模检验数据;确定所述掩模检验数据和所述掩模布局数据之间的差别;基于所述掩模检验数据和所述掩模布局数据之间的差别生成系统掩模误差;以及基于所述系统掩模误差数据为独立的掩模误差模型生成系统掩模误差参数。
在一个实施例中,用于形成独立的掩模误差模型的系统包括:掩模检验工具,所述掩模检验工具配置用于产生掩模检验数据;以及基于模型的掩模数据分析系统,所述基于模型的掩模数据分析系统配置用于从所述掩模检验数据中提取物理掩模数据,以基于所提取的物理掩模数据和掩模布局数据之间的差别确定系统掩模误差数据,并配置用于采用所述系统掩模误差数据为独立的掩模误差模型生成系统掩模误差参数。
在一个实施例中,方法包括:选择光刻过程模型,所述光刻过程模型包括曝光工具的光学模型和抗蚀剂模型;形成独立的掩模误差模型,所述掩模误差模型表示采用掩模布局数据制造的掩模;采用所述光刻过程模型和独立的掩模误差模型模拟光刻过程,以产生经过模拟的图案;确定所述经过模拟的图案和设计目标之间的差别;以及基于所述经过模拟的图案和所述设计目标之间的差别优化曝光工具的设定。
在一个实施例中,方法包括:为多个曝光工具选择多个光学模型,其中每一个光学模型表示独立的曝光工具;针对多个光学模型中的每一个,采用所述光学模型和针对掩模的独立的掩模误差模型模拟光刻过程,以产生模拟结果;针对多个光学模型中的每一个,评估模拟结果,以确定多个曝光工具中哪些与所述掩模实现最佳匹配;以及选择最匹配的曝光工具和用于晶片生产的掩模。
附图说明
图1是现有技术的光刻设计和制造过程的流程图;
图2A是根据本发明的一个实施例的采用独立的掩模误差模型的掩模验证方法步骤的流程图;
图2B是根据本发明的另一个实施例的采用独立的掩模误差模型的掩模验证方法步骤的流程图;
图2C是根据本发明的另一个实施例的采用独立的掩模误差模型的掩模验证方法步骤的流程图;
图3A是根据本发明的一个实施例的采用独立的掩模误差模型的模拟光刻过程的方法步骤的流程图;
图3B是根据本发明的一个实施例的用于形成独立的掩模误差模型的方法步骤的流程图;
图4是根据本发明的另一个实施例的采用掩模检验数据在多个采样位置上形成独立的掩模误差模型的方法步骤的流程图;
图5A是根据本发明的一个实施例的用于在掩模车间形成独立的掩模误差模型的基于模型的掩模数据分析系统的图;
图5B是根据本发明的一个实施例的用于在生产设备中形成独立的掩模误差模型的基于模型的掩模数据分析系统的图;
图6是根据本发明的一个实施例的用于生成用于检验掩模的采样方案的方法步骤的流程图;
图7A是根据本发明的一个实施例的采用掩模临界尺寸(CD)的用于形成独立的掩模误差模型和验证掩模的方法步骤的流程图;
图7B是根据本发明的一个实施例的采用空间图像测量形成独立的掩模误差模型和验证掩模的方法步骤的流程图;
图7C是根据本发明的一个实施例的采用光学掩模检验工具形成独立的掩模误差模型和验证掩模的方法步骤的流程图;
图8是根据本发明的一个实施例的用于验证掩模的方法步骤的流程图;
图9是根据本发明的一个实施例的用于形成掩模制造工艺的名义上的掩模误差模型的方法步骤的流程图;
图10A是根据本发明的另一个实施例的用于形成掩模制造工艺的名义上的掩模误差模型的方法步骤的流程图;
图10B是根据本发明的另一个实施例的用于形成掩模制造工艺的名义上的掩模误差模型的方法步骤的流程图。
具体实施方式
在实际中,由于掩模制造工具的缺陷和掩模制造工艺的变化,误差(或缺陷,此后“缺陷”与“误差”可交替使用)在从OPC后的掩模布局到掩模上的实际图案的图案转移过程中总是被引入到最终制造的掩模中。掩模误差是所制造的掩模图案和打算在所述掩模上制造的理想的OPC后掩模布局之间的差别。通常,掩模误差分为两类:随机掩模误差和系统掩模误差。随机掩模误差是不能用模型来描述而是在制造的掩模上随机地和以统计规律出现的误差,例如过多的颗粒和小孔。系统掩模误差是可以用模型描述的误差,其中,所述模型依赖于图案环境(例如局部图案密度、图案尺寸、图案间隔和图案取向)和/或掩模上的图案位置。对于图案环境的依赖性由例如掩模刻写器电子束邻近效应、电子束模糊效应(foggingeffect)、蚀刻负载效应和电子束感生衬底加热效应造成。对于图案位置的依赖性由例如掩模刻写器在掩模刻写过程中的慢漂移和曝光后烘烤温度的不均匀性造成。描述所述系统掩模误差的模型称为“掩模误差模型”或有时简称为“掩模模型”。所述掩模误差模型接受图案环境和掩模上的图案位置作为输入,并输出掩模误差值,例如,CD误差、线边缘的粗糙度、位置误差、蚀刻深度误差和相移掩模的侧壁夹角误差等。CD误差是在所制造的掩模图案和理想的OPC后的掩模布局之间的线宽差。所述定位误差是在所制造的掩模图案和理想的OPC后的掩模布局图案之间的图案中心位置差。蚀刻深度误差是在所制造的相移掩模图案和希望进入掩模衬底的设计沟槽深度之间的深度差,所述掩模衬底在当光束通过掩模时提供必需的相移。所述线边缘的粗糙度是线边缘与光滑的理想形状的偏差。所述侧壁夹角误差是在所制造的掩模的线边缘轮廓和理想的垂直线边缘轮廓之间的角度差。掩模制造工艺具有名义上的掩模误差模型,所述名义上的掩模误差模型描述由工艺引起的平均掩模误差,例如拐角的倒圆角和图案的偏斜。每个独立的物理掩模的系统误差与名义上的掩模误差模型存在偏离。如在此所公开的,独立的掩模的系统误差由独立的掩模误差模型描述。在一个实施例中,独立的掩模误差模型以经验为依据建立,例如通过将实验测量的拐角倒圆角和偏斜相对所述图案环境和图案位置进行适配来实现。在另一个实施例中,独立的掩模误差模型采用所述掩模制造工艺的第一主要物理过程模拟而建立,例如模拟掩模衬底内部的电子轨迹,模拟抗蚀剂与电子的相互作用以及模拟在抗蚀剂显影过程中的抗蚀剂的化学过程。
为了确定掩模误差并形成独立的掩模误差模型,每个所制造的掩模通过检验工具或量测工具进行测量,以获得掩模检验数据。然而,所述掩模检验数据不一定准确地表示确定掩模误差所需要的所制造的物理掩模数据。误差和变形由检验工具或量测工具引入掩模检验数据,这是由于所述工具的非理想的测量传递函数。因此,为了精确地预期或测量所制造的掩模上的物理掩模数据,在一个实施例中,所述检验工具或量测工具的经过校准的模型,例如用于光学检验工具的光学模型,被应用于从所述检验工具或量测工具的输出中提取所制造的掩模的物理掩模数据。在从所述掩模检验数据和OPC后的掩模布局数据中所提取的物理掩模数据之间的差别被表示为系统掩模误差数据。在一个实施例中,所述系统掩模误差参数之后通过将所述系统掩模误差数据与所述掩模误差模型的输入变量(例如图案环境和掩模上的图案位置)进行适配来生成。独立的掩模误差模型最终通过将所述系统掩模误差参数应用于所述掩模误差模型来形成。
图2A是根据本发明的一个实施例的采用独立的掩模误差模型的掩模验证方法步骤的流程图。在步骤210中,掩模根据可接受的OPC后的掩模布局制造。在步骤212中,所述掩模采用多个可能的量测工具中的任意工具(如下文所更详细地讨论的)被检验,以产生掩模检验数据。所述掩模检验数据被分析用于提取针对所述掩模的系统掩模误差数据,且系统掩模误差参数之后根据所述系统掩模误差数据确定。在步骤214中,独立的掩模误差模型针对被检验的具体的掩模而形成。所述独立的掩模误差模型包括基于所提取的系统掩模误差数据的系统掩模误差参数。用于形成所述独立的掩模误差模型的方法的一个实施例在下文中结合图3B进行讨论。在步骤216中,光刻过程采用所述独立的掩模误差模型和光刻过程的预校准模型(包括例如光学模型和抗蚀剂模型)针对完整的器件设计进行模拟、以产生经过模拟的图案。在一个实施例中,光刻过程的所述预校准模型是在发明名称为“System and Method for Creating a Focus-Exposure Model of aLithography Process”的美国专利申请No.11/461,994中公开的聚焦曝光模型,所述专利申请的主题以引用的方式整体并入本文中。在步骤218中,获得OPC前的设计布局。所述OPC前的设计布局用于产生被用于制造掩模的OPC后的布局。在步骤220中,所述经过模拟的图案与OPC前的设计布局相比,以确定是否所制造的掩模将在对任何晶片曝光之前呈现所需的图案化性能,即不需要昂贵的曝光和晶片量测工具时间,且不会导致产品晶片由于系统掩模误差而在之后被遗弃的结果。如果,在步骤222中,所制造的掩模被确定以能够呈现所需的图案化性能,则所述方法继续步骤228;如果否,则所述方法继续步骤224,在步骤224中,所述掩模被评估以确定是否其是可修复的或可重新加工的。如果所述掩模是可修复的或可重新加工的,则所述方法继续步骤226,在步骤226中,所述掩模基于采用独立的掩模误差模型所产生的经过模拟的图案被修复或重新加工,并且所述方法返回步骤212,在步骤212中,检验所述经过修复或经过重新加工的掩模。采用独立的掩模误差模型产生的所述经过模拟的图案将重要的信息提供给掩模修复工具。例如,场内的CD变化可以通过采用快速脉冲激光技术而被修正,所述快速脉冲激光技术采用得自所述经过模拟的图案的场内CD均匀分布,所述经过模拟的图案采用独立的掩模误差模型。(见″CD Variations Correction by Local Transmission Control of PhotomasksDone with a Novel Laser Based Process,″E.Zait,et al.,Metrology,Inspection,and Process Control for Microlithography XX,Chas N.Archie,Editor,Proc.SPIE,Vol.6152,(2006))。如果所述掩模是不可修复的或不可重新加工的,则所述方法返回步骤210,在步骤210中,将制造新掩模。
能够将确定的前馈信息从所述经过模拟的图案提供给晶片生产过程。在图2A中,该前馈可能性如可选的步骤228所示,在所述步骤228中,所述曝光工具的工艺调节参数采用来自所述经过模拟的图案的信息而被调整。在步骤230中,晶片采用所述掩模而被印刷。
图2A的方法的一部分(步骤210-226)可以在将掩模传送给生产设备之前在掩模车间中进行,而图2A的方法的另一部分(步骤212-222)可以在所述生产设备中进行,以便对输入的掩模进行量化。由于不需要对实际晶片进行曝光、显影和量测,所以采用利用独立的掩模误差模型生成的经过模拟的图案的掩模验证导致显著的时间和成本节约。这也为掩模和OPC质量控制以及提前预期工艺修正的能力提供可量化的基础,所述工艺修正将针对所使用的特定的掩模优化器件设计的公共工艺窗口。例如,采用独立的掩模误差模型生成的经过模拟的图案可以被用于为所述特定的掩模、从多个可行的曝光工具中选择出优化曝光工具,所述选择通过检查哪个曝光工具的光学模型在与掩模的独立的掩模误差模型结合时形成优化的经过模拟的图案而被进行。在另一个示例中,所述曝光工具的设定可以通过检查何种设定将在与掩模的独立的掩模误差模型结合时产生优化的经过模拟的图案而被优化。
图2B是根据本发明的另一个实施例的采用独立的掩模误差模型的用于掩模验证的方法步骤的流程图。在步骤240中,掩模根据可接受的OPC后的掩模布局制造。在步骤242中,所述掩模采用多个可能的量测工具中的任意工具(如下文所更详细地讨论的)被检验,以产生掩模检验数据。所述掩模检验数据被分析用于提取针对所述掩模的系统掩模误差数据,且系统掩模误差参数根据所述系统掩模误差数据确定。在步骤244中,独立的掩模误差模型针对被检验的具体的掩模而形成。所述独立的掩模误差模型包括基于所提取的系统掩模误差数据的系统掩模误差参数。用于形成所述独立的掩模误差模型的方法的一个实施例在下文中结合图3B进行讨论。在步骤246中,光刻过程采用所述独立的掩模误差模型和光刻过程的预校准模型(包括例如光学模型和抗蚀剂模型)针对完整的器件设计进行模拟以产生经过模拟的图案。在一个实施例中,光刻过程的所述预校准模型是在发明名称为“System and Method for Creating a Focus-ExposureModel of a Lithography Process”的美国专利申请No.11/461,994中公开的聚焦曝光模型,所述专利申请的主题以引用的方式整体并入本文中。在步骤248中,光刻过程采用名义上的掩模误差模型和光刻过程的模型被模拟,以产生名义上的经过模拟的图案。所述名义上的掩模误差模型包括模型参数,所述模型参数表示工艺引起的平均掩模误差,并且在下文中还将结合图9-10B进行描述。所述名义上的掩模误差模型不基于所述独立的掩模的掩模检验数据,而是可以采用测试掩模进行独立地校准,或者可以通过对多个之前检验的掩模的独立的掩模误差模型进行平均而获得。名义上的掩模误差模型可能已经作为在针对所述独立的掩模的OPC修正中使用的光刻过程模型的一部分而被包括,如以上结合图1和美国专利No.11/461,994所讨论的。在步骤250中,所述经过模拟的图案与所述名义上的经过模拟的图案相比,以确定是否所制造的掩模将在对任何晶片曝光之前呈现所需的图案化性能,即,不需要昂贵的曝光和晶片量测工具时间,且不会导致由于系统掩模误差而使产品晶片在以后被遗弃的结果。如果,在步骤252中,所制造的掩模被确定以能够呈现所需的图案化性能,则所述方法继续步骤258;如果否,则所述方法继续步骤254,在步骤254中,所述掩模被评估以确定是否其是可修复的或可重新加工的。如果所述掩模是可修复的或可重新加工的,则所述方法继续步骤256,在步骤256中,所述掩模基于采用独立的掩模误差模型所产生的经过模拟的图案被修复或重新加工,并且所述方法返回步骤242,在步骤242中,检验所述经过修复或经过重新加工的掩模。如果所述掩模是不可修复的或不可重新加工的,则所述方法返回步骤240,在步骤240中,将制造新掩模。
能够将确定的前馈信息从所述经过模拟的图案提供给晶片生产过程。在图2B中,该前馈可能性如可选的步骤258所示,在所述步骤258中,所述曝光工具的工艺调节参数采用来自所述经过模拟的图案的信息而被调整。在步骤260中,晶片采用所述掩模而被印刷。
图2C是根据本发明的另一个实施例的采用独立的掩模误差模型的用于掩模验证的方法步骤的流程图。在步骤270中,掩模根据可接受的OPC后的掩模布局制造。在步骤272中,所述掩模采用多个可能的量测工具中的任意工具(如下文所更详细地讨论的)被检验,以产生掩模检验数据。所述掩模检验数据被分析用于提取针对所述掩模的系统掩模误差数据,且系统掩模误差参数根据所述系统掩模误差数据生成。在步骤274中,独立的掩模误差模型针对被检验的具体的掩模而形成。所述独立的掩模误差模型包括采用所提取的系统掩模误差数据而形成的系统掩模误差参数。用于形成所述独立的掩模误差模型的方法的一个实施例在下文中结合图3B进行讨论。在步骤276中,光刻过程采用所述独立的掩模误差模型和光刻过程的预校准模型(包括例如光学模型和抗蚀剂模型)针对完整的器件设计进行模拟,以产生经过模拟的图案。在一个实施例中,光刻过程的所述预校准模型是在发明名称为“System and Method for Creating aFocus-Exposure Model of a Lithography Process”的美国专利申请No.11/461,994中公开的聚焦曝光模型,所述专利申请的主题以引用的方式整体并入本文中。在步骤278中,光刻过程采用OPC后的掩模布局和光刻过程的模型被直接模拟,以产生理想的经过模拟的图案。所述OPC后的掩模布局表示无误差的“完美的”掩模。在步骤280中,所述经过模拟的图案与所述理想的经过模拟的图案相比,以确定是否所制造的掩模将在对任何晶片曝光之前呈现所需的图案化性能,即,不需要昂贵的曝光和晶片量测工具时间,且不会导致由于系统掩模误差而使产品晶片在以后被遗弃的结果。如果,在步骤282中,所制造的掩模被确定以能够呈现所需的图案化性能,则所述方法继续步骤288;如果否,则所述方法继续步骤284,在步骤284中,所述掩模被评估以确定是否其是可修复的或可重新加工的。如果所述掩模是可修复的或可重新加工的,则所述方法继续步骤286,在步骤286中,所述掩模基于采用独立的掩模误差模型所产生的经过模拟的图案被修复或重新加工,并且所述方法返回步骤272,在步骤272中,检验所述经过修复或经过重新加工的掩模。如果所述掩模是不可修复的或不可重新加工的,则所述方法返回步骤270,在步骤270中,将制造新掩模。
能够将确定的前馈信息从所述经过模拟的图案提供给晶片生产过程。在图2C中,该前馈可能性如可选的步骤288所示,在所述步骤288中,所述曝光工具的工艺调节参数采用来自所述经过模拟的图案的信息而被调整。在步骤290中,晶片采用所述掩模而被印刷。
多种不同的量测工具可以被用于检验掩模以产生掩模检验数据,所述掩模检验数据将被分析用于提取系统掩模误差数据,所述系统掩模误差数据用于为所述独立的掩模误差模型生成所述系统掩模误差参数。这些量测工具包括,但不限于常规的光学掩模检验工具、临界尺寸扫描电子显微镜(CD-SEM)或成像扫描电子显微镜(SEM)、原子力显微镜(AFM)或散射仪系统、或者空间图像测量系统(AIMS)工具。在一个实施例中,包括图像传感器阵列的传感器晶片被用于测量空间图像,所述空间图像从掩模原位投影,即在实际的照射和投影条件下在曝光工具的晶片平面上以正确的曝光波长,以验证和能够不仅优化掩模的性能而且优化在晶片生产过程中使用的掩模曝光工具组合。用于测量由曝光工具所产生的空间图像的图像传感器阵列的一个实施例在发明名称为“System and Method forLithography Process Monitoring and Control”的美国专利No.6,803,554中公开,所述专利的主题以引用的方式整体并入本文中。
用于提取用于生成系统掩模误差参数的掩模的系统掩模误差数据的任何掩模量测技术通常将不需要掩模的全曝光场检验,而是可以基于有限数量的样本。所述与传统的掩模检验的量化差别需要全曝光场检验以辨别点缺陷,所述量化差别是采用多种检验工具中的任何工具从所述系统掩模误差数据中提取系统掩模误差数据和生成系统掩模误差参数的过程具有很大灵活性的原因。在本发明的一个实施例中,在曝光场内的合适的采样位置通过软件工具自动地辨别,所述软件工具可以基于被检验的掩模的OPC后的布局信息与光刻模拟系统集成。
同时,当优选地所述系统掩模误差参数针对在光刻过程模拟中使用的独立的掩模误差模型而被明确地生成时,掩模质量也通过采用光刻模拟系统被检测和验证,以通过对模拟和实际测量之间的吻合度的量测进行量化和/或通过为所述量测制定合适的边界作为通过/不通过的标准,来“向前”计算每个量测工具上的掩模测量的期望的结果(例如,在扫描仪中被图像传感器阵列测量的图像)。
另外,当采用独立的掩模误差模型模拟光刻过程适合于对独立的制造的掩模进行特征化时,采用名义上的掩模工艺模型模拟光刻过程也可以被用于对具体的掩模制造工艺或特定的掩模制造工具而不是独立的掩模进行特征化。在优选实施例中,具有明确定义的图案变化的具体的测试掩模被检验以产生针对掩模制造过程而不是产品掩模的名义上的掩模工艺模型,所述图案变化完全覆盖系统掩模误差参数的相关参数空间。针对掩模制造工艺的所述名义上的掩模工艺模型还将在下文结合图9-10B进行描述。
在另一个实施例中,对于针对掩模制造工艺系统的名义上的掩模工艺模型的系统掩模误差参数根据所述掩模制造工艺的经验模型或第一原理模型确定。所述掩模制造工艺通常采用与晶片印刷工艺相类似的技术,即通过刻写工具对抗蚀剂进行曝光、抗蚀剂的显影、和掩模衬底的后续蚀刻。在掩模制造工艺参数的合适的校准之后,类似于用于光刻过程模拟的模拟系统的模拟系统可以被用于提取系统掩模误差数据,并然后生成系统掩模误差参数。
下面,将提供关于本发明的一定的方面和具体实施例的更多细节。这些具体的实施例的任意描述被作为用于示出主要原理的示例,而不是将本发明限制于这些特定的实施例。
重要地,注意到,光刻过程的模型包括独立的模块,所述模块表示:掩模属性(掩模模型,例如独立的掩模误差模型);照射和投影系统的光学属性,例如数值孔径、部分相干性、照射分布和像差(光学模型);以及抗蚀剂属性(抗蚀剂模型)。模型的可分离性的重要性已经在别处进行了详细的讨论;简言之,简化的“集中模型”存在,并可能能够在一定程度上预期光刻过程的性能。然而,光刻过程的分离的模型更接近地反映了物理实际,并导致更精确的和更稳定的鲁棒预期,尤其是在工艺参数(例如在整个工艺窗口上)变化的条件下。获得这种精确预期的系统和方法在发明名称为“System and Method for Creating a Focus-Exposure Model of aLithography Process”的美国专利申请No.11/461,994中公开。尤其,在美国专利申请11/461,994中,公开了用于为光刻过程形成聚焦曝光模型的系统和方法。所述系统和方法采用沿着多维参数变量的校准数据,尤其在曝光离焦工艺窗口空间内。所述系统和方法提供一组统一的模型参数值,所述参数值导致在名义上的工艺条件下更好的模拟精度和鲁棒性,以及在经过整个工艺窗口区域的任何连续点上预期光刻性能的能力,而不需要在不同的设定下重新校准。采用独立的掩模误差模型结合聚焦曝光模型的模拟提供高真实度的经过模拟的图案,所述图案可以被用于精确地预期晶片印刷工艺的性能。
图3A是根据本发明的一个实施例的用于采用独立的掩模误差模型模拟光刻过程的方法步骤的流程图。在步骤310中,获得用于示出器件的设计意图的OPC前的设计布局。然后,在步骤312中,获得OPC后的掩模布局。通过将OPC和其他RET应用于所述OPC前的设计布局形成OPC后的掩模布局。在步骤314中,形成采用OPC后的掩模布局制造的掩模的独立的掩模误差模型。用于形成独立的掩模误差模型的方法的一个实施例在下文中结合图3B讨论。然后,在步骤316中,采用曝光工具的独立的掩模误差模型和光学模型(例如在美国专利申请No.11/461,994中公开的聚焦曝光模型的光学模型)模拟曝光过程,以产生经过模拟的空间图像,即被投影到涂覆有抗蚀剂的晶片上的经过模拟的图案。在步骤318中,采用经过模拟的空间图像和抗蚀剂模型模拟在抗蚀剂层中的图案的形成,以产生经过模拟的图案。然后,在步骤320中,所述经过模拟的图案被分析用于确定抗蚀剂中的所印刷的图案的预期临界尺寸和轮廓。
模型分离性意味着光刻过程模型的分离模块可以被独立地校准、调谐或调整,以便反映由所述模型分量所描述的物理实体的属性或变化。例如,如果已知的掩模被用在不同的曝光工具上或以不同的光学设定(例如数值孔径或sigma)使用,则不同的光学模型可以被结合相同的独立的掩模误差模型使用。采用相同的独立的掩模误差模型以及不同的曝光工具的不同光学模型的光刻模拟可以被用于辨别曝光工具,所述曝光工具将采用由独立的掩模误差模型所表示的掩模提供最佳的性能。清楚地,独立的掩模误差模型被要求用于正确地描述所述掩模的物理类型,即,衰减和相移水平。所述独立的掩模误差模型也明确地考虑与掩模制造工艺相关的系统变化或缺陷。这些变化或缺陷可能包括实际掩模线宽对所设计的线宽的整体偏离;这种偏离在掩模区域上的空间变化;这种偏离随着间距、图案密度或取向的变化。除去偏离之外,由独立的掩模误差模型所描述的系统掩模误差还可以包括拐角倒圆角的半径或线边缘的粗糙度。所述独立的掩模误差模型也可以采用在光和掩模结构之间相互作用的更详细的三维建模。
图3B是根据本发明的一个实施例的用于形成独立的掩模误差模型的方法步骤的流程图。在步骤330中,获得OPC后的掩模布局,通常为GDSII格式的CAD文件,并形成用于OPC后的掩模布局的名义上的掩模误差模型。在步骤332中,获得采用OPC后的掩模布局制造的掩模。在步骤334中,所述掩模以合适的检验工具进行检验,以产生掩模检验数据。在步骤336中,所述掩模检验数据被分析用于辨别全局的线宽偏离,且所述全局的线宽偏离被应用于名义上的掩模误差模型,产生经过更新的掩模误差模型。当在掩模上形成的线宽系统地区别于所有线宽的设计值时,出现全局的线宽偏离。在可选的步骤338中,所述掩模检验数据被分析用于辨别全局的相位偏离,且所述全局的相位偏离被应用于经过更新的掩模误差模型。对于相移掩模,可能在所设计的和所制造的相位阶跃之间出现全局的偏置。仅仅当所制造的掩模是相移掩模时,将应用可选的步骤338。在步骤340中,所述掩模检验数据被分析用于辨别依赖于图案的线宽或偏离的变化,且这些变化被应用于所述经过更新的掩模误差模型。这些变化可能包括依赖于图案取向的变化、依赖于图案密度的变化(例如对于密集的线图案的间距依赖性)、或在所述掩模的区域上的临界尺寸的系统变化。在步骤342中,所述掩模检验数据被分析用于辨别拐角的倒圆角效应,且所述拐角的倒圆角效应被应用于经过更新的掩模误差模型。所述拐角的倒圆角效应可以通过在图像处理中公知的形态学操作或低通滤波或与例如二维高斯函数的卷积而被建模。在步骤344中,所述掩模检验数据被分析用于辨别其他的系统掩模误差参数,以精确地描述所述掩模的特征,且这些系统掩模误差参数被应用于经过更新的掩模误差模型。在步骤346中,采用所有的系统掩模误差参数进行更新的掩模误差模型被表示为独立的掩模误差模型。所述独立的掩模误差模型反映了修改原有的OPC后的设计布局的操作以及这些操作的特定参数组。
提取用于形成独立的掩模误差模型的系统掩模误差参数通常将不需要全场掩模检验。这种提取可能基于来自在掩模中代表性的位置的合适的经过选择的采样的掩模检验数据。图4是根据本发明的另一个实施例的用于采用来自多个采样位置的掩模检验数据形成独立的掩模误差模型的方法步骤的流程图。在步骤410中,产生示出器件的设计意图的OPC前的设计布局。在步骤412中,采用OPC和其他RET处理OPC前设计布局,以产生OPC后的掩模布局,且形成用于OPC后的掩模布局的名义上的掩模误差模型。在步骤414中,采用光刻过程的模型(包括例如光学模型和抗蚀剂模型)和名义上的掩模误差模型对光刻过程进行模拟,以产生初始的经过模拟的图案。在步骤416中,生成用于检验采用OPC后的掩模布局制造的掩模的采样方案。所述采样方案包括采样位置,在所述采样位置上,所述掩模将通过量测工具和检验处方而被检验。在一个实施例中,通过与光刻模拟系统集成的软件,从初始的经过模拟的图案中确定所述采样位置。所述OPC后的掩模布局能够用于光刻模拟系统,因此所述光刻模拟系统可以辨别对于特定掩模的采样位置和测量选项。采样位置应当被选择用于覆盖尽可能宽范围的参数空间,所述参数空间影响系统的模误差,例如图案密度、图案取向、图案间距、图案尺寸、图案极性、不同取向和极性的拐角、间隔变化的线端以及位于在掩模区域(例如,3×3位置矩阵)上的多个位置等。形成采样方案还在下文结合图6进行讨论。
在步骤418中,掩模根据OPC后的掩模布局而制造。在步骤420中,所述制造的掩模根据采样方案在量测工具中被检验,以生产掩模检验数据。在步骤422中,数据拟合过程通过使系统掩模误差数据适配于所述掩模误差模型的输入变量(例如图案环境和掩模上的图案位置)确定独立的掩模误差模型的系统掩模误差参数的优化值,其中所述系统掩模误差数据基于在从掩模检验数据中所提取的物理掩模数据和OPC后的掩模布局数据之间的差别。所述数据拟合过程采用系统掩模误差参数作为拟合参数,所述拟合参数被优化以便提供在掩模检验数据和OPC后掩模布局之间的最佳吻合度。所述数据拟合过程可以是用于设计验证的相同的光刻模拟系统的集成部分,或者其可以是独立的光刻模拟和数据分析系统的一部分,所述系统可能具有用于上述光刻模拟系统的数据接口,以将采样信息与系统掩模误差参数进行通信。最终,在步骤424中,采用系统掩模误差参数的优化值形成独立的掩模误差模型。
在一个实施例中,用于形成独立的掩模误差模型的独立的光刻模拟和数据分析系统包括用于从掩模检验数据中提取系统掩模误差数据并从所提取的系统掩模误差数据中生成系统掩模误差参数的计算平台和软件程序。所述系统还包括用于设计模拟系统的数据接口(例如经由局域网或广域网),以使得采样信息或设计信息可以被设置在下游,且经过更新的系统掩模误差参数可以被设置在上游,回到所述设计模拟系统。在另一个实施例中,光刻模拟和数据分析系统被集成在掩模量测工具内,而不是在分离的计算平台上被实现。
图5A是根据本发明的一个实施例的用于在掩模车间中形成独立的掩模误差模型的基于模型的掩模数据分析系统的图。基于模型的掩模数据分析系统522位于掩模车间520,以辨别掩模的系统掩模误差数据。设计中心510提供OPC后的掩模布局512和用于掩模检验工具的采样方案514给基于模型的掩模数据分析系统522。基于模型的掩模数据分析系统522基于采样方案514将量测处方528提供给量测工具530。量测工具530可能是典型地在掩模车间中发现的任何一种量测工具,例如CD-SEM。量测工具530根据量测处方528检验掩模(未示出),并将得到的量测数据532(例如图像和临界尺寸)提供给基于模型的掩模数据分析系统522。基于模型的掩模数据分析系统522分析量测数据532以提取系统掩模误差数据,并根据所提取的系统掩模误差数据生成系统掩模误差参数524,并形成独立的掩模误差模型526。基于模型的掩模数据分析系统522还可以更新已有的独立的掩模误差模型,并确定OPC后的设计布局验证数据。基于模型的掩模数据分析系统522将独立的掩模误差模型526发送给设计中心510,设计中心510采用独立的掩模误差模型526产生对于OPC和RET设计验证、工艺窗口和热斑分析的设计模拟516。基于模型的掩模数据分析系统522还将系统掩模误差参数524发送给位于生产设备540中的生产设备处理控制542。
在生产设备中,除去量测工具之外的其他工具可以被用于掩模检验。图5B是根据本发明的一个实施例的用于在生产设备550上形成独立的掩模误差模型的基于模型的掩模数据分析系统560的图。基于模型的掩模数据分析系统560分析掩模检验数据以提取系统掩模误差数据,并根据所提取的系统掩模误差数据生成系统掩模误差参数564,并形成独立的掩模误差模型562。设计中心510将OPC后的掩模布局512和采样方案514提供给基于模型的掩模数据分析系统560。基于模型的掩模数据分析系统560基于采样方案514将量测处方566提供给量测工具570,例如CD-SEM,所述量测工具570根据量测处方566测量掩模(未示出)并将得到的量测数据(例如图像和临界尺寸)572提供给基于模型的掩模数据分析系统560。基于模型的掩模数据分析系统560也可以基于采用方案514将曝光处方568发送给曝光工具580,例如扫描器。在曝光工具580中,图像传感器阵列582(例如美国专利No.6,803,554的图像传感器阵列)测量采用掩模根据曝光处方568产生的空间图像,并将空间图像数据(未示出)发送给基于模型的掩模数据分析系统560。基于模型的掩模数据分析系统560分析量测数据572和/或空间图像数据以提取系统掩模误差数据,并根据所提取的系统掩模误差数据生成系统掩模误差参数564,且形成独立的掩模误差模型562。基于模型的掩模数据分析系统560也可以将经过更新的独立的掩模误差模型和OPC后的设计布局验证数据提供给设计中心510,所述设计中心510采用所述信息产生用于OPC和RET设计验证、工艺窗口和热斑分析的设计模拟516。基于模型的掩模数据分析系统560也将系统掩模误差参数564发送给生产设备处理控制552。
生成用于从掩模检验数据精确地生成系统掩模误差参数的采样方案的主要目的是通过所选择的采样位置提供系统掩模误差参数空间的充分覆盖。图6是根据本发明的一个实施例的用于生成用于检验掩模的采样方案的方法步骤的流程图。在步骤610中,采用独立的掩模误差模型、在掩模检验数据和经过模拟的图案之间的数据拟合过程中可能发生改变的多个系统掩模误差参数在光刻过程模型的情况内定义,所述光刻过程模型包括光学模型、抗蚀剂模型和独立的掩模误差模型。所述系统掩模误差参数包括选择可最显著地变化的掩模误差参数或对图案化性能具有最大影响(可能基于敏感性分析)的掩模误差参数,或者包括宽范围的系统掩模误差参数。然后,在步骤612中,相关值的范围对于每个系统掩模误差参数而确定,通常在多维掩模误差参数空间内限定一区域。作为简单的示例,掩模误差参数空间可以包括名义上的线宽变化的图案线,并覆盖间距范围或局部图案密度。在步骤614中,合适的采样位置通过搜索算法在OPC后的掩模布局内确定,所述搜索算法确定在先前限定的参数空间内具有代表性的值的特征性图案特征。采样位置也可以从OPC后的掩模布局直接选择,以覆盖尽可能宽范围的参数空间,所述参数空间影响系统掩模误差,例如图案密度、图案取向、图案间距、图案尺寸、图案极性、不同取向和极性的拐角、间隔变化的线端以及位于在掩模区域(例如,3×3位置矩阵)上的多个位置等。在步骤616中,利用所确定的采样位置的所述参数空间的覆盖的密度和范围被评估以确定所述参数空间的覆盖是否充分。如果是,则所述方法继续步骤618;如果否,则所述方法返回步骤614,在步骤614中,搜索算法一直重复到参数空间的覆盖充分。在步骤618中,基于所选择的采样位置生成采样方案。然后,在步骤620中,所述采样方案被提供给掩模检验工具或量测工具。
图7A是根据本发明的一个实施例的用于形成独立的掩模误差模型和采用掩模临界尺寸测量验证掩模的方法步骤的流程图。在图7A中的实施例中,为了表示方便,所述独立的掩模误差模型的特征仅在于掩模临界尺寸的线宽在所述掩模的区域上的掩模临界尺寸线宽的系统变化。可以采用其他的或附加的系统掩模误差参数,例如拐角倒圆角或线边缘粗糙度。在步骤710中,获得器件的OPC后的掩模布局。在步骤712中,确定在OPC后的掩模布局中的采样位置。在步骤714中,生成用于检验采用OPC后的掩模布局制造的掩模的采样方案。在一个实施例中,采用图6的方法生成所述采样方案。然后,在步骤716中,获得根据OPC后的掩模布局制造的掩模,且CD-SEM工具被用于根据所述采样方案直接测量掩模上的线宽。在另一个实施例中,成像SEM也被用于确定两维的基于图像的掩模误差参数,例如拐角的倒圆角。在步骤718中,所测量的线宽(掩模CD)与在OPC后的掩模布局中的所设计的线宽值(设计CD)相比较(假定CD-SEM工具已经被校准)。例如,将掩模线宽偏离(即实际的临界尺寸与设计的临界尺寸的偏差)与线宽尺寸匹配的过程将对在考虑中的具体掩模的依赖于图案尺寸的偏离的非线性进行特征化。在步骤720中,采用掩模CD误差数据针对独立的掩模误差模型生成系统掩模误差参数,其中所述掩模CD误差数据基于在掩模CD值和所设计的CD值之间的差别。可以采用参数拟合或查表的方法产生系统掩模误差参数。在步骤722中,采用光刻过程的模型(包括例如光学模型和抗蚀剂模型)和独立的掩模误差模型模拟光刻过程,以产生经过模拟的图案。然后,在步骤724中,经过模拟的图案被分析以确定具体掩模的热斑和其他设计缺陷、工艺修正以及工具匹配信息。所述工艺修正可以包括焦点偏移和对于曝光工具的NA和sigma设定的改变。经过模拟的图案也可以被用于采用结合图2A-2C的任何上述方法验证掩模和OPC质量,并确定光刻过程的工艺窗口。
图7B是根据本发明的另一个实施例的用于形成独立的掩模误差模型和采用空间图像测量验证掩模的方法步骤的流程图。图7B的方法类似于图7A的方法,而仅仅是在步骤736中,空间图像传感器(例如包括图像传感器阵列的传感器晶片)被用于测量在实际生产的曝光工具中从掩模投影的图像。在一个实施例中,采用图像传感器阵列测量空间图像根据美国专利No.6,803,554的公开内容而被实现。采用‘554专利的图像传感器阵列测量空间图像的操作提供在所述曝光工具的曝光场上的上百万个采样位置上的高分辨率图像碎片形式的大量数据。尽管所测量的空间图像(或更精确地说,传感器图像)可能不直接地表示线宽和衬底上的其他量测,但是所测量的空间图像数据的合适的分析和校准可以通过模拟在曝光工具中的空间图像形成过程而被限定。例如,已知掩模线宽的小改变将首先导致整个信号强度的改变,所述信号强度可以例如通过在所测量的空间图像中的线的横截面上的综合的信号电平来测量。类似地,在掩模上总的接触面积或其他小特征可以在图像上被直接测量,甚至对于太小以至于无法印刷的特征(例如辅助条纹或其他亚分辨率辅助特征)。根据这种测量,特征尺寸和特征尺寸随图案密度在场上的变化可以作为系统掩模误差参数明确地被得出。替代地,独立的掩模误差模型的确定部分可以以更可直接测量的参数的形式表达,例如接触能或相对信号电平。可以进而通过在多种条件下(例如通过焦点)采用多NA-sigma设定或不同源的极化获取数据来促进确定的系统掩模误差参数的提取。例如,相移掩模的参数可能具有特征性的通过焦点的行为,以使得在多个聚焦设定下测量的空间图像数据将允许实际的掩模属性的更好特征化。最后,如图7A所示,反映实际生产的掩模的物理结构的独立掩模误差模型被用于图案化性能的模拟、掩模验证和工艺修正。
在图7B的实施例中,为了表示方便,独立的掩模误差模型的特征仅在于在所述掩模的区域上的掩模临界尺寸线宽的系统变化。可以采用其他的或附加的掩模误差参数,例如拐角的倒圆角或线边缘的粗糙度。在步骤730中,获得产品的OPC后的掩模布局。在步骤732中,确定在OPC后掩模布局中的采样位置。在步骤734中,生成用于检验采用OPC后的掩模布局制造的掩模的采样方案。在一个实施例中,采用图6所示的方法生成采样方案。在步骤736中,获得根据OPC后的掩模布局制造的掩模,并根据采样方案采用图像传感器阵列测量由用于曝光工具的掩模产生的空间图像。在步骤738中,掩模CD值从空间图像测量数据和曝光工具和图像传感器阵列的光学模型提取。在步骤740中,所述掩模CD值与在OPC后的掩模布局中的设计CD值相对比,以基于掩模CD值和设计CD值之间的差别生成掩模CD误差数据。在步骤742中,对于独立的掩模误差模型从掩模CD误差数据生成系统掩模误差参数。可以采用参数拟合或查表的方法生成所述系统掩模误差参数。然后,在步骤744中,采用光刻过程的模型(包括例如光学模型和抗蚀剂模型)和独立的掩模误差模型模拟光刻过程,以产生经过模拟的图案。在步骤746中,经过模拟的图案被分析以确定具体的掩模的热斑和其他设计缺陷、工艺修正以及工具匹配信息。所述工艺修正可以包括焦点偏移和对于曝光工具的NA和sigma设定的改变。经过模拟的图案也可以被用于采用上述结合图2A-2C的任何方法验证掩模和OPC质量,以及确定光刻过程的工艺窗口。
图7C是根据本发明的另一个实施例的用于形成独立的掩模误差模型和采用光学掩模检验工具验证掩模的方法步骤的流程图。图7C的方法类似于图7A的方法,而仅仅是在步骤756中,光学掩模检验工具(通常用于掩模污染物检验或点缺陷检测)被用于检验掩模。光学掩模检验工具通常设计为完全覆盖整个掩模面积,因此,辨别采样位置和根据OPC后的掩模布局生成采样方案的步骤是可选的。全局的或缓慢变化的系统掩模误差参数可以根据光学掩模检验工具而确定,如发明名称为“Apparatus andMethods for Collecting Global Data during a Mask Inspection”的美国专利No.6,516,085所述,所述专利的主题以引用的方式整体并入本文中。
在图7C的实施例中,为了表示方便,独立的掩模误差模型的特征仅在于在所述掩模的区域上的掩模临界尺寸线宽的系统变化。可以采用其他的或附加的掩模误差参数,例如拐角的倒圆角或线边缘的粗糙度。在步骤750中,获得产品的OPC后的掩模布局。然后,在可选步骤752中,识别在OPC后掩模布局中的采样位置。在可选步骤754中,生成用于检验采用OPC后的掩模布局制造的掩模的采样方案。在步骤756中,获得根据OPC后的掩模布局制造的掩模,并采用光学掩模检验工具检验掩模。如果可行,则这种检验与在步骤754中视情况生成的采样方案一致。在步骤758中,掩模CD值从掩模检验数据和光学掩模检验系统的光学模型提取。在步骤760中,所述掩模CD值与在OPC后的掩模布局中的设计CD值相对比,以基于掩模CD值和设计CD值之间的差别生成掩模CD误差数据。在步骤762中,对于独立的掩模误差模型从掩模CD误差数据生成系统掩模误差参数。可以采用参数拟合或查表的方法生成所述系统掩模误差参数。然后,在步骤764中,经过模拟的图案被分析以确定具体的掩模的热斑和其他设计缺陷、工艺修正以及工具匹配信息。所述工艺修正可以包括焦点偏移和对于曝光工具的NA和sigma设定的改变。经过模拟的图案也可以被用于采用上述结合图2A-2C的任何方法验证掩模和OPC质量,以及确定光刻过程的工艺窗口。
用于形成独立的掩模误差模型的方法的其他实施例可以根据可选的掩模检验技术(例如原子力显微镜、干涉相位量测法(如“OpticalConsiderations of High-Resolution Photomask Phase Metrology,”A.J.Merriam and J.J.Jacob,Proc.SPIE,Vol.5752,1392(2005))所述、空间图像量测法(例如采用AIMS工具)、散射仪以及偏振光椭圆率测量)生成系统掩模误差参数。
在光刻过程的经过校准的聚焦曝光模型的框架内(如美国专利申请No.11/461,994所公开),也可能从对于所印刷的测试晶片的SEM测量获得或验证用于独立的掩模误差模型的系统掩模误差参数。在一个实施例中,通过采用拟合过程和通过随后采用经过校准的独立的掩模误差模型预期具体的掩模热斑或工艺修正来实现所述获得或验证,所述拟合过程允许系统掩模误差参数值变化、同时将其它的(可分离的)模型分量保持为固定的独立确定的值。
独立的掩模误差模型可以被用于广泛的各种应用,所述应用超越OPC验证或掩模鉴定。例如,独立的掩模误差模型可以被用于预期具体的掩模的OPC热斑或薄弱区域,所述热斑或薄弱区域可以被前馈到晶片检验过程以选择性地监测最关键的器件区域。替代地,采用独立的掩模误差模型对光刻过程进行模拟可以确定工艺修正,所述工艺修正可以被前馈到器件处理中。这些工艺修正可以包括在曝光工具上的光学设定的宽范围调整(例如NA、sigma、照射分布、聚焦中心、曝光量和透镜调整)。如果多个曝光工具能够用于生产,则具体工具的光学模型可以根据工具校准测量获知,以使得可以针对具体的掩模预期依赖于工具的印刷性能的差别,且最佳的匹配可以被发现,以便通过调度在所述优选工具上的器件生产而最大化过程产量。
图8是根据本发明的一个实施例的用于验证掩模的方法步骤的流程图。在图8的方法中,生产用曝光工具的所期望的成像性能以及器件设计由OPC后的掩模布局和光刻模拟模型限定,而不是从掩模检验数据提取系统掩模误差参数数据。采用掩模在曝光工具中生产的空间图像可以采用图像传感器阵列在确定的关键特征位置上或以统计方式在曝光场上进行测量。然后,掩模鉴定或通过/不通过判定可以基于所测量的图像和经过模拟的图像之间的吻合度的评估,由合适的图像处理量测限定。如果名义上的掩模误差模型已经被针对特定的掩模制造工艺(如下面结合图9-10B所讨论的)建立,且如果掩模制造工艺的一致性可能很关键,则图8的方法可能特别地适合。替代地,如果任何老化过程或不断累积的缺陷(例如浑浊)需要被监测,则所述方法可以被用于验证随时间的掩模质量。
在步骤810中,获得产品的OPC后的掩模布局。在步骤812中,确定在OPC后的掩模布局中的采样位置。在步骤814中,采用图像传感器阵列生成用于在曝光工具中测量空间图像的采样方案。在步骤816中,获得根据OPC后的掩模布局制造的掩模,且根据所述采样方案、采用图像传感器阵列测量采用所述掩模产生的空间图像的代表性的图像碎片。在步骤818中,采用名义上的掩模误差模型和曝光工具的光学模型以及图像传感器阵列模拟由图像传感器阵列所测量的所期望的空间图像,以产生经过模拟的空间图像。然后,在步骤820中,所测量的空间图像与所述模拟的空间图像相对比。在步骤822中,确定是否所述掩模是可接受的的操作基于在所测量的空间图像和所述模拟的空间图像之间的差别。
在之前的实施例中,每种情况的掩模被检验以针对所述具体的掩模生成独立的掩模误差模型。然而,在一些情况下,可能足以生成名义上的掩模误差模型,所述名义上的掩模误差模型对于特定的掩模制造商或具体的掩模制造工具或工艺,而不是对于特定的掩模,是特有的。这种名义上的掩模误差模型通过将测试图案限定到测试掩模上而被优选地开发和校准,所述测试掩模将不被用于印刷产品晶片,而仅仅是用于对所述掩模制造工艺进行特征化。然而,也可以采用任何掩模布局数据开发名义上的掩模误差模型。所述方法类似于通常用于校准光刻模拟模型的过程模型校准或与之在某种程度上相似。
采用测试图案形成用于掩模制造工艺的名义上的掩模误差模型的明确的益处在于能够灵活地限定合适的测试图案结构,所述图案测试结构可以保证任何系统掩模误差参数空间的完整和密集的覆盖。这种测试图案例如可以通过光刻模拟系统自动地生成,并然后被提供给掩模制造工艺,以形成测试掩模。采用测试图案的形成名义上的掩模误差模型的方法与用于产品掩模的方法非常类似,然而,由于图案类型和位置在测试掩模布局中是固定的和预定的,所以不需要用于确定采样位置的算法。
图9是根据本发明的一个实施例的用于形成用于掩模制造工艺的名义上的掩模误差模型的方法步骤的流程图。在可选的步骤910中,用于测试掩模的测试图案由光刻模拟系统生成。在步骤912中,采用OPC和其他RET处理测试图案,以产生OPC后的测试掩模布局。在可选的步骤914中,根据所述测试图案确定采样位置,并生成采样方案。在步骤916中,获得根据OPC后的测试掩模布局所制造的测试掩模,并根据所述采样方案在掩模检验工具中检验测试掩模,如果可行则产生掩模检验数据。在步骤918中,从掩模检验数据中提取物理掩模数据,并基于在所提取的物理掩模数据和OPC后的掩模布局之间的差别确定系统掩模误差数据。数据拟合过程根据所述系统掩模误差数据确定对于名义上的掩模误差模型的系统掩模误差参数的优化值。所述数据拟合过程将系统掩模误差参数用作被优化的拟合参数,以便提供在掩模检验数据和OPC后的掩模布局之间的最佳吻合度。在步骤920中,生成名义上的掩模误差模型,在所述名义上的掩模误差模型中,名义上的掩模误差模型的系统掩模误差参数对用于制造所述测试掩模的掩模制造工艺进行特征化。
图10A是根据本发明的另一个实施例的用于形成掩模制造工艺的名义上的掩模误差模型的方法步骤的流程图。在步骤1010中,产生线宽、间距和取向变化的测试掩模布局。在步骤1012中,选择将被特征化的掩模技术和掩模车间。在一个实施例中,也选择具体的掩模制造工具。在步骤1014中,获得根据测试掩模布局、采用所选择的掩模技术和掩模车间制造的测试掩模。在步骤1016中,采用CD-SEM工具直接测量在测试掩模上的线宽(CD)。在步骤1018中,所测量的掩模CD通过在曝光场上的间距与设计CD值相比。在步骤1020中,采用系统掩模误差数据基于所测量的掩模CD值和设计CD值之间的差别生成用于名义上的掩模误差模型的系统掩模误差参数。所述名义上的掩模误差模型是可以被用于对所选择的掩模车间和掩模技术进行特征化的模型。
图10B是根据本发明的另一个实施例的用于形成掩模制造工艺的名义上的掩模误差模型的方法步骤的流程图。在步骤1030中,产生线宽、间距和取向变化的测试掩模布局。在步骤1032中,选择将被特征化的掩模技术和掩模车间。在一个实施例中,也选择具体的掩模制造工具。在步骤1034中,获得根据测试掩模布局采用所选择的掩模技术和掩模车间制造的测试掩模。然后,在步骤1036中,采用图像传感器阵列测量在在曝光工具中由所述测试掩模产生的空间图像。在步骤1038中,采用曝光工具的光学模型从所测量的空间图像中提取测试掩模线宽(CD)。在步骤1040中,所得到的测试掩模CD值通过在曝光场上的间距与设计CD值相比。在步骤1042中,采用系统掩模误差数据、基于所得到的测试掩模CD值和设计CD值之间的差别生成用于名义上的掩模误差模型的系统掩模误差参数。所述名义上的掩模误差模型是可以被用于对所选择的掩模车间和掩模技术进行特征化的模型。
以上,本发明已经参照具体的实施例进行了描述。然而,应当理解,在不偏离如所附的权利要求所提出的本发明的更为宽泛的精神和保护范围的情况下,可以对此进行各种修改和变化。相应地,以上的描述和附图都被看作说明性的,而不是限制性的。

Claims (12)

1.一种掩模验证方法,包括步骤:
从采用掩模布局数据制造的掩模获得掩模检验数据;
确定所述掩模检验数据和所述掩模布局数据之间的差别;
基于所述掩模检验数据和所述掩模布局数据之间的差别生成系统掩模误差数据;以及
基于所述系统掩模误差数据为独立的掩模误差模型生成系统掩模误差参数。
2.根据权利要求1所述的方法,还包括步骤:
采用所述独立的掩模误差模型模拟光刻过程以产生模拟结果,其中所述独立的掩模误差模型具有系统掩模误差参数;以及
分析所述模拟结果以评估光刻过程的工艺窗口。
3.根据权利要求1所述的方法,还包括步骤:
采用所述独立的掩模误差模型模拟光刻过程以产生模拟结果,其中所述独立的掩模误差模型具有系统掩模误差参数;以及
分析所述模拟结果以验证所述掩模。
4.根据权利要求1所述的方法,还包括步骤:
采用所述独立的掩模误差模型模拟光刻过程以产生模拟结果,其中所述独立的掩模误差模型具有系统掩模误差参数;以及
将所述模拟结果与设计目标进行比较。
5.根据权利要求1所述的方法,还包括步骤:
采用所述独立的掩模误差模型模拟光刻过程以产生模拟结果,其中所述独立的掩模误差模型具有系统掩模误差参数;
采用名义上的掩模误差模型模拟光刻过程以产生名义上的模拟结果;以及
将所述模拟结果和所述名义上的模拟结果进行比较,以验证所述掩模。
6.根据权利要求1所述的方法,还包括步骤:
采用所述独立的掩模误差模型模拟光刻过程以产生模拟结果,其中所述独立的掩模误差模型具有系统掩模误差参数;
采用光学邻近效应校正后的掩模布局模拟光刻过程以产生理想的模拟结果;以及
将所述模拟结果和所述理想的模拟结果进行比较,以验证所述掩模。
7.根据权利要求1所述的方法,其中所述系统掩模误差参数选自由线宽偏离、相位偏离、拐角的倒圆角半径、线边缘的粗糙度、蚀刻深度和侧壁夹角组成的组。
8.根据权利要求1所述的方法,其中,所述掩模检验数据通过采用量测工具直接测量所述掩模的图案量测而获得,所述量测工具选自由临界尺寸扫描电子显微镜工具、成像扫描电子显微镜工具、原子力显微镜工具、OCD工具、光学显微镜、相移干涉仪和偏振光椭圆率测量仪组成的组。
9.根据权利要求1所述的方法,其中所述掩模检验数据通过采用设置在曝光工具中的图像传感器阵列确定由曝光工具产生的空间图像以及掩模的图案量测而获得。
10.根据权利要求1所述的方法,其中所述掩模检验数据通过采用检验工具确定掩模的图案量测而获得,所述检验工具选自由光学掩模检验工具、电子束掩模检验工具、以及空间图像测量系统组成的组。
11.根据权利要求1所述的方法,其中所述掩模布局数据是电路设计数据。
12.根据权利要求1所述的方法,其中所述掩模布局数据是测试图案数据。
CN2006800419911A 2005-09-09 2006-09-08 采用独立掩模误差模型的掩模验证系统和方法 Expired - Fee Related CN101305320B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US71983705P 2005-09-09 2005-09-09
US60/719,837 2005-09-09
PCT/US2006/035007 WO2007030704A2 (en) 2005-09-09 2006-09-08 System and method for mask verification using an individual mask error model

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201210140632.9A Division CN102662309B (zh) 2005-09-09 2006-09-08 采用独立掩模误差模型的掩模验证系统和方法

Publications (2)

Publication Number Publication Date
CN101305320A CN101305320A (zh) 2008-11-12
CN101305320B true CN101305320B (zh) 2012-07-04

Family

ID=37836510

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2006800419911A Expired - Fee Related CN101305320B (zh) 2005-09-09 2006-09-08 采用独立掩模误差模型的掩模验证系统和方法
CN201210140632.9A Expired - Fee Related CN102662309B (zh) 2005-09-09 2006-09-08 采用独立掩模误差模型的掩模验证系统和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201210140632.9A Expired - Fee Related CN102662309B (zh) 2005-09-09 2006-09-08 采用独立掩模误差模型的掩模验证系统和方法

Country Status (6)

Country Link
US (2) US7587704B2 (zh)
EP (1) EP1941321A2 (zh)
JP (2) JP4954211B2 (zh)
KR (1) KR100982135B1 (zh)
CN (2) CN101305320B (zh)
WO (1) WO2007030704A2 (zh)

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
CN101213489B (zh) 2005-04-26 2015-05-13 瑞萨电子株式会社 半导体装置及其制造方法和半导体制造用掩模、光接近处理方法
KR100642417B1 (ko) * 2005-09-20 2006-11-03 주식회사 하이닉스반도체 레이어 대 레이어 검사방법을 이용한 광학근접보정검증방법
KR100725170B1 (ko) * 2005-11-15 2007-06-04 삼성전자주식회사 포토마스크의 제작을 위한 시스템 및 방법
US7631286B2 (en) * 2005-12-30 2009-12-08 Wafertech Llc Automated metrology recipe generation
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
KR100706813B1 (ko) * 2006-02-13 2007-04-12 삼성전자주식회사 반도체 장치의 패턴 배치 방법
US7735056B2 (en) * 2006-03-30 2010-06-08 Texas Instruments Incorporated Automated circuit design dimension change responsive to low contrast condition determination in photomask phase pattern
WO2007133755A2 (en) * 2006-05-15 2007-11-22 Rudolph Technologies, Inc. Structure model description and use for scatterometry-based semiconductor manufacturing process metrology
US8041440B2 (en) * 2006-07-13 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for providing a selection of golden tools for better defect density and product yield
US8111901B2 (en) * 2006-08-14 2012-02-07 Asml Masktools B.V. Apparatus and method for separating a circuit pattern into multiple circuit patterns
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
KR100818999B1 (ko) * 2006-10-09 2008-04-02 삼성전자주식회사 마스크 제작 방법
US7962864B2 (en) * 2007-05-24 2011-06-14 Applied Materials, Inc. Stage yield prediction
US8924904B2 (en) * 2007-05-24 2014-12-30 Applied Materials, Inc. Method and apparatus for determining factors for design consideration in yield analysis
US7937179B2 (en) * 2007-05-24 2011-05-03 Applied Materials, Inc. Dynamic inline yield analysis and prediction of a defect limited yield using inline inspection defects
US8799831B2 (en) * 2007-05-24 2014-08-05 Applied Materials, Inc. Inline defect analysis for sampling and SPC
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
US7669171B2 (en) * 2007-09-05 2010-02-23 United Miceoelectronics Corp. Prediction model and prediction method for exposure dose
US7617478B2 (en) * 2007-09-25 2009-11-10 Synopsys, Inc. Flash-based anti-aliasing techniques for high-accuracy high efficiency mask synthesis
US7707539B2 (en) * 2007-09-28 2010-04-27 Synopsys, Inc. Facilitating process model accuracy by modeling mask corner rounding effects
JP4511582B2 (ja) * 2007-11-07 2010-07-28 シャープ株式会社 マスクパターンの補正方法、フォトマスク、および半導体装置の製造方法
US8059884B2 (en) * 2007-11-08 2011-11-15 International Business Machines Corporation Method and system for obtaining bounds on process parameters for OPC-verification
US8572524B2 (en) * 2007-11-21 2013-10-29 Globalfoundries Singapore Pte. Ltd. Statistical optical proximity correction
JP4991499B2 (ja) * 2007-11-28 2012-08-01 株式会社日立ハイテクノロジーズ レチクル検査装置及びレチクル検査方法
US20090144692A1 (en) * 2007-11-30 2009-06-04 Cain Jason P Method and apparatus for monitoring optical proximity correction performance
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP2009139632A (ja) * 2007-12-06 2009-06-25 Elpida Memory Inc マスクパターン補正方法及び露光用マスク
NL1036335A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Device manufacturing method, lithographic system, lithographic apparatus and design for manufacturing system.
JP5252932B2 (ja) * 2008-01-18 2013-07-31 株式会社東芝 半導体装置の製造方法
US20090204936A1 (en) * 2008-02-11 2009-08-13 Werner Jacobs Method of Performing Proximity Correction
JP2009251500A (ja) * 2008-04-10 2009-10-29 Toshiba Corp パターンの検証方法、パターンの形成方法、半導体装置の製造方法及びプログラム
EP2281279B1 (en) * 2008-04-18 2015-11-04 Coinsecure, Inc. Apparatus for producing optical signatures from coinage
US20090295912A1 (en) * 2008-05-12 2009-12-03 Coinsecure, Inc. Coin edge imaging device
US7974819B2 (en) * 2008-05-13 2011-07-05 Aptina Imaging Corporation Methods and systems for intensity modeling including polarization
JP2009282319A (ja) * 2008-05-22 2009-12-03 Toshiba Corp パターン検証方法、パターン検証システム、パターン検証プログラム、マスク製造方法、および半導体装置の製造方法
US8000922B2 (en) * 2008-05-29 2011-08-16 Kla-Tencor Corp. Methods and systems for generating information to be used for selecting values for one or more parameters of a detection algorithm
JP5225463B2 (ja) 2008-06-03 2013-07-03 エーエスエムエル ネザーランズ ビー.ブイ. レンズ加熱補償方法
CN102057329B (zh) * 2008-06-03 2013-08-21 Asml荷兰有限公司 基于模型的过程模拟的方法
US8806396B2 (en) * 2008-06-24 2014-08-12 Cadence Design Systems, Inc. Method and system performing circuit design predictions
US8542340B2 (en) 2008-07-07 2013-09-24 Asml Netherlands B.V. Illumination optimization
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
JP2010034402A (ja) * 2008-07-30 2010-02-12 Toshiba Corp パターン形状予測方法
DE102008049880A1 (de) * 2008-09-30 2010-04-08 Carl Zeiss Sms Gmbh Verfahren zur Verbesserung des Abbildungsverhaltens von Masken für die Photolithographie
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8092122B2 (en) 2008-11-10 2012-01-10 Reynolds Consumer Products, Inc. Connection device for fastening expanded cell confinement structures and methods for doing the same
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
CN102224459B (zh) * 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8463419B2 (en) 2009-01-22 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improved automated semiconductor wafer manufacturing
US8136054B2 (en) * 2009-01-29 2012-03-13 Synopsys, Inc. Compact abbe's kernel generation using principal component analysis
US8191016B2 (en) * 2009-02-23 2012-05-29 Cadence Design Systems, Inc. System and method for compressed post-OPC data
US8103984B1 (en) * 2009-02-23 2012-01-24 Cadence Design Systems, Inc. System and method for compressed design phase contour data
JP2010211046A (ja) * 2009-03-11 2010-09-24 Toshiba Corp パターン検証方法およびパターン検証プログラム
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
KR101113325B1 (ko) * 2009-05-29 2012-03-14 주식회사 하이닉스반도체 광근접 보정 검증 방법
CN102033423B (zh) * 2009-09-28 2013-05-29 中芯国际集成电路制造(上海)有限公司 用于校准光刻工具的装置及方法
CN102043326B (zh) * 2009-10-20 2012-07-11 中芯国际集成电路制造(上海)有限公司 掩模版图形校正方法
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
CN102096309B (zh) * 2009-12-15 2012-07-11 中芯国际集成电路制造(上海)有限公司 光学邻近校正方法
JP2011129756A (ja) * 2009-12-18 2011-06-30 Toshiba Corp マスクパターンの生成方法、マスクパターン生成プログラム及び半導体装置の製造方法
JP5248540B2 (ja) * 2010-02-12 2013-07-31 株式会社東芝 マスク検証方法、半導体装置の製造方法およびマスク検証プログラム
CN104317159A (zh) * 2010-03-03 2015-01-28 中芯国际集成电路制造(上海)有限公司 一种掩膜图形缺陷的检测方法及系统
CN102193302A (zh) * 2010-03-03 2011-09-21 中芯国际集成电路制造(上海)有限公司 一种掩膜图形缺陷的检测方法及系统
US9658527B2 (en) * 2010-07-12 2017-05-23 Carl Zeiss Sms Ltd. Correction of errors of a photolithographic mask using a joint optimization process
DE102010045135B4 (de) * 2010-09-10 2021-03-18 Carl Zeiss Meditec Ag Verfahren zur Ermittlung eines Platzierungsfehlers eines Strukturelements auf einer Maske, Verfahren zur Simulation eines Luftbildes aus Struktur-Vorgaben einer Maske und Positionsmessvorrichtung
US8495527B2 (en) * 2010-10-28 2013-07-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8429570B2 (en) * 2010-10-28 2013-04-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
FR2968780A1 (fr) * 2010-12-10 2012-06-15 St Microelectronics Crolles 2 Procede de determination d'un masque de gravure en niveaux de gris
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
CN102566291B (zh) * 2010-12-29 2015-04-29 中芯国际集成电路制造(上海)有限公司 投影掩膜版的测试系统
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
NL2008041A (en) * 2011-01-28 2012-07-31 Asml Netherlands Bv Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus.
KR20120101197A (ko) * 2011-02-08 2012-09-13 삼성전자주식회사 포토 마스크의 제조 방법
KR101866448B1 (ko) * 2011-02-10 2018-06-11 삼성전자주식회사 포토마스크 형성 방법, 이를 수행하는 프로그래밍된 명령을 저장하는 컴퓨터에서 판독 가능한 저장 매체 및 마스크 이미징 시스템
KR20130006740A (ko) * 2011-03-15 2013-01-18 삼성전자주식회사 패턴 cd 예측 방법 및 포토 마스크 형성 방법
JP2012252055A (ja) * 2011-05-31 2012-12-20 Toshiba Corp マスク検査方法、マスク作製方法および半導体装置の製造方法
US8572518B2 (en) * 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
DE102011078999A1 (de) * 2011-07-12 2013-01-17 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Positionsbestimmung von Strukturen auf einer Maske für die Mikrolithographie
KR101940843B1 (ko) * 2011-07-20 2019-01-21 칼 짜이스 에스엠에스 엘티디 포토리소그래픽 마스크의 임계 치수 변동을 결정하기 위한 방법 및 장치
CN102902154A (zh) * 2011-07-29 2013-01-30 上海华虹Nec电子有限公司 光学临近效应修正工艺模型的建模方法
NL2009056A (en) 2011-08-09 2013-02-12 Asml Netherlands Bv A lithographic model for 3d topographic wafers.
CN102540773B (zh) * 2011-08-29 2014-06-04 上海华力微电子有限公司 一种新型的利用曝光后烘烤的opc模型检验光刻工艺的方法
US20130080981A1 (en) * 2011-09-22 2013-03-28 Teng-Yen Huang Method for improving optical proximity simulation from exposure result
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US8607169B2 (en) * 2011-12-28 2013-12-10 Elitetech Technology Co., Ltd. Intelligent defect diagnosis method
CN103186032B (zh) * 2011-12-31 2016-01-13 无锡华润上华科技有限公司 光学邻近效应修正方法及相应的掩膜图形形成方法
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
JP5789275B2 (ja) 2012-02-03 2015-10-07 エーエスエムエル ネザーランズ ビー.ブイ. 3dレジストプロファイルのシミュレーション用のリソグラフィモデル
NL2010163A (en) 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
NL2010196A (en) 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
NL2010647A (en) 2012-05-04 2013-11-06 Asml Netherlands Bv Design rule and lithographic process co-optimization.
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US9240360B2 (en) 2012-07-25 2016-01-19 International Business Machines Corporation Run-to-run control utilizing virtual metrology in semiconductor manufacturing
CN103676490B (zh) * 2012-09-20 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种监控弱点形成原因的方法
NL2011592A (en) 2012-10-31 2014-05-06 Asml Netherlands Bv Compensation for patterning device deformation.
US8924896B2 (en) * 2013-01-31 2014-12-30 Globalfoundries Inc. Automated design layout pattern correction based on context-aware patterns
CN105074575B (zh) 2013-02-22 2018-06-22 Asml荷兰有限公司 用于三维图案形成装置的光刻模型
CN105008997B (zh) 2013-02-25 2017-03-08 Asml荷兰有限公司 离散源掩模优化
US9250535B2 (en) 2013-03-15 2016-02-02 International Business Machines Corporation Source, target and mask optimization by incorporating countour based assessments and integration over process variations
CN104516192B (zh) * 2013-09-30 2018-10-16 中芯国际集成电路制造(上海)有限公司 建立opc模型的方法、布局图形的检查方法
KR102137072B1 (ko) 2013-10-01 2020-07-24 에이에스엠엘 네델란즈 비.브이. 프로파일 인식 소스-마스크 최적화
KR102267475B1 (ko) 2013-10-10 2021-06-21 삼성전자주식회사 전자빔 노광 장치 및 이의 에러 검출 방법
KR101924487B1 (ko) 2013-12-17 2018-12-03 에이에스엠엘 네델란즈 비.브이. 수율 추산 및 제어
KR102246286B1 (ko) 2013-12-30 2021-04-30 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
SG11201604739RA (en) 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
CN104749899B (zh) * 2013-12-30 2017-02-01 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法
JP6312834B2 (ja) 2013-12-30 2018-04-18 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
CN103744265B (zh) * 2014-01-29 2016-09-07 上海华力微电子有限公司 改善工艺窗口的光学临近修正方法
CN105992975B (zh) 2014-02-11 2018-06-01 Asml荷兰有限公司 用于计算任意图案的随机变化的模型
WO2015124391A1 (en) 2014-02-21 2015-08-27 Asml Netherlands B.V. Measuring a process parameter for a manufacturing process involving lithography
WO2015136533A1 (en) * 2014-03-10 2015-09-17 Nova Measuring Instruments Ltd. Test structures and metrology technique utilizing the test structures for measuring in patterned structures
WO2015139951A1 (en) 2014-03-18 2015-09-24 Asml Netherlands B.V. Pattern placement error aware optimization
CN103885282B (zh) * 2014-03-20 2017-11-03 上海华力微电子有限公司 一种减少opc修正后验证误报错的方法
KR102006321B1 (ko) 2014-04-14 2019-08-01 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정들에 대한 최적화의 흐름들
WO2015165699A1 (en) 2014-05-02 2015-11-05 Asml Netherlands B.V. Reduction of hotspots of dense features
JP6491677B2 (ja) * 2014-06-10 2019-03-27 エーエスエムエル ネザーランズ ビー.ブイ. 計算的ウェーハ検査
US9552964B2 (en) * 2014-06-20 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with a pattern density-outlier-treatment for optimized pattern density uniformity
KR101939313B1 (ko) * 2014-06-25 2019-01-16 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
KR101986258B1 (ko) 2014-08-29 2019-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 타겟 및 기판
US9678435B1 (en) * 2014-09-22 2017-06-13 Mentor Graphics, A Siemens Business Horizontal development bias in negative tone development of photoresist
US10331039B2 (en) 2014-10-02 2019-06-25 Asml Netherlands B.V. Rule-based deployment of assist features
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
US10409165B2 (en) 2014-12-15 2019-09-10 Asml Netherlands B.V. Optimization based on machine learning
CN107111240A (zh) 2014-12-17 2017-08-29 Asml荷兰有限公司 使用图案形成装置形貌引入的相位的方法和设备
WO2016096346A1 (en) 2014-12-17 2016-06-23 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase
US20170315441A1 (en) 2014-12-17 2017-11-02 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase
WO2016096668A1 (en) 2014-12-17 2016-06-23 Asml Netherlands B.V. Hotspot aware dose correction
US10685158B2 (en) 2014-12-18 2020-06-16 Asml Netherlands B.V. Lithography model for 3D features
TWI620980B (zh) 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
EP3271783B1 (en) 2015-03-16 2021-04-07 ASML Netherlands B.V. Methods for determining resist deformation and methods for improving a lithographic process
KR102063229B1 (ko) 2015-05-29 2020-01-07 에이에스엠엘 네델란즈 비.브이. 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
US10859926B2 (en) 2015-06-16 2020-12-08 Asml Netherlands B.V. Methods for defect validation
KR102441582B1 (ko) 2015-07-23 2022-09-07 삼성전자주식회사 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
CN105068383B (zh) * 2015-08-05 2017-04-05 哈尔滨工业大学 一种微动台机械参数误差辨识方法
CN104977816B (zh) * 2015-08-05 2018-01-23 哈尔滨工业大学 基于Compact Particle Swarm Optimization算法的光刻机掩模台微动台的机械参数软测量方法
NL2017466A (en) 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
DE102015218917B4 (de) * 2015-09-30 2020-06-25 Carl Zeiss Smt Gmbh Verfahren zur Ermittlung einer Position eines Strukturelements auf einer Maske und Mikroskop zur Durchführung des Verfahrens
US10754256B2 (en) 2015-10-08 2020-08-25 Asml Netherlands B.V. Method and apparatus for pattern correction and verification
WO2017067765A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067757A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067752A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US11036146B2 (en) * 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
US20180314149A1 (en) * 2015-10-19 2018-11-01 Aslm Netherlands B.V. Method and apparatus to correct for patterning process error
CN105446883B (zh) * 2015-12-08 2018-05-08 中国航空工业集团公司西安航空计算技术研究所 一种基于数据配置工具的模型验证分析方法
WO2017102321A1 (en) 2015-12-14 2017-06-22 Cymer, Llc Optimization of source and bandwidth for new and existing patterning devices
US10663870B2 (en) 2015-12-18 2020-05-26 Asml Netherlands B.V. Gauge pattern selection
WO2017108432A1 (en) 2015-12-22 2017-06-29 Asml Netherlands B.V. Apparatus and method for process-window characterization
JP6697560B2 (ja) 2015-12-23 2020-05-20 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置
US11094502B2 (en) 2015-12-24 2021-08-17 Asml Netherlands B.V. Method and apparatus for inspection
WO2017114662A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Selection of measurement locations for patterning processes
US20170199511A1 (en) * 2016-01-12 2017-07-13 Globalfoundries Inc. Signal detection metholodogy for fabrication control
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US11112700B2 (en) 2016-03-24 2021-09-07 Asml Netherlands B.V. Optimization of a lithographic projection apparatus accounting for an interlayer characteristic
US10796063B2 (en) 2016-04-14 2020-10-06 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
WO2017194285A1 (en) 2016-05-12 2017-11-16 Asml Netherlands B.V. Displacement based overlay or alignment
US11443083B2 (en) 2016-05-12 2022-09-13 Asml Netherlands B.V. Identification of hot spots or defects by machine learning
KR102205364B1 (ko) 2016-05-17 2021-01-20 에이에스엠엘 네델란즈 비.브이. 관통-파장 유사성에 기초한 계측 견실성 향상 기술
US10896282B2 (en) 2016-07-12 2021-01-19 Asml Netherlands B.V. Visualization performance metrics of computational analyses of design layouts
CN109478023B (zh) 2016-07-15 2021-09-10 Asml荷兰有限公司 用于量测目标场的设计的方法和设备
WO2018015181A1 (en) 2016-07-22 2018-01-25 Asml Netherlands B.V. Method of predicting patterning defects caused by overlay error
US9934564B2 (en) * 2016-08-04 2018-04-03 The Boeing Company Methods and systems to analyze optical images for quantification of manufacturing part quality
CN109844643A (zh) 2016-08-19 2019-06-04 Asml荷兰有限公司 对曝光后过程进行建模
EP3291007A1 (en) 2016-08-30 2018-03-07 ASML Netherlands B.V. Patterning stack optimization
WO2018050432A1 (en) 2016-09-13 2018-03-22 Asml Netherlands B.V. Optimization of a lithography apparatus or patterning process based on selected aberration
DE102016218977B4 (de) * 2016-09-30 2020-11-12 Carl Zeiss Smt Gmbh Verfahren zur Ermittlung eines OPC-Modells
US11681849B2 (en) 2016-10-24 2023-06-20 Asml Netherlands B.V. Method for optimizing a patterning device pattern
US10671786B2 (en) * 2016-11-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask by taking into account of mask pattern edge interaction
KR102304317B1 (ko) 2016-12-01 2021-09-24 에이에스엠엘 네델란즈 비.브이. 패턴 구성을 위한 방법 및 시스템
CN110050230B (zh) 2016-12-02 2021-06-11 Asml荷兰有限公司 用于估计随机变量的模型
KR102280532B1 (ko) 2016-12-23 2021-07-22 에이에스엠엘 네델란즈 비.브이. 패턴 충실도 제어를 위한 방법 및 장치
KR102370271B1 (ko) 2016-12-28 2022-03-04 에이에스엠엘 네델란즈 비.브이. 제조 공정 시 공정 모델들의 안내 및 검사 방법들
US11029609B2 (en) 2016-12-28 2021-06-08 Asml Netherlands B.V. Simulation-assisted alignment between metrology image and design
KR102260942B1 (ko) * 2016-12-28 2021-06-04 에이에스엠엘 홀딩 엔.브이. 계측 툴 및 계측 툴 사용 방법
WO2018125219A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Systems, methods, and apparatuses for implementing geometric kernel based machine learning for reducing opc model error
WO2018138123A1 (en) 2017-01-26 2018-08-02 Asml Netherlands B.V. Methods of tuning process models
EP3358413A1 (en) * 2017-02-02 2018-08-08 ASML Netherlands B.V. Metrology method, apparatus and computer program
US10861755B2 (en) 2017-02-08 2020-12-08 Verity Instruments, Inc. System and method for measurement of complex structures
KR102449586B1 (ko) * 2017-02-24 2022-10-04 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
WO2018206275A1 (en) 2017-05-12 2018-11-15 Asml Netherlands B.V. Methods for evaluating resist development
CN108931883B (zh) * 2017-05-17 2022-06-21 东方晶源微电子科技(北京)有限公司 一种优化掩模版图的方法
US10599046B2 (en) * 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
WO2018224349A1 (en) 2017-06-06 2018-12-13 Asml Netherlands B.V. Measurement method and apparatus
KR102488912B1 (ko) 2017-07-12 2023-01-17 에이에스엠엘 네델란즈 비.브이. 결함 예측
CN110998449B (zh) 2017-08-07 2022-03-01 Asml荷兰有限公司 计算量测
EP3441819A1 (en) 2017-08-07 2019-02-13 ASML Netherlands B.V. Computational metrology
JP7438105B2 (ja) 2017-09-27 2024-02-26 エーエスエムエル ネザーランズ ビー.ブイ. デバイス製造方法の制御パラメータを決定する方法、コンピュータプログラム、および、基板にデバイスを製造するためのシステム
EP3462240A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
US10495967B2 (en) * 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
US20200286710A1 (en) 2017-09-29 2020-09-10 Asml Netherlands B.V. Dynamic determination of a sample inspection recipe of charged particle beam inspection
US10386726B2 (en) * 2017-09-29 2019-08-20 Globalfoundries Inc. Geometry vectorization for mask process correction
KR102440220B1 (ko) 2017-10-11 2022-09-06 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
US10671052B2 (en) 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Synchronized parallel tile computation for large area lithography simulation
CN111433680B (zh) 2017-12-04 2023-01-20 Asml荷兰有限公司 用于预测层变形的系统和方法
EP3492983A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Systems and methods for predicting layer deformation
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
KR20230048170A (ko) 2017-12-19 2023-04-10 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 기법 기반 정정 및 제어
TWI687781B (zh) 2017-12-22 2020-03-11 荷蘭商Asml荷蘭公司 用於減少光阻模型預測錯誤之系統及方法
US11079687B2 (en) 2017-12-22 2021-08-03 Asml Netherlands B.V. Process window based on defect probability
US11422472B2 (en) 2017-12-22 2022-08-23 Asml Netherlands B.V. Patterning process improvement involving optical aberration
US11635698B2 (en) 2018-01-24 2023-04-25 Asml Netherlands B.V. Computational metrology based sampling scheme
WO2019145278A1 (en) 2018-01-26 2019-08-01 Asml Netherlands B.V. Pre-scan feature determination methods and systems
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
EP3531206A1 (en) 2018-02-23 2019-08-28 ASML Netherlands B.V. Systems and methods for improving resist model predictions
CN111886606A (zh) 2018-02-23 2020-11-03 Asml荷兰有限公司 用于图案的语义分段的深度学习
WO2019162280A1 (en) 2018-02-23 2019-08-29 Asml Netherlands B.V. Guided patterning device inspection
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN112005347A (zh) 2018-04-10 2020-11-27 朗姆研究公司 抗蚀剂和蚀刻建模
KR20200130870A (ko) 2018-04-10 2020-11-20 램 리써치 코포레이션 피처들을 특징화하기 위한 머신 러닝의 광학 계측
CN118011743A (zh) 2018-06-04 2024-05-10 Asml荷兰有限公司 利用模型基础对准来改善边缘放置量测准确度
WO2019238372A1 (en) 2018-06-15 2019-12-19 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration
KR102529085B1 (ko) 2018-06-25 2023-05-08 에이에스엠엘 네델란즈 비.브이. 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
US10867112B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
KR102609413B1 (ko) 2018-07-12 2023-12-04 에이에스엠엘 네델란즈 비.브이. 자동으로 sem 윤곽 측정 정확성 및 안정성을 개선하기 위한 패턴 인식 활용
WO2020020759A1 (en) 2018-07-26 2020-01-30 Asml Netherlands B.V. Method for determining an etch profile of a layer of a wafer for a simulation system
WO2020035285A1 (en) 2018-08-15 2020-02-20 Asml Netherlands B.V. Utilize machine learning in selecting high quality averaged sem images from raw images automatically
CN108919601A (zh) * 2018-08-23 2018-11-30 中国科学院微电子研究所 一种掩模参数的优化方法及装置
EP3614194A1 (en) 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
US11953823B2 (en) 2018-08-31 2024-04-09 Asml Netherlands B.V. Measurement method and apparatus
TW202020577A (zh) 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
US20210357566A1 (en) 2018-10-17 2021-11-18 Asml Netherland B.V. Methods for generating characteristic pattern and training machine learning model
WO2020078844A1 (en) 2018-10-19 2020-04-23 Asml Netherlands B.V. Method to create the ideal source spectra with source and mask optimization
WO2020094387A1 (en) 2018-11-05 2020-05-14 Asml Holding N.V. A method to manufacture nano ridges in hard ceramic coatings
WO2020094389A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
EP3650940A1 (en) 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
CN113168085A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
JP7209835B2 (ja) 2018-11-30 2023-01-20 エーエスエムエル ネザーランズ ビー.ブイ. 機械学習モデル予測における不確実性を減少させる方法
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
US20220028052A1 (en) 2018-12-14 2022-01-27 Asml Netherlands B.V. Apparatus and method for grouping image patterns to determine wafer behavior in a patterning process
US11797748B2 (en) 2018-12-28 2023-10-24 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
KR20230175346A (ko) 2018-12-28 2023-12-29 에이에스엠엘 네델란즈 비.브이. 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
US11709988B2 (en) 2018-12-31 2023-07-25 Asml Netherlands B.V. Method for predicting resist deformation
US11561478B2 (en) 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
US10996572B2 (en) * 2019-02-15 2021-05-04 Applied Materials, Inc. Model based dynamic positional correction for digital lithography tools
NL2024815A (en) 2019-02-19 2020-08-27 Asml Holding Nv Laser roughening: engineering the roughness of the burl top
KR102641682B1 (ko) 2019-02-20 2024-02-27 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스의 제조 프로세스를 특성화하기 위한 방법
KR20210116613A (ko) 2019-02-21 2021-09-27 에이에스엠엘 네델란즈 비.브이. 마스크에 대한 광학 근접 보정을 결정하기 위한 머신 러닝 모델의 트레이닝 방법
US11567413B2 (en) 2019-02-25 2023-01-31 Asml Netherlands B.V. Method for determining stochastic variation of printed patterns
KR102642972B1 (ko) 2019-02-27 2024-03-04 에이에스엠엘 네델란즈 비.브이. 모델 캘리브레이션을 위한 게이지 선택의 향상
WO2020177979A1 (en) 2019-03-03 2020-09-10 Asml Netherlands B.V. Method and apparatus for imaging using narrowed bandwidth
CN113544592A (zh) 2019-03-08 2021-10-22 Asml荷兰有限公司 用于衍射图案引导的源掩模优化的方法和设备
US20220179321A1 (en) 2019-03-25 2022-06-09 Asml Netherlands B.V. Method for determining pattern in a patterning process
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
KR102648599B1 (ko) 2019-04-09 2024-03-15 에이에스엠엘 네델란즈 비.브이. 설비 위치들 사이에서 예측 모델을 조절하기 위한 시스템 및 방법
US10990019B2 (en) 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning
CN113924525A (zh) 2019-04-15 2022-01-11 Asml荷兰有限公司 用于确定对掩模的特征的校正的方法
CN113728276A (zh) 2019-04-25 2021-11-30 Asml荷兰有限公司 用于基于缺陷来确定图案化过程的特性以减少热点的方法
MY197343A (en) * 2019-04-29 2023-06-14 Mi Equipment M Sdn Bhd A method for inspecting a skeleton wafer
EP3963404B1 (en) 2019-04-30 2023-01-25 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
KR20210150574A (ko) 2019-05-21 2021-12-10 에이에스엠엘 네델란즈 비.브이. 원하는 패턴과 관련된 확률적 변화를 결정하기 위한 방법
US20220276563A1 (en) 2019-07-10 2022-09-01 Asml Netherlands B.V. Prediction data selection for model calibration to reduce model prediction uncertainty
KR20210008678A (ko) 2019-07-15 2021-01-25 삼성전자주식회사 포토 마스크의 제조 방법 및 반도체 장치의 제조 방법
US20220276564A1 (en) 2019-08-08 2022-09-01 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
US20220284344A1 (en) 2019-08-13 2022-09-08 Asml Netherlands B.V. Method for training machine learning model for improving patterning process
CN114286964A (zh) 2019-08-20 2022-04-05 Asml荷兰有限公司 用于改善图像中的结构的基于过程的轮廓信息的方法
CN112433443A (zh) * 2019-08-26 2021-03-02 上海凸版光掩模有限公司 适用于jbx光刻机的图案修正方法、装置、介质、及系统
KR20220038167A (ko) 2019-08-30 2022-03-25 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스 지오메트리 방법 및 시스템
KR20220039802A (ko) 2019-09-03 2022-03-29 에이에스엠엘 네델란즈 비.브이. 패턴들의 수차 감도를 결정하는 방법
EP3789826A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. Method for determining defectiveness of pattern based on after development image
WO2021043936A1 (en) 2019-09-05 2021-03-11 Asml Netherlands B.V. Method for determining defectiveness of pattern based on after development image
CN114341885A (zh) 2019-09-06 2022-04-12 Asml荷兰有限公司 用于增加参数化模型预测的确定性的方法
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
WO2021052712A1 (en) * 2019-09-16 2021-03-25 Asml Netherlands B.V. Methods for generating characteristic pattern and training machine learning model
EP3822703A1 (en) 2019-11-18 2021-05-19 ASML Netherlands B.V. Method for determining a field-of-view setting
EP4042244A1 (en) 2019-10-08 2022-08-17 ASML Netherlands B.V. Method for determining a field-of-view setting
KR20220069075A (ko) 2019-10-24 2022-05-26 에이에스엠엘 네델란즈 비.브이. 타겟 패턴의 규칙 기반 리타겟팅 방법
WO2021083608A1 (en) 2019-11-01 2021-05-06 Asml Netherlands B.V. Machine learning based image generation for model base alignments
WO2021091838A1 (en) * 2019-11-04 2021-05-14 Synopsys, Inc. Using mask fabrication models in correction of lithographic masks
KR20220092598A (ko) 2019-11-04 2022-07-01 시놉시스, 인크. 리소그래피 마스크들의 보정에서의 마스크 제조 모델들의 사용
CN114787715A (zh) 2019-12-02 2022-07-22 西默有限公司 用于增强成像到衬底上的图案的目标特征的方法和系统
KR20220097502A (ko) 2019-12-13 2022-07-07 에이에스엠엘 네델란즈 비.브이. 마스크 패턴 생성의 일관성을 개선하기 위한 방법
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
DE102020104167B4 (de) * 2020-02-18 2023-01-26 Carl Zeiss Smt Gmbh Verfahren zur Vermessung von Photomasken
CN115104068A (zh) 2020-02-21 2022-09-23 Asml荷兰有限公司 用于以基于缺陷的过程窗口为基础的校准模拟过程的方法
CN115190985A (zh) 2020-03-03 2022-10-14 Asml荷兰有限公司 基于机器学习的亚分辨率辅助特征放置
KR20230004633A (ko) 2020-05-14 2023-01-06 에이에스엠엘 네델란즈 비.브이. 확률적 기여자를 예측하는 방법
EP3910418A1 (en) 2020-05-14 2021-11-17 ASML Netherlands B.V. Method for direct decomposition of stochastic contributors
KR20230005381A (ko) 2020-06-03 2023-01-09 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 및 이에 대한 패턴을 생성하는 시스템, 제품, 및 방법
CN115715381A (zh) 2020-06-10 2023-02-24 Asml荷兰有限公司 像差影响系统、模型和制造过程
CN115836252A (zh) 2020-06-24 2023-03-21 Asml荷兰有限公司 用于确定辅助特征的印制概率的系统、方法和产品及其应用
WO2022002599A1 (en) 2020-07-03 2022-01-06 Asml Netherlands B.V. Process window based on failure rate
CN116157744A (zh) 2020-07-22 2023-05-23 Asml荷兰有限公司 用于控制制造工艺的方法和相关联的装置
EP3945367A1 (en) 2020-07-31 2022-02-02 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
KR20230051509A (ko) 2020-08-19 2023-04-18 에이에스엠엘 네델란즈 비.브이. 원시 이미지들로부터 고품질 이미지들을 자동으로 선택하는 장치 및 방법
EP4200671A1 (en) 2020-08-19 2023-06-28 ASML Netherlands B.V. Systems, products, and methods for image-based pattern selection
US11704471B2 (en) * 2020-09-16 2023-07-18 Synopsys, Inc. Three-dimensional mask simulations based on feature images
WO2022064016A1 (en) 2020-09-25 2022-03-31 Asml Netherlands B.V. Optimization of scanner throughput and imaging quality for a patterning process
CN116529764A (zh) 2020-11-20 2023-08-01 Asml荷兰有限公司 仿真辅助的量测图像对准
US20240004305A1 (en) 2020-12-18 2024-01-04 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
WO2022135819A1 (en) 2020-12-21 2022-06-30 Asml Netherlands B.V. Feature extraction method for extracting feature vectors for identifying pattern objects
CN116635785A (zh) 2020-12-21 2023-08-22 Asml荷兰有限公司 用于图案区域的基于特征的单元提取
EP4020085A1 (en) 2020-12-22 2022-06-29 ASML Netherlands B.V. Machine learning based image generation of after-development or after-etch images
US20240045341A1 (en) 2020-12-23 2024-02-08 Asml Netherlands B.V. Optimization of lithographic process based on bandwidth and speckle
KR20230147096A (ko) 2021-02-23 2023-10-20 에이에스엠엘 네델란즈 비.브이. 타겟 패턴 및 기준 층 패턴을 사용하여 마스크에 대한 광 근접 보정을 결정하는 기계 학습 모델
WO2022184578A1 (en) 2021-03-03 2022-09-09 Asml Netherlands B.V. Configuration of patterning process
WO2022189180A1 (en) 2021-03-08 2022-09-15 Asml Netherlands B.V. Method of pattern selection for a semiconductor manufacturing related process
WO2022248217A1 (en) 2021-05-25 2022-12-01 Asml Netherlands B.V. Determining mask rule check violations and mask design
WO2022258398A1 (en) 2021-06-07 2022-12-15 Asml Netherlands B.V. Determining rounded contours for lithography related patterns
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
WO2023280511A1 (en) 2021-07-06 2023-01-12 Asml Netherlands B.V. Determining localized image prediction errors to improve a machine learning model in predicting an image
WO2023001459A1 (en) 2021-07-21 2023-01-26 Asml Netherlands B.V. Systems and methods for thermally stable mounting of optical columns
KR20240044432A (ko) 2021-08-10 2024-04-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭
KR20240063109A (ko) 2021-09-02 2024-05-09 에이에스엠엘 네델란즈 비.브이. 선택된 패턴 세트를 평가하는 방법
KR20240064651A (ko) 2021-09-22 2024-05-13 에이에스엠엘 네델란즈 비.브이. 패턴 선택 시스템들 및 방법들
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
EP4194950A1 (en) 2021-12-08 2023-06-14 ASML Netherlands B.V. Systems and methods for reducing pattern shift in a lithographic apparatus
WO2023104504A1 (en) 2021-12-09 2023-06-15 Asml Netherlands B.V. Surrounding pattern and process aware metrology
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110347A1 (en) 2021-12-16 2023-06-22 Asml Netherlands B.V. Systems and methods for optimizing lithographic design variables using image-based failure rate model
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
TW202401145A (zh) * 2022-03-17 2024-01-01 美商應用材料股份有限公司 用於傾斜光柵的阻劑建模方法
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024012800A1 (en) 2022-07-11 2024-01-18 Asml Netherlands B.V. Systems and methods for predicting post-etch stochastic variation
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024013273A1 (en) 2022-07-14 2024-01-18 Asml Netherlands B.V. Determining mask rule check violations and mask design based on local feature dimension
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024022854A1 (en) 2022-07-28 2024-02-01 Asml Netherlands B.V. Training a machine learning model to generate mrc and process aware mask pattern
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024037837A1 (en) 2022-08-18 2024-02-22 Asml Netherlands B.V. Suppressing specular reflection of mask absorber and on- resolution field stitching
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes
WO2024046691A1 (en) 2022-09-02 2024-03-07 Asml Netherlands B.V. Method for configuring a field of view of an inspection apparatus
WO2024088666A1 (en) 2022-10-26 2024-05-02 Asml Netherlands B.V. Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes
WO2024094385A1 (en) 2022-10-31 2024-05-10 Asml Netherlands B.V. Source optimization for mitigating mask error impact

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1498418A (zh) * 2001-03-20 2004-05-19 数字技术股份有限公司 提供掩模缺陷可印刷能力分析的系统和方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6516085B1 (en) 1999-05-03 2003-02-04 Kla-Tencor Apparatus and methods for collecting global data during a reticle inspection
JP2002323749A (ja) * 2001-04-25 2002-11-08 Dainippon Printing Co Ltd フォトマスクの欠陥部ないし修正後の欠陥部の判定方法
JP2003302743A (ja) 2002-04-12 2003-10-24 Dainippon Printing Co Ltd フォトマスクの検査方法
US6828542B2 (en) * 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
WO2004008245A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
JP2004163472A (ja) * 2002-11-08 2004-06-10 Sony Corp フォトマスクの設計方法、フォトマスク、及び半導体装置
US20040225488A1 (en) * 2003-05-05 2004-11-11 Wen-Chuan Wang System and method for examining mask pattern fidelity
US6934930B2 (en) * 2003-07-08 2005-08-23 Texas Instruments Incorporated Generating an optical model for lens aberrations
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP3959383B2 (ja) * 2003-10-17 2007-08-15 株式会社東芝 露光装置補正システム、露光装置補正方法及び半導体装置製造方法
JP4758358B2 (ja) * 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US7914949B2 (en) 2005-02-24 2011-03-29 International Business Machines Corporation Method for testing a photomask
JP2006235327A (ja) 2005-02-25 2006-09-07 Toshiba Corp マスクパターンデータ・マスク検査データ作成方法、及びフォトマスクの製造・検査方法
KR100958714B1 (ko) * 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
JP2007233164A (ja) 2006-03-02 2007-09-13 Toshiba Corp フォトマスクの作成方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1498418A (zh) * 2001-03-20 2004-05-19 数字技术股份有限公司 提供掩模缺陷可印刷能力分析的系统和方法

Also Published As

Publication number Publication date
KR100982135B1 (ko) 2010-09-14
US7587704B2 (en) 2009-09-08
KR20080064830A (ko) 2008-07-09
US7617477B2 (en) 2009-11-10
WO2007030704A2 (en) 2007-03-15
CN102662309A (zh) 2012-09-12
JP4954211B2 (ja) 2012-06-13
JP5334956B2 (ja) 2013-11-06
EP1941321A2 (en) 2008-07-09
JP2009508167A (ja) 2009-02-26
CN101305320A (zh) 2008-11-12
JP2011100149A (ja) 2011-05-19
WO2007030704A3 (en) 2007-05-10
US20070061773A1 (en) 2007-03-15
CN102662309B (zh) 2014-10-01
US20070061772A1 (en) 2007-03-15

Similar Documents

Publication Publication Date Title
CN101305320B (zh) 采用独立掩模误差模型的掩模验证系统和方法
KR102184584B1 (ko) 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
US8318391B2 (en) Process window signature patterns for lithography process control
JP2019502950A (ja) 測定を向上させるための非対称なサブ分解能フィーチャを用いるリソグラフィプロセスの光学計測
US10663870B2 (en) Gauge pattern selection
KR20200015708A (ko) 측정 방법 및 장치
CN111656282B (zh) 确定衬底栅格的测量设备和方法
KR100725170B1 (ko) 포토마스크의 제작을 위한 시스템 및 방법
KR102585099B1 (ko) 측정 방법 및 장치
US11675958B2 (en) Lithography simulation method
Rana et al. Hybrid reference metrology exploiting patterning simulation
JP2004163472A (ja) フォトマスクの設計方法、フォトマスク、及び半導体装置
Weisbuch et al. Improving ORC methods and hotspot detection with the usage of aerial images metrology
CN114391124A (zh) 确定光刻匹配性能
CN113039487A (zh) 用于监测光刻装置的方法
TWI825417B (zh) 判定一基板之一失真分量之方法
CN117642700A (zh) 用于低维度数据分析的数据映射的方法和计算机程序
Wu et al. Lithography process calibration with applications in defect printability analysis
CN113168106A (zh) 确定处理装置对衬底参数的贡献的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: ASML NETHERLANDS CO., LTD.

Free format text: FORMER OWNER: RUICHU TECHNOLOGY COMPANY

Effective date: 20100519

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA, U.S.A. TO: WEIDEHUOWEN, HOLLAND

TA01 Transfer of patent application right

Effective date of registration: 20100519

Address after: Holland Weide Eindhoven

Applicant after: ASML Holland Co., Ltd.

Address before: American California

Applicant before: Brion Technologies Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120704

Termination date: 20200908

CF01 Termination of patent right due to non-payment of annual fee