KR20080064830A - 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템 - Google Patents
개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템 Download PDFInfo
- Publication number
- KR20080064830A KR20080064830A KR1020087008487A KR20087008487A KR20080064830A KR 20080064830 A KR20080064830 A KR 20080064830A KR 1020087008487 A KR1020087008487 A KR 1020087008487A KR 20087008487 A KR20087008487 A KR 20087008487A KR 20080064830 A KR20080064830 A KR 20080064830A
- Authority
- KR
- South Korea
- Prior art keywords
- mask
- data
- model
- systematic
- error
- Prior art date
Links
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/36—Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
- G03F1/72—Repair or correction of mask defects
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
- G03F1/82—Auxiliary processes, e.g. cleaning or inspecting
- G03F1/84—Inspecting
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70425—Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
- G03F7/70433—Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
- G03F7/70441—Optical proximity correction [OPC]
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/705—Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/398—Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
-
- G—PHYSICS
- G21—NUCLEAR PHYSICS; NUCLEAR ENGINEERING
- G21K—TECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
- G21K5/00—Irradiation devices
Abstract
Description
Claims (49)
- 개별 마스크 오차 모델에 있어서,리소그래피 마스크의 검사로부터 결정된 계통적 마스크 오차 파라미터(systematic mask error parameter)들을 갖는 상기 리소그래피 마스크의 모델을 포함하는 개별 마스크 오차 모델.
- 제 1 항에 있어서,상기 계통적 마스크 오차 파라미터들은 선폭 바이어스, 위상 바이어스, 코너 라운딩 반경, 라인-에지 거칠기, 에칭 깊이 및 측벽 각도로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 개별 마스크 오차 모델.
- 마스크 레이아웃 데이터를 이용하여 제조된 마스크로부터 마스크 검사 데이터를 얻는 단계;상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하는 단계;상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 생성하는 단계; 및상기 계통적 마스크 오차 데이터에 기초하여 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성하는 단계를 포함하는 방법.
- 제 3 항에 있어서,상기 계통적 마스크 오차 파라미터들을 갖는 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계; 및상기 시뮬레이션된 결과들을 분석하여, 상기 리소그래피 공정의 공정 윈도우를 평가하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 3 항에 있어서,상기 계통적 마스크 오차 파라미터들을 갖는 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계; 및상기 시뮬레이션된 결과들을 분석하여, 상기 마스크를 검증하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 3 항에 있어서,상기 계통적 마스크 오차 파라미터들을 갖는 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계; 및설계 타겟(design target)과 상기 시뮬레이션된 결과들을 비교하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 3 항에 있어서,상기 계통적 마스크 오차 파라미터들을 갖는 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계;공칭 마스크 오차 모델을 이용하여 상기 리소그래피 공정을 시뮬레이션하여, 공칭 시뮬레이션된 결과들을 생성하는 단계; 및상기 시뮬레이션된 결과들 및 상기 공칭 시뮬레이션된 결과들을 비교하여, 상기 마스크를 검증하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 3 항에 있어서,상기 계통적 마스크 오차 파라미터들을 갖는 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계;후-OPC 마스크 레이아웃을 이용하여 상기 리소그래피 공정을 시뮬레이션하여, 이상적인 시뮬레이션된 결과들을 생성하는 단계; 및상기 시뮬레이션된 결과들 및 상기 이상적인 시뮬레이션된 결과들을 비교하여, 상기 마스크를 검증하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 3 항에 있어서,상기 계통적 마스크 오차 파라미터들은 선폭 바이어스, 위상 바이어스, 코너 라운딩 반경, 라인-에지 거칠기, 에칭 깊이 및 측벽 각도로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 방법.
- 제 3 항에 있어서,상기 마스크 검사 데이터는 CD-SEM 툴, 이미징 SEM 툴, AFM 툴, OCD 툴, 광학 현미경, 위상-시프팅 간섭계 및 타원계로 구성된 그룹으로부터 선택된 메트롤로지 툴을 이용하여 상기 마스크의 패턴 메트릭(pattern metric)들을 직접 측정함으로써 얻어지는 것을 특징으로 하는 방법.
- 제 3 항에 있어서,상기 마스크 검사 데이터는 노광 툴에 배치된 이미지 센서 어레이를 이용하여 상기 마스크 및 상기 노광 툴에 의해 생성된 에어리얼 이미지의 패턴 메트릭들을 결정함으로써 얻어지는 것을 특징으로 하는 방법.
- 제 3 항에 있어서,상기 마스크 검사 데이터는 광학 마스크 검사 툴, 전자 빔 마스크 검사 툴, 및 에어리얼 이미지 측정 시스템으로 구성된 그룹으로부터 선택된 검사 툴을 이용하여 상기 마스크의 패턴 메트릭들을 결정함으로써 얻어지는 것을 특징으로 하는 방법.
- 제 3 항에 있어서,상기 마스크 레이아웃 데이터는 회로 설계 데이터인 것을 특징으로 하는 방법.
- 제 3 항에 있어서,상기 마스크 레이아웃 데이터는 테스트 패턴 데이터인 것을 특징으로 하는 방법.
- 마스크 레이아웃 데이터를 얻는 단계;상기 마스크 레이아웃 데이터에 기초하여 마스크 검사 플랜을 생성하는 단계;상기 마스크 검사 플랜에 따라 상기 마스크 레이아웃 데이터를 이용하여 제조된 마스크를 검사하여, 마스크 검사 데이터를 생성하는 단계;상기 마스크 검사 데이터로부터 물리적 마스크 데이터를 추출하는 단계;상기 추출된 물리적 마스크 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하는 단계;상기 추출된 물리적 마스크 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 결정하는 단계;마스크 오차 모델의 입력 변수들에 상기 계통적 마스크 오차 데이터를 피팅(fitting)함으로써 계통적 마스크 오차 파라미터들을 생성하는 단계; 및상기 마스크 오차 모델에 상기 계통적 마스크 오차 파라미터들을 적용하여, 개별 마스크 오차 모델을 생성하는 단계를 포함하는 방법.
- 제 15 항에 있어서,상기 마스크 검사 플랜을 생성하는 단계는 파라미터 공간을 포괄하는 마스크 레이아웃 데이터에서 샘플링 위치들을 선택하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 16 항에 있어서,상기 파라미터 공간은 패턴 밀도, 패턴 방위, 패턴 피치, 패턴 크기, 패턴 극성, 상이한 방위와 극성을 갖는 코너들, 및 가변 간격을 갖는 라인-엔드(line-end)들로 구성된 그룹으로부터 선택된 파라미터들을 포함하는 것을 특징으로 하는 방법.
- 제 15 항에 있어서,리소그래피 공정의 모델 및 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션 결과들을 생성하는 단계; 및상기 시뮬레이션 결과들을 분석하여, 상기 리소그래피 공정의 공정 윈도우를 평가하는 것을 특징으로 하는 방법.
- 제 15 항에 있어서,상기 리소그래피 공정의 모델 및 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션 결과들을 생성하는 단계; 및상기 시뮬레이션 결과들을 분석하여, 상기 마스크를 검증하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 15 항에 있어서,상기 리소그래피 공정의 모델 및 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션 결과들을 생성하는 단계; 및설계 의도와 상기 시뮬레이션 결과들을 비교하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 15 항에 있어서,상기 계통적 마스크 오차 파라미터들은 선폭 바이어스, 위상 바이어스, 코너 라운딩 반경, 라인-에지 거칠기, 에칭 깊이 및 측벽 각도로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 방법.
- 제 15 항에 있어서,상기 마스크 오차 모델의 상기 입력 변수들은 패턴 환경 및 상기 마스크 상의 패턴 위치인 것을 특징으로 하는 방법.
- 제 15 항에 있어서,마스크 제조 공정에 의해 만들어진 복수의 마스크들에 대해 복수의 개별 마스크 오차 모델들을 생성하는 단계;상기 복수의 개별 마스크 오차 모델들을 이용하여 리소그래피 공정을 시뮬레이션하여, 복수의 시뮬레이션된 결과들을 생성하는 단계; 및상기 복수의 시뮬레이션된 결과들을 분석하여, 상기 마스크 제조 공정을 특성화하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 15 항에 있어서,마스크 제조 공정에 의해 만들어진 복수의 마스크들에 대해 복수의 개별 마스크 오차 모델들을 생성하는 단계;상기 복수의 개별 마스크 오차 모델들을 이용하여 리소그래피 공정을 시뮬레이션하여, 복수의 시뮬레이션된 결과들을 생성하는 단계; 및상기 복수의 시뮬레이션된 결과들을 분석하여, 마스크 제조 공정의 공정 보정들을 식별하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 마스크 검사 데이터를 생성하도록 구성된 마스크 검사 툴;상기 마스크 검사 툴에 커플링된 모델-기반 마스크 데이터 분석 시스템을 포함하는 시스템으로서,상기 모델-기반 마스크 데이터 분석 시스템은 상기 마스크 검사 데이터로부터 물리적 마스크 데이터를 추출하고, 상기 추출된 물리적 마스크 데이터와 마스크 레이아웃 데이터 간의 차이에 기초하여 계통적 마스크 오차 데이터를 결정하도록 구성되고, 상기 계통적 마스크 오차 데이터에 기초하여 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성하도록 구성되는 시스템.
- 제 25 항에 있어서,상기 계통적 마스크 오차 파라미터들은 선폭 바이어스, 위상 바이어스, 코너 라운딩 반경, 라인-에지 거칠기, 에칭 깊이 및 측벽 각도로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 시스템.
- 제 25 항에 있어서,상기 마스크 검사 툴은 CD-SEM 툴, 이미징 SEM 툴, AFM 툴, OCD 툴, 광학 현미경, 위상-시프팅 간섭계 및 타원계로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 시스템.
- 제 25 항에 있어서,상기 마스크 검사 툴은 노광 툴에 배치된 이미지 센서 어레이인 것을 특징으 로 하는 시스템.
- 제 25 항에 있어서,상기 마스크 검사 툴은 광학 마스크 검사 툴, 전자 빔 마스크 검사 툴, 및 에어리얼 이미지 측정 시스템으로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 시스템.
- 마스크 레이아웃 데이터를 얻는 단계;마스크 모델 파라미터들을 갖는 마스크 모델을 선택하는 단계;상기 마스크 레이아웃 데이터를 이용하여 제조된 마스크로부터 마스크 검사 데이터를 얻는 단계;상기 마스크 검사 데이터로부터 물리적 마스크 데이터를 추출하는 단계;상기 물리적 마스크 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하는 단계;상기 물리적 마스크 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 생성하는 단계; 및상기 계통적 마스크 오차 데이터에 기초하여 상기 마스크 모델 파라미터들의 값들을 수정하여, 계통적 마스크 오차 파라미터들을 생성하는 단계를 포함하는 방법.
- 제 30 항에 있어서,상기 계통적 마스크 오차 파라미터들은 선폭 바이어스, 위상 바이어스, 코너 라운딩 반경, 라인-에지 거칠기, 에칭 깊이 및 측벽 각도로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 방법.
- 제 30 항에 있어서,상기 마스크 검사 데이터는 CD-SEM 툴, 이미징 SEM 툴, AFM 툴, OCD 툴, 광학 현미경, 위상-시프팅 간섭계 및 타원계로 구성된 그룹으로부터 선택된 메트롤로지 툴을 이용하여 상기 마스크의 패턴 메트릭들을 직접 측정함으로써 얻어지는 것을 특징으로 하는 방법.
- 제 30 항에 있어서,상기 마스크 검사 데이터는 노광 툴에 배치된 이미지 센서 어레이를 이용하여 상기 마스크 및 상기 노광 툴에 의해 생성된 에어리얼 이미지의 패턴 메트릭들을 결정함으로써 얻어지는 것을 특징으로 하는 방법.
- 제 30 항에 있어서,상기 마스크 검사 데이터는 광학 마스크 검사 툴, 전자 빔 마스크 검사 툴, 및 에어리얼 이미지 측정 시스템으로 구성된 그룹으로부터 선택된 검사 툴을 이용하여 상기 마스크의 패턴 메트릭들을 결정함으로써 얻어지는 것을 특징으로 하는 방법.
- 제 30 항에 있어서,상기 마스크 검사 데이터는 마스크 검사 플랜에 따라 얻어지는 것을 특징으로 하는 방법.
- 노광 툴의 광학 모델을 선택하는 단계;제 2 노광 툴의 제 2 광학 모델을 선택하는 단계;마스크의 개별 마스크 오차 모델 및 상기 광학 모델을 이용하여 상기 노광 툴의 작업을 시뮬레이션하여, 제 1 시뮬레이션된 결과들을 생성하는 단계;상기 개별 마스크 오차 모델 및 상기 제 2 광학 모델을 이용하여 상기 제 2 노광 툴의 작업을 시뮬레이션하여, 제 2 시뮬레이션된 결과들을 생성하는 단계;설계 타겟과 상기 제 1 시뮬레이션된 결과들의 비교를 수행하는 단계;상기 설계 타겟과 상기 제 2 시뮬레이션된 결과들의 비교를 수행하는 단계; 및상기 비교들에 비교하여 상기 노광 툴 및 상기 제 2 노광 툴의 성능들을 비교하는 단계를 포함하는 방법.
- 제 36 항에 있어서,상기 개별 마스크 오차 모델은 상기 마스크의 검사로부터 결정된 계통적 마 스크 오차 파라미터들을 포함하는 것을 특징으로 하는 방법.
- 제 36 항에 있어서,상기 마스크를 이용하여 노광 제품 웨이퍼(exposure product wafer)들에 상기 노광 툴 또는 상기 제 2 노광 툴 중 하나를 선택하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 36 항에 있어서,상기 개별 마스크 오차 모델은:상기 마스크로부터 마스크 검사 데이터를 얻고 - 상기 마스크는 마스크 레이아웃 데이터를 이용하여 제조됨 - ;상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하며;상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 생성하고;상기 계통적 마스크 오차 데이터에 기초하여 상기 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성함으로써 생성되는 것을 특징으로 하는 방법.
- 리소그래피 공정의 모델을 선택하는 단계 - 상기 모델은 노광 툴의 광학 모 델 및 레지스트 모델을 포함함 -;마스크 레이아웃 데이터를 이용하여 제조된 마스크에 대한 개별 마스크 오차 모델을 생성하는 단계;상기 리소그래피 공정의 모델 및 상기 개별 마스크 오차 모델을 이용하여 상기 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 패턴들을 생성하는 단계;상기 시뮬레이션된 패턴들과 설계 타겟 간의 차이를 결정하는 단계; 및상기 시뮬레이션된 패턴들과 상기 설계 타겟 간의 상기 차이에 기초하여 상기 노광 툴의 세팅들을 수정하는 단계를 포함하는 방법.
- 제 40 항에 있어서,상기 개별 마스크 오차 모델은 상기 마스크의 검사로부터 결정된 계통적 마스크 오차 파라미터들을 포함하는 것을 특징으로 하는 방법.
- 제 40 항에 있어서,상기 노광 툴의 상기 세팅들은 포커스, 노광 도즈, 개구수, 시그마, 간섭성, 렌즈 수차들, 및 조명 시프트들로 구성된 그룹들로부터 선택되는 것을 특징으로 하는 방법.
- 제 40 항에 있어서,상기 시뮬레이션된 패턴들과 상기 설계 타겟 간의 상기 차이는 임계 치수, 라인-엔드 풀백(line-end pullback), 및 코너 라운딩으로부터 선택되는 것을 특징으로 하는 방법.
- 제 40 항에 있어서,개별 마스크 오차 모델을 생성하는 단계는:상기 마스크로부터 마스크 검사 데이터를 얻는 단계;상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하는 단계;상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 생성하는 단계; 및상기 계통적 마스크 오차 데이터에 기초하여 상기 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성하는 단계를 포함하는 것을 특징으로 하는 방법.
- 복수의 노광 툴들에 대해 복수의 광학 모델들을 선택하는 단계 - 각각의 광학 모델은 개별 노광 툴을 나타냄 - ;상기 복수의 광학 모델들 각각에 대해, 마스크의 개별 마스크 오차 모델 및 상기 광학 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계; 및상기 복수의 노광 툴들 중 어느 것이 상기 마스크와 최적화를 수행하는지를 결정하기 위해 상기 복수의 광학 모델들 각각에 대한 상기 시뮬레이션된 결과들을 평가하는 단계를 포함하는 방법.
- 제 45 항에 있어서,상기 개별 마스크 오차 모델은 상기 마스크의 검사로부터 결정된 계통적 마스크 오차 파라미터들을 포함하는 것을 특징으로 하는 방법.
- 제 45 항에 있어서,상기 복수의 광학 모델들 각각에 대한 상기 시뮬레이션된 결과들을 평가하는 단계는 설계 타겟과 상기 시뮬레이션 결과들을 비교하는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 45 항에 있어서,웨이퍼 생산을 위해 최적-매치(best-match) 노광 툴을 선택하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제 45 항에 있어서,상기 개별 마스크 오차 모델은:상기 마스크로부터 마스크 검사 데이터를 얻고 - 상기 마스크는 마스크 레이아웃 데이터를 이용하여 제조됨 - ;상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하며;상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 생성하고;상기 계통적 마스크 오차 데이터에 기초하여 상기 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성함으로써 생성되는 것을 특징으로 하는 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US71983705P | 2005-09-09 | 2005-09-09 | |
US60/719,837 | 2005-09-09 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20080064830A true KR20080064830A (ko) | 2008-07-09 |
KR100982135B1 KR100982135B1 (ko) | 2010-09-14 |
Family
ID=37836510
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020087008487A KR100982135B1 (ko) | 2005-09-09 | 2006-09-08 | 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템 |
Country Status (6)
Country | Link |
---|---|
US (2) | US7587704B2 (ko) |
EP (1) | EP1941321A2 (ko) |
JP (2) | JP4954211B2 (ko) |
KR (1) | KR100982135B1 (ko) |
CN (2) | CN102662309B (ko) |
WO (1) | WO2007030704A2 (ko) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101113325B1 (ko) * | 2009-05-29 | 2012-03-14 | 주식회사 하이닉스반도체 | 광근접 보정 검증 방법 |
KR101322723B1 (ko) * | 2011-01-28 | 2013-10-28 | 에이에스엠엘 네델란즈 비.브이. | 리소그래피 장치 및 리소그래피 장치의 개선된 구성을 결정하기 위한 방법 |
KR20140051317A (ko) * | 2011-07-20 | 2014-04-30 | 칼 짜이스 에스엠에스 엘티디 | 포토리소그래픽 마스크의 임계 치수 변동을 결정하기 위한 방법 및 장치 |
KR101394585B1 (ko) * | 2011-08-09 | 2014-05-12 | 에이에스엠엘 네델란즈 비.브이. | 3d 토포그래픽 웨이퍼들을 위한 리소그래피 모델 |
KR20200015708A (ko) * | 2017-06-06 | 2020-02-12 | 에이에스엠엘 네델란즈 비.브이. | 측정 방법 및 장치 |
KR20200126921A (ko) * | 2019-04-29 | 2020-11-09 | 엠아이 이큅먼트 (엠) 에스디엔. 비에이치디. | 스켈러톤 웨이퍼 검사 방법 |
Families Citing this family (344)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7350183B2 (en) * | 2004-11-05 | 2008-03-25 | International Business Machines Corporation | Method for improving optical proximity correction |
WO2006118098A1 (ja) | 2005-04-26 | 2006-11-09 | Renesas Technology Corp. | 半導体装置およびその製造方法ならびに半導体製造用マスク、光近接処理方法 |
KR100642417B1 (ko) * | 2005-09-20 | 2006-11-03 | 주식회사 하이닉스반도체 | 레이어 대 레이어 검사방법을 이용한 광학근접보정검증방법 |
KR100725170B1 (ko) * | 2005-11-15 | 2007-06-04 | 삼성전자주식회사 | 포토마스크의 제작을 위한 시스템 및 방법 |
US7631286B2 (en) * | 2005-12-30 | 2009-12-08 | Wafertech Llc | Automated metrology recipe generation |
US7694267B1 (en) | 2006-02-03 | 2010-04-06 | Brion Technologies, Inc. | Method for process window optimized optical proximity correction |
KR100706813B1 (ko) * | 2006-02-13 | 2007-04-12 | 삼성전자주식회사 | 반도체 장치의 패턴 배치 방법 |
US7735056B2 (en) * | 2006-03-30 | 2010-06-08 | Texas Instruments Incorporated | Automated circuit design dimension change responsive to low contrast condition determination in photomask phase pattern |
US20090306941A1 (en) * | 2006-05-15 | 2009-12-10 | Michael Kotelyanskii | Structure Model description and use for scatterometry-based semiconductor manufacturing process metrology |
US8041440B2 (en) * | 2006-07-13 | 2011-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and system for providing a selection of golden tools for better defect density and product yield |
US8111901B2 (en) * | 2006-08-14 | 2012-02-07 | Asml Masktools B.V. | Apparatus and method for separating a circuit pattern into multiple circuit patterns |
US7900165B2 (en) * | 2007-03-30 | 2011-03-01 | Synopsys, Inc. | Determining a design attribute by estimation and by calibration of estimated value |
KR100818999B1 (ko) * | 2006-10-09 | 2008-04-02 | 삼성전자주식회사 | 마스크 제작 방법 |
US7937179B2 (en) * | 2007-05-24 | 2011-05-03 | Applied Materials, Inc. | Dynamic inline yield analysis and prediction of a defect limited yield using inline inspection defects |
US7962864B2 (en) * | 2007-05-24 | 2011-06-14 | Applied Materials, Inc. | Stage yield prediction |
US8924904B2 (en) * | 2007-05-24 | 2014-12-30 | Applied Materials, Inc. | Method and apparatus for determining factors for design consideration in yield analysis |
US8799831B2 (en) * | 2007-05-24 | 2014-08-05 | Applied Materials, Inc. | Inline defect analysis for sampling and SPC |
US7707538B2 (en) | 2007-06-15 | 2010-04-27 | Brion Technologies, Inc. | Multivariable solver for optical proximity correction |
US7703069B1 (en) | 2007-08-14 | 2010-04-20 | Brion Technologies, Inc. | Three-dimensional mask model for photolithography simulation |
US7669171B2 (en) * | 2007-09-05 | 2010-02-23 | United Miceoelectronics Corp. | Prediction model and prediction method for exposure dose |
US7617478B2 (en) * | 2007-09-25 | 2009-11-10 | Synopsys, Inc. | Flash-based anti-aliasing techniques for high-accuracy high efficiency mask synthesis |
US7707539B2 (en) * | 2007-09-28 | 2010-04-27 | Synopsys, Inc. | Facilitating process model accuracy by modeling mask corner rounding effects |
JP4511582B2 (ja) * | 2007-11-07 | 2010-07-28 | シャープ株式会社 | マスクパターンの補正方法、フォトマスク、および半導体装置の製造方法 |
US8059884B2 (en) * | 2007-11-08 | 2011-11-15 | International Business Machines Corporation | Method and system for obtaining bounds on process parameters for OPC-verification |
US8572524B2 (en) * | 2007-11-21 | 2013-10-29 | Globalfoundries Singapore Pte. Ltd. | Statistical optical proximity correction |
JP4991499B2 (ja) * | 2007-11-28 | 2012-08-01 | 株式会社日立ハイテクノロジーズ | レチクル検査装置及びレチクル検査方法 |
US20090144692A1 (en) * | 2007-11-30 | 2009-06-04 | Cain Jason P | Method and apparatus for monitoring optical proximity correction performance |
NL1036189A1 (nl) | 2007-12-05 | 2009-06-08 | Brion Tech Inc | Methods and System for Lithography Process Window Simulation. |
JP2009139632A (ja) * | 2007-12-06 | 2009-06-25 | Elpida Memory Inc | マスクパターン補正方法及び露光用マスク |
NL1036335A1 (nl) * | 2007-12-27 | 2009-06-30 | Asml Netherlands Bv | Device manufacturing method, lithographic system, lithographic apparatus and design for manufacturing system. |
JP5252932B2 (ja) * | 2008-01-18 | 2013-07-31 | 株式会社東芝 | 半導体装置の製造方法 |
US20090204936A1 (en) * | 2008-02-11 | 2009-08-13 | Werner Jacobs | Method of Performing Proximity Correction |
JP2009251500A (ja) * | 2008-04-10 | 2009-10-29 | Toshiba Corp | パターンの検証方法、パターンの形成方法、半導体装置の製造方法及びプログラム |
CA2757181C (en) * | 2008-04-18 | 2017-10-24 | Coinsecure, Inc. | Apparatus for producing optical signatures from coinage |
US20090295912A1 (en) * | 2008-05-12 | 2009-12-03 | Coinsecure, Inc. | Coin edge imaging device |
US7974819B2 (en) * | 2008-05-13 | 2011-07-05 | Aptina Imaging Corporation | Methods and systems for intensity modeling including polarization |
JP2009282319A (ja) * | 2008-05-22 | 2009-12-03 | Toshiba Corp | パターン検証方法、パターン検証システム、パターン検証プログラム、マスク製造方法、および半導体装置の製造方法 |
US8000922B2 (en) * | 2008-05-29 | 2011-08-16 | Kla-Tencor Corp. | Methods and systems for generating information to be used for selecting values for one or more parameters of a detection algorithm |
US8806387B2 (en) | 2008-06-03 | 2014-08-12 | Asml Netherlands B.V. | Model-based process simulation systems and methods |
US8570485B2 (en) | 2008-06-03 | 2013-10-29 | Asml Netherlands B.V. | Lens heating compensation systems and methods |
US8806396B2 (en) * | 2008-06-24 | 2014-08-12 | Cadence Design Systems, Inc. | Method and system performing circuit design predictions |
WO2010005957A1 (en) | 2008-07-07 | 2010-01-14 | Brion Technologies, Inc. | Illumination optimization |
US10025198B2 (en) * | 2008-07-07 | 2018-07-17 | Asml Netherlands B.V. | Smart selection and/or weighting of parameters for lithographic process simulation |
JP2010034402A (ja) * | 2008-07-30 | 2010-02-12 | Toshiba Corp | パターン形状予測方法 |
DE102008049880A1 (de) * | 2008-09-30 | 2010-04-08 | Carl Zeiss Sms Gmbh | Verfahren zur Verbesserung des Abbildungsverhaltens von Masken für die Photolithographie |
NL2003654A (en) * | 2008-11-06 | 2010-05-10 | Brion Tech Inc | Methods and system for lithography calibration. |
NL2003702A (en) * | 2008-11-10 | 2010-05-11 | Brion Tech Inc | Pattern selection for lithographic model calibration. |
NL2003696A (en) | 2008-11-10 | 2010-05-11 | Brion Tech Inc | Scanner model representation with transmission cross coefficients. |
US8092122B2 (en) | 2008-11-10 | 2012-01-10 | Reynolds Consumer Products, Inc. | Connection device for fastening expanded cell confinement structures and methods for doing the same |
NL2003719A (en) * | 2008-11-10 | 2010-05-11 | Brion Tech Inc | Delta tcc for fast sensitivity model computation. |
WO2010059954A2 (en) | 2008-11-21 | 2010-05-27 | Brion Technologies Inc. | Fast freeform source and mask co-optimization method |
NL2003699A (en) | 2008-12-18 | 2010-06-21 | Brion Tech Inc | Method and system for lithography process-window-maximixing optical proximity correction. |
US8463419B2 (en) * | 2009-01-22 | 2013-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for improved automated semiconductor wafer manufacturing |
US8136054B2 (en) * | 2009-01-29 | 2012-03-13 | Synopsys, Inc. | Compact abbe's kernel generation using principal component analysis |
US8103984B1 (en) * | 2009-02-23 | 2012-01-24 | Cadence Design Systems, Inc. | System and method for compressed design phase contour data |
US8191016B2 (en) | 2009-02-23 | 2012-05-29 | Cadence Design Systems, Inc. | System and method for compressed post-OPC data |
JP2010211046A (ja) * | 2009-03-11 | 2010-09-24 | Toshiba Corp | パターン検証方法およびパターン検証プログラム |
US8196068B2 (en) * | 2009-04-30 | 2012-06-05 | Synopsys, Inc. | Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction |
CN102033423B (zh) * | 2009-09-28 | 2013-05-29 | 中芯国际集成电路制造(上海)有限公司 | 用于校准光刻工具的装置及方法 |
CN102043326B (zh) * | 2009-10-20 | 2012-07-11 | 中芯国际集成电路制造(上海)有限公司 | 掩模版图形校正方法 |
NL2005522A (en) | 2009-10-28 | 2011-05-02 | Asml Netherlands Bv | Pattern selection for full-chip source and mask optimization. |
CN102096309B (zh) * | 2009-12-15 | 2012-07-11 | 中芯国际集成电路制造(上海)有限公司 | 光学邻近校正方法 |
JP2011129756A (ja) * | 2009-12-18 | 2011-06-30 | Toshiba Corp | マスクパターンの生成方法、マスクパターン生成プログラム及び半導体装置の製造方法 |
JP5248540B2 (ja) * | 2010-02-12 | 2013-07-31 | 株式会社東芝 | マスク検証方法、半導体装置の製造方法およびマスク検証プログラム |
CN102193302A (zh) * | 2010-03-03 | 2011-09-21 | 中芯国际集成电路制造(上海)有限公司 | 一种掩膜图形缺陷的检测方法及系统 |
CN104317159A (zh) * | 2010-03-03 | 2015-01-28 | 中芯国际集成电路制造(上海)有限公司 | 一种掩膜图形缺陷的检测方法及系统 |
DE102011078927B4 (de) * | 2010-07-12 | 2019-01-31 | Carl Zeiss Sms Ltd. | Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske |
DE102010045135B4 (de) | 2010-09-10 | 2021-03-18 | Carl Zeiss Meditec Ag | Verfahren zur Ermittlung eines Platzierungsfehlers eines Strukturelements auf einer Maske, Verfahren zur Simulation eines Luftbildes aus Struktur-Vorgaben einer Maske und Positionsmessvorrichtung |
US8429570B2 (en) * | 2010-10-28 | 2013-04-23 | International Business Machines Corporation | Pattern recognition with edge correction for design based metrology |
US8495527B2 (en) * | 2010-10-28 | 2013-07-23 | International Business Machines Corporation | Pattern recognition with edge correction for design based metrology |
NL2007642A (en) | 2010-11-10 | 2012-05-14 | Asml Netherlands Bv | Optimization flows of source, mask and projection optics. |
NL2007579A (en) | 2010-11-10 | 2012-05-14 | Asml Netherlands Bv | Pattern-dependent proximity matching/tuning including light manipulation by projection optics. |
NL2007577A (en) | 2010-11-10 | 2012-05-14 | Asml Netherlands Bv | Optimization of source, mask and projection optics. |
NL2007578A (en) | 2010-11-17 | 2012-05-22 | Asml Netherlands Bv | Pattern-independent and hybrid matching/tuning including light manipulation by projection optics. |
US8619236B2 (en) | 2010-11-24 | 2013-12-31 | International Business Machines Corporation | Determining lithographic set point using optical proximity correction verification simulation |
FR2968780A1 (fr) * | 2010-12-10 | 2012-06-15 | St Microelectronics Crolles 2 | Procede de determination d'un masque de gravure en niveaux de gris |
US9588439B1 (en) * | 2010-12-21 | 2017-03-07 | Asml Netherlands B.V. | Information matrix creation and calibration test pattern selection based on computational lithography model parameters |
CN102566291B (zh) * | 2010-12-29 | 2015-04-29 | 中芯国际集成电路制造(上海)有限公司 | 投影掩膜版的测试系统 |
US8577489B2 (en) | 2011-01-26 | 2013-11-05 | International Business Machines Corporation | Diagnosing in-line critical dimension control adjustments using optical proximity correction verification |
US8499260B2 (en) | 2011-01-26 | 2013-07-30 | International Business Machines Corporation | Optical proximity correction verification accounting for mask deviations |
KR20120101197A (ko) * | 2011-02-08 | 2012-09-13 | 삼성전자주식회사 | 포토 마스크의 제조 방법 |
KR101866448B1 (ko) * | 2011-02-10 | 2018-06-11 | 삼성전자주식회사 | 포토마스크 형성 방법, 이를 수행하는 프로그래밍된 명령을 저장하는 컴퓨터에서 판독 가능한 저장 매체 및 마스크 이미징 시스템 |
KR20130006740A (ko) * | 2011-03-15 | 2013-01-18 | 삼성전자주식회사 | 패턴 cd 예측 방법 및 포토 마스크 형성 방법 |
JP2012252055A (ja) * | 2011-05-31 | 2012-12-20 | Toshiba Corp | マスク検査方法、マスク作製方法および半導体装置の製造方法 |
US8572518B2 (en) * | 2011-06-23 | 2013-10-29 | Nikon Precision Inc. | Predicting pattern critical dimensions in a lithographic exposure process |
NL2008957A (en) | 2011-07-08 | 2013-01-09 | Asml Netherlands Bv | Methods and systems for pattern design with tailored response to wavefront aberration. |
DE102011078999A1 (de) * | 2011-07-12 | 2013-01-17 | Carl Zeiss Sms Gmbh | Verfahren und Vorrichtung zur Positionsbestimmung von Strukturen auf einer Maske für die Mikrolithographie |
CN102902154A (zh) * | 2011-07-29 | 2013-01-30 | 上海华虹Nec电子有限公司 | 光学临近效应修正工艺模型的建模方法 |
CN102540773B (zh) * | 2011-08-29 | 2014-06-04 | 上海华力微电子有限公司 | 一种新型的利用曝光后烘烤的opc模型检验光刻工艺的方法 |
US20130080981A1 (en) * | 2011-09-22 | 2013-03-28 | Teng-Yen Huang | Method for improving optical proximity simulation from exposure result |
US8468471B2 (en) * | 2011-09-23 | 2013-06-18 | Kla-Tencor Corp. | Process aware metrology |
US8607169B2 (en) * | 2011-12-28 | 2013-12-10 | Elitetech Technology Co., Ltd. | Intelligent defect diagnosis method |
CN103186032B (zh) * | 2011-12-31 | 2016-01-13 | 无锡华润上华科技有限公司 | 光学邻近效应修正方法及相应的掩膜图形形成方法 |
NL2009982A (en) | 2012-01-10 | 2013-07-15 | Asml Netherlands Bv | Source mask optimization to reduce stochastic effects. |
JP5789275B2 (ja) | 2012-02-03 | 2015-10-07 | エーエスエムエル ネザーランズ ビー.ブイ. | 3dレジストプロファイルのシミュレーション用のリソグラフィモデル |
NL2010163A (en) | 2012-02-07 | 2013-08-08 | Asml Netherlands Bv | Substrate-topography-aware lithography modeling. |
NL2010196A (en) | 2012-02-09 | 2013-08-13 | Asml Netherlands Bv | Lens heating aware source mask optimization for advanced lithography. |
US9489479B2 (en) | 2012-05-04 | 2016-11-08 | Asml Netherlands B.V. | Rule and lithographic process co-optimization |
WO2013178459A1 (en) | 2012-05-31 | 2013-12-05 | Asml Netherlands B.V. | Gradient-based pattern and evaluation point selection |
US9240360B2 (en) | 2012-07-25 | 2016-01-19 | International Business Machines Corporation | Run-to-run control utilizing virtual metrology in semiconductor manufacturing |
CN103676490B (zh) * | 2012-09-20 | 2015-11-25 | 中芯国际集成电路制造(上海)有限公司 | 一种监控弱点形成原因的方法 |
NL2011592A (en) | 2012-10-31 | 2014-05-06 | Asml Netherlands Bv | Compensation for patterning device deformation. |
US8924896B2 (en) * | 2013-01-31 | 2014-12-30 | Globalfoundries Inc. | Automated design layout pattern correction based on context-aware patterns |
WO2014127985A1 (en) | 2013-02-22 | 2014-08-28 | Asml Netherlands B.V. | A lithography model for three-dimensional patterning device |
CN105008997B (zh) | 2013-02-25 | 2017-03-08 | Asml荷兰有限公司 | 离散源掩模优化 |
US9250535B2 (en) * | 2013-03-15 | 2016-02-02 | International Business Machines Corporation | Source, target and mask optimization by incorporating countour based assessments and integration over process variations |
CN104516192B (zh) * | 2013-09-30 | 2018-10-16 | 中芯国际集成电路制造(上海)有限公司 | 建立opc模型的方法、布局图形的检查方法 |
WO2015049099A1 (en) | 2013-10-01 | 2015-04-09 | Asml Netherlands B.V. | Profile aware source-mask optimization |
KR102267475B1 (ko) | 2013-10-10 | 2021-06-21 | 삼성전자주식회사 | 전자빔 노광 장치 및 이의 에러 검출 방법 |
WO2015090774A1 (en) | 2013-12-17 | 2015-06-25 | Asml Netherlands B.V. | Yield estimation and control |
WO2015101459A1 (en) | 2013-12-30 | 2015-07-09 | Asml Netherlands B.V. | Method and apparatus for design of a metrology target |
CN104749899B (zh) * | 2013-12-30 | 2017-02-01 | 中芯国际集成电路制造(上海)有限公司 | 光学邻近修正方法 |
JP6567523B2 (ja) | 2013-12-30 | 2019-08-28 | エーエスエムエル ネザーランズ ビー.ブイ. | メトロロジーターゲットの設計のための方法及び装置 |
JP6312834B2 (ja) | 2013-12-30 | 2018-04-18 | エーエスエムエル ネザーランズ ビー.ブイ. | メトロロジーターゲットの設計のための方法及び装置 |
KR101860038B1 (ko) | 2013-12-30 | 2018-05-21 | 에이에스엠엘 네델란즈 비.브이. | 메트롤로지 타겟의 디자인을 위한 방법 및 장치 |
CN103744265B (zh) * | 2014-01-29 | 2016-09-07 | 上海华力微电子有限公司 | 改善工艺窗口的光学临近修正方法 |
SG11201606179QA (en) | 2014-02-11 | 2016-08-30 | Asml Netherlands Bv | Model for calculating a stochastic variation in an arbitrary pattern |
US10073357B2 (en) | 2014-02-21 | 2018-09-11 | Asml Netherlands B.V. | Measuring a process parameter for a manufacturing process involving lithography |
KR102467186B1 (ko) | 2014-03-10 | 2022-11-14 | 노바 엘티디. | 패턴화된 구조물에서 측정을 위한 테스트 구조물을 사용하는 테스트 구조물 및 계측 기술 |
US10386727B2 (en) | 2014-03-18 | 2019-08-20 | Asml Netherlands B.V. | Pattern placement error aware optimization |
CN103885282B (zh) * | 2014-03-20 | 2017-11-03 | 上海华力微电子有限公司 | 一种减少opc修正后验证误报错的方法 |
CN106164777B (zh) | 2014-04-14 | 2019-06-18 | Asml荷兰有限公司 | 光刻过程的优化流程 |
WO2015165699A1 (en) | 2014-05-02 | 2015-11-05 | Asml Netherlands B.V. | Reduction of hotspots of dense features |
WO2015189026A2 (en) | 2014-06-10 | 2015-12-17 | Asml Netherlands B.V. | Computational wafer inspection |
US9552964B2 (en) * | 2014-06-20 | 2017-01-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating an integrated circuit with a pattern density-outlier-treatment for optimized pattern density uniformity |
WO2015197313A1 (en) | 2014-06-25 | 2015-12-30 | Asml Netherlands B.V. | Etch variation tolerant optimization |
WO2016008711A1 (en) | 2014-07-14 | 2016-01-21 | Asml Netherlands B.V. | Optimization of assist features and source |
KR101986258B1 (ko) | 2014-08-29 | 2019-06-07 | 에이에스엠엘 네델란즈 비.브이. | 메트롤로지 방법, 타겟 및 기판 |
US9678435B1 (en) * | 2014-09-22 | 2017-06-13 | Mentor Graphics, A Siemens Business | Horizontal development bias in negative tone development of photoresist |
WO2016050584A1 (en) | 2014-10-02 | 2016-04-07 | Asml Netherlands B.V. | Rule-based deployment of assist features |
CN107111250B (zh) | 2014-11-26 | 2019-10-11 | Asml荷兰有限公司 | 度量方法、计算机产品和系统 |
US10409165B2 (en) | 2014-12-15 | 2019-09-10 | Asml Netherlands B.V. | Optimization based on machine learning |
US20170285483A1 (en) | 2014-12-17 | 2017-10-05 | Asml Netherlands B.V. | Method and apparatus for using patterning device topography induced phase |
CN107111240A (zh) | 2014-12-17 | 2017-08-29 | Asml荷兰有限公司 | 使用图案形成装置形貌引入的相位的方法和设备 |
US10372043B2 (en) | 2014-12-17 | 2019-08-06 | Asml Netherlands B.V. | Hotspot aware dose correction |
US20170315441A1 (en) | 2014-12-17 | 2017-11-02 | Asml Netherlands B.V. | Method and apparatus for using patterning device topography induced phase |
WO2016096333A1 (en) | 2014-12-18 | 2016-06-23 | Asml Netherlands B.V. | A lithography model for 3d features |
TWI620980B (zh) | 2015-02-13 | 2018-04-11 | Asml荷蘭公司 | 影像對數斜率(ils)最佳化 |
SG11201706686YA (en) | 2015-03-16 | 2017-09-28 | Asml Netherlands Bv | Methods for determining resist deformation |
US11506984B2 (en) | 2015-05-29 | 2022-11-22 | Asml Netherlands B.V. | Simulation of lithography using multiple-sampling of angular distribution of source radiation |
KR102294366B1 (ko) | 2015-06-16 | 2021-08-27 | 에이에스엠엘 네델란즈 비.브이. | 결함 검증을 위한 방법들 |
KR102441582B1 (ko) | 2015-07-23 | 2022-09-07 | 삼성전자주식회사 | Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법 |
CN104977816B (zh) * | 2015-08-05 | 2018-01-23 | 哈尔滨工业大学 | 基于Compact Particle Swarm Optimization算法的光刻机掩模台微动台的机械参数软测量方法 |
CN105068383B (zh) * | 2015-08-05 | 2017-04-05 | 哈尔滨工业大学 | 一种微动台机械参数误差辨识方法 |
DE102015218917B4 (de) * | 2015-09-30 | 2020-06-25 | Carl Zeiss Smt Gmbh | Verfahren zur Ermittlung einer Position eines Strukturelements auf einer Maske und Mikroskop zur Durchführung des Verfahrens |
NL2017466A (en) | 2015-09-30 | 2017-04-05 | Asml Netherlands Bv | Metrology method, target and substrate |
US10754256B2 (en) | 2015-10-08 | 2020-08-25 | Asml Netherlands B.V. | Method and apparatus for pattern correction and verification |
US11036146B2 (en) * | 2015-10-19 | 2021-06-15 | Asml Netherlands B. V. | Method and apparatus to reduce effects of nonlinear behavior |
US10915689B2 (en) | 2015-10-19 | 2021-02-09 | Asml Netherlands B.V. | Method and apparatus to correct for patterning process error |
WO2017067752A1 (en) * | 2015-10-19 | 2017-04-27 | Asml Netherlands B.V. | Method and apparatus to correct for patterning process error |
US10719011B2 (en) | 2015-10-19 | 2020-07-21 | Asml Netherlands B.V. | Method and apparatus to correct for patterning process error |
US20180314149A1 (en) * | 2015-10-19 | 2018-11-01 | Aslm Netherlands B.V. | Method and apparatus to correct for patterning process error |
CN105446883B (zh) * | 2015-12-08 | 2018-05-08 | 中国航空工业集团公司西安航空计算技术研究所 | 一种基于数据配置工具的模型验证分析方法 |
WO2017102321A1 (en) | 2015-12-14 | 2017-06-22 | Cymer, Llc | Optimization of source and bandwidth for new and existing patterning devices |
WO2017102336A1 (en) | 2015-12-18 | 2017-06-22 | Asml Netherlands B.V. | Improvements in gauge pattern selection |
IL293649B2 (en) | 2015-12-22 | 2023-11-01 | Asml Netherlands Bv | A device and method for characterizing a window process |
CN108604065B (zh) | 2015-12-23 | 2021-10-26 | Asml荷兰有限公司 | 量测方法、目标和衬底 |
KR102182011B1 (ko) | 2015-12-24 | 2020-11-24 | 에이에스엠엘 네델란즈 비.브이. | 검사 방법 및 장치 |
WO2017114662A1 (en) | 2015-12-31 | 2017-07-06 | Asml Netherlands B.V. | Selection of measurement locations for patterning processes |
US20170199511A1 (en) * | 2016-01-12 | 2017-07-13 | Globalfoundries Inc. | Signal detection metholodogy for fabrication control |
US9792393B2 (en) * | 2016-02-08 | 2017-10-17 | Lam Research Corporation | Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization |
US11112700B2 (en) | 2016-03-24 | 2021-09-07 | Asml Netherlands B.V. | Optimization of a lithographic projection apparatus accounting for an interlayer characteristic |
WO2017178276A1 (en) | 2016-04-14 | 2017-10-19 | Asml Netherlands B.V. | Mapping of patterns between design layout and patterning device |
KR102188014B1 (ko) | 2016-05-12 | 2020-12-08 | 에이에스엠엘 네델란즈 비.브이. | 기계 학습에 의한 결함 또는 핫스폿의 식별 |
WO2017194285A1 (en) | 2016-05-12 | 2017-11-16 | Asml Netherlands B.V. | Displacement based overlay or alignment |
US10394132B2 (en) | 2016-05-17 | 2019-08-27 | Asml Netherlands B.V. | Metrology robustness based on through-wavelength similarity |
CN109478013B (zh) | 2016-07-12 | 2022-04-01 | Asml荷兰有限公司 | 对设计布局的计算分析的性能指标进行可视化 |
IL263765B2 (en) | 2016-07-15 | 2023-04-01 | Asml Netherlands Bv | Method and device for designing a target field for metrology |
US10712672B2 (en) | 2016-07-22 | 2020-07-14 | Asml Netherlands B.V. | Method of predicting patterning defects caused by overlay error |
US9934564B2 (en) * | 2016-08-04 | 2018-04-03 | The Boeing Company | Methods and systems to analyze optical images for quantification of manufacturing part quality |
CN109844643A (zh) | 2016-08-19 | 2019-06-04 | Asml荷兰有限公司 | 对曝光后过程进行建模 |
EP3291007A1 (en) | 2016-08-30 | 2018-03-07 | ASML Netherlands B.V. | Patterning stack optimization |
WO2018050432A1 (en) | 2016-09-13 | 2018-03-22 | Asml Netherlands B.V. | Optimization of a lithography apparatus or patterning process based on selected aberration |
DE102016218977B4 (de) * | 2016-09-30 | 2020-11-12 | Carl Zeiss Smt Gmbh | Verfahren zur Ermittlung eines OPC-Modells |
KR102248121B1 (ko) | 2016-10-24 | 2021-05-06 | 에이에스엠엘 네델란즈 비.브이. | 패터닝 디바이스 패턴을 최적화하는 방법 |
US10671786B2 (en) * | 2016-11-29 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of modeling a mask by taking into account of mask pattern edge interaction |
WO2018099716A1 (en) | 2016-12-01 | 2018-06-07 | Asml Netherlands B.V. | Method and system for pattern configuration |
CN110050230B (zh) | 2016-12-02 | 2021-06-11 | Asml荷兰有限公司 | 用于估计随机变量的模型 |
CN114690591A (zh) | 2016-12-23 | 2022-07-01 | Asml荷兰有限公司 | 计算机程序产品和形貌确定方法 |
CN110114727B (zh) * | 2016-12-28 | 2021-10-22 | Asml控股股份有限公司 | 量测工具及使用该量测工具的方法 |
WO2018121965A1 (en) | 2016-12-28 | 2018-07-05 | Asml Netherlands B.V. | Simulation-assisted alignment between metrology image and design |
KR102306558B1 (ko) | 2016-12-28 | 2021-10-05 | 에이에스엠엘 네델란즈 비.브이. | 제조 공정 시 공정 모델들의 안내 및 검사 방법들 |
WO2018125219A1 (en) * | 2016-12-30 | 2018-07-05 | Intel Corporation | Systems, methods, and apparatuses for implementing geometric kernel based machine learning for reducing opc model error |
CN110325921B (zh) | 2017-01-26 | 2022-02-18 | Asml荷兰有限公司 | 微调过程模型的方法 |
EP3358413A1 (en) * | 2017-02-02 | 2018-08-08 | ASML Netherlands B.V. | Metrology method, apparatus and computer program |
US10861755B2 (en) | 2017-02-08 | 2020-12-08 | Verity Instruments, Inc. | System and method for measurement of complex structures |
KR102304331B1 (ko) * | 2017-02-24 | 2021-09-24 | 에이에스엠엘 네델란즈 비.브이. | 기계 학습에 의해 공정 모델들을 결정하는 방법들 |
KR102380916B1 (ko) | 2017-05-12 | 2022-04-01 | 에이에스엠엘 네델란즈 비.브이. | 레지스트 현상을 평가하는 방법들 |
CN108931883B (zh) * | 2017-05-17 | 2022-06-21 | 东方晶源微电子科技(北京)有限公司 | 一种优化掩模版图的方法 |
US10599046B2 (en) * | 2017-06-02 | 2020-03-24 | Samsung Electronics Co., Ltd. | Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure |
WO2019011604A1 (en) | 2017-07-12 | 2019-01-17 | Asml Netherlands B.V. | PREDICTION OF DEFECTS |
EP3441819A1 (en) | 2017-08-07 | 2019-02-13 | ASML Netherlands B.V. | Computational metrology |
KR102352673B1 (ko) | 2017-08-07 | 2022-01-17 | 에이에스엠엘 네델란즈 비.브이. | 컴퓨테이션 계측법 |
EP3462240A1 (en) | 2017-09-27 | 2019-04-03 | ASML Netherlands B.V. | Method of determining control parameters of a device manufacturing process |
WO2019063206A1 (en) | 2017-09-27 | 2019-04-04 | Asml Netherlands B.V. | METHOD FOR DETERMINING CONTROL PARAMETERS OF DEVICE MANUFACTURING PROCESS |
US10495967B2 (en) * | 2017-09-28 | 2019-12-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of mask simulation model for OPC and mask making |
US10386726B2 (en) * | 2017-09-29 | 2019-08-20 | Globalfoundries Inc. | Geometry vectorization for mask process correction |
CN111164729B (zh) | 2017-09-29 | 2023-04-11 | Asml荷兰有限公司 | 带电粒子束检查的样品检查选配方案的动态确定的方法 |
KR102516045B1 (ko) | 2017-10-11 | 2023-03-30 | 에이에스엠엘 네델란즈 비.브이. | 패터닝 공정을 위한 최적화의 흐름 |
US10671052B2 (en) * | 2017-11-15 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Synchronized parallel tile computation for large area lithography simulation |
US11625520B2 (en) | 2017-12-04 | 2023-04-11 | Asml Netherlands B.V. | Systems and methods for predicting layer deformation |
EP3492983A1 (en) | 2017-12-04 | 2019-06-05 | ASML Netherlands B.V. | Systems and methods for predicting layer deformation |
WO2019115426A1 (en) | 2017-12-13 | 2019-06-20 | Asml Netherlands B.V. | Prediction of out of specification physical items |
CN111512235B (zh) | 2017-12-19 | 2022-08-05 | Asml荷兰有限公司 | 基于计算量测的校正和控制 |
US11079687B2 (en) | 2017-12-22 | 2021-08-03 | Asml Netherlands B.V. | Process window based on defect probability |
WO2019121491A1 (en) | 2017-12-22 | 2019-06-27 | Asml Netherlands B.V. | Patterning process improvement involving optical aberration |
CN111492317B (zh) | 2017-12-22 | 2023-01-10 | Asml荷兰有限公司 | 用于减少抗蚀剂模型预测误差的系统和方法 |
KR102454303B1 (ko) | 2018-01-24 | 2022-10-12 | 에이에스엠엘 네델란즈 비.브이. | 컴퓨테이션 계측법 기반 샘플링 스킴 |
WO2019145278A1 (en) | 2018-01-26 | 2019-08-01 | Asml Netherlands B.V. | Pre-scan feature determination methods and systems |
EP3531205A1 (en) | 2018-02-22 | 2019-08-28 | ASML Netherlands B.V. | Control based on probability density function of parameter |
KR102606115B1 (ko) | 2018-02-23 | 2023-11-29 | 에이에스엠엘 네델란즈 비.브이. | 패턴의 시맨틱 분할을 위한 딥 러닝 |
KR102481755B1 (ko) | 2018-02-23 | 2022-12-29 | 에이에스엠엘 네델란즈 비.브이. | 가이드 패터닝 디바이스 검사 |
EP3531206A1 (en) | 2018-02-23 | 2019-08-28 | ASML Netherlands B.V. | Systems and methods for improving resist model predictions |
US10572697B2 (en) | 2018-04-06 | 2020-02-25 | Lam Research Corporation | Method of etch model calibration using optical scatterometry |
WO2019199697A1 (en) * | 2018-04-10 | 2019-10-17 | Lam Research Corporation | Resist and etch modeling |
WO2019200015A1 (en) | 2018-04-10 | 2019-10-17 | Lam Research Corporation | Optical metrology in machine learning to characterize features |
KR102585069B1 (ko) | 2018-06-04 | 2023-10-05 | 에이에스엠엘 네델란즈 비.브이. | 패터닝 공정을 위한 공정 모델을 개선하는 방법 |
US11544440B2 (en) | 2018-06-15 | 2023-01-03 | Asml Netherlands B.V. | Machine learning based inverse optical proximity correction and process model calibration |
US11586114B2 (en) | 2018-06-25 | 2023-02-21 | Asml Netherlands B.V. | Wavefront optimization for tuning scanner based on performance matching |
US10867112B2 (en) * | 2018-06-28 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system |
EP3588191A1 (en) | 2018-06-29 | 2020-01-01 | ASML Netherlands B.V. | Tuning patterning apparatus based on optical characteristic |
EP3594750A1 (en) | 2018-07-10 | 2020-01-15 | ASML Netherlands B.V. | Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images |
WO2020011513A1 (en) | 2018-07-12 | 2020-01-16 | Asml Netherlands B.V. | Utilize pattern recognition to improve sem contour measurement accuracy and stability automatically |
WO2020020759A1 (en) | 2018-07-26 | 2020-01-30 | Asml Netherlands B.V. | Method for determining an etch profile of a layer of a wafer for a simulation system |
CN112602020A (zh) | 2018-08-15 | 2021-04-02 | Asml荷兰有限公司 | 利用机器学习从原始图像自动选择高品质平均扫描电镜图像 |
CN108919601A (zh) * | 2018-08-23 | 2018-11-30 | 中国科学院微电子研究所 | 一种掩模参数的优化方法及装置 |
EP3614194A1 (en) | 2018-08-24 | 2020-02-26 | ASML Netherlands B.V. | Matching pupil determination |
WO2020043474A1 (en) | 2018-08-31 | 2020-03-05 | Asml Netherlands B.V. | Measurement method and apparatus |
TW202020577A (zh) | 2018-09-28 | 2020-06-01 | 荷蘭商Asml荷蘭公司 | 基於晶圓量測判定熱點排序 |
TWI794544B (zh) | 2018-10-09 | 2023-03-01 | 荷蘭商Asml荷蘭公司 | 用於高數值孔徑穿縫源光罩最佳化之方法 |
KR102585137B1 (ko) | 2018-10-17 | 2023-10-06 | 에이에스엠엘 네델란즈 비.브이. | 특성 패턴을 생성하고 기계 학습 모델을 트레이닝하는 방법들 |
CN112889004A (zh) | 2018-10-19 | 2021-06-01 | Asml荷兰有限公司 | 通过源和掩模优化创建理想源光谱的方法 |
US20210405539A1 (en) | 2018-11-05 | 2021-12-30 | Asml Holding N.V. | A method to manufacture nano ridges in hard ceramic coatings |
WO2020094385A1 (en) | 2018-11-08 | 2020-05-14 | Asml Netherlands B.V. | Prediction of out of specification based on spatial characteristic of process variability |
US11354484B2 (en) | 2018-11-08 | 2022-06-07 | Asml Netherlands B.V. | Failure model for predicting failure due to resist layer |
EP3650940A1 (en) | 2018-11-09 | 2020-05-13 | ASML Netherlands B.V. | A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method |
CN113168085A (zh) | 2018-11-30 | 2021-07-23 | Asml荷兰有限公司 | 用于基于可制造性确定图案形成装置图案的方法 |
KR20210082247A (ko) | 2018-11-30 | 2021-07-02 | 에이에스엠엘 네델란즈 비.브이. | 기계 학습 모델 예측 내의 불확실성을 감소시키기 위한 방법. |
EP3660744A1 (en) | 2018-11-30 | 2020-06-03 | ASML Netherlands B.V. | Method for decreasing uncertainty in machine learning model predictions |
EP3663855A1 (en) | 2018-12-04 | 2020-06-10 | ASML Netherlands B.V. | Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices |
CN113196173A (zh) | 2018-12-14 | 2021-07-30 | Asml荷兰有限公司 | 用于对图像图案分组以确定图案化过程中晶片行为的设备和方法 |
WO2020135946A1 (en) | 2018-12-28 | 2020-07-02 | Asml Netherlands B.V. | Method for generating patterning device pattern at patch boundary |
KR102617197B1 (ko) * | 2018-12-28 | 2023-12-27 | 에이에스엠엘 네델란즈 비.브이. | 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정 |
WO2020141056A1 (en) | 2018-12-31 | 2020-07-09 | Asml Netherlands B.V. | Method for predicting resist deformation |
US11561478B2 (en) | 2018-12-31 | 2023-01-24 | Asml Netherlands B.V. | Determining subset of components of an optical characteristic of patterning apparatus |
TWI738169B (zh) | 2019-01-29 | 2021-09-01 | 荷蘭商Asml荷蘭公司 | 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品 |
US10977405B2 (en) | 2019-01-29 | 2021-04-13 | Lam Research Corporation | Fill process optimization using feature scale modeling |
US11086230B2 (en) | 2019-02-01 | 2021-08-10 | Asml Netherlands B.V. | Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process |
US10996572B2 (en) * | 2019-02-15 | 2021-05-04 | Applied Materials, Inc. | Model based dynamic positional correction for digital lithography tools |
WO2020169326A1 (en) | 2019-02-19 | 2020-08-27 | Asml Holding N.V. | Laser roughening: engineering the roughness of the burl top |
CN113508338A (zh) | 2019-02-20 | 2021-10-15 | Asml荷兰有限公司 | 用于表征半导体器件的制造工艺的方法 |
KR20210116613A (ko) | 2019-02-21 | 2021-09-27 | 에이에스엠엘 네델란즈 비.브이. | 마스크에 대한 광학 근접 보정을 결정하기 위한 머신 러닝 모델의 트레이닝 방법 |
US11567413B2 (en) | 2019-02-25 | 2023-01-31 | Asml Netherlands B.V. | Method for determining stochastic variation of printed patterns |
CN113508339A (zh) | 2019-02-27 | 2021-10-15 | Asml荷兰有限公司 | 用于模型校准的改进量规选择 |
US11747739B2 (en) | 2019-03-03 | 2023-09-05 | Asml Netherlands | Method and apparatus for imaging using narrowed bandwidth |
WO2020182440A1 (en) | 2019-03-08 | 2020-09-17 | Asml Netherlands B.V. | Method and apparatus for diffraction pattern guided source mask optimization |
CN113892059A (zh) | 2019-03-25 | 2022-01-04 | Asml荷兰有限公司 | 用于确定图案化过程中的图案的方法 |
EP3742229A1 (en) | 2019-05-21 | 2020-11-25 | ASML Netherlands B.V. | Systems and methods for adjusting prediction models between facility locations |
KR102648599B1 (ko) | 2019-04-09 | 2024-03-15 | 에이에스엠엘 네델란즈 비.브이. | 설비 위치들 사이에서 예측 모델을 조절하기 위한 시스템 및 방법 |
US10990019B2 (en) | 2019-04-09 | 2021-04-27 | Kla Corporation | Stochastic reticle defect dispositioning |
WO2020212107A1 (en) | 2019-04-15 | 2020-10-22 | Asml Netherlands B.V. | Method for determining corrections to features of a mask |
CN113728276A (zh) | 2019-04-25 | 2021-11-30 | Asml荷兰有限公司 | 用于基于缺陷来确定图案化过程的特性以减少热点的方法 |
EP3734365A1 (en) | 2019-04-30 | 2020-11-04 | ASML Netherlands B.V. | Method and apparatus for photolithographic imaging |
EP3963404B1 (en) | 2019-04-30 | 2023-01-25 | ASML Netherlands B.V. | Method and apparatus for photolithographic imaging |
KR20210150574A (ko) | 2019-05-21 | 2021-12-10 | 에이에스엠엘 네델란즈 비.브이. | 원하는 패턴과 관련된 확률적 변화를 결정하기 위한 방법 |
KR20220018050A (ko) | 2019-07-10 | 2022-02-14 | 에이에스엠엘 네델란즈 비.브이. | 모델 예측 불확실성을 감소시키기 위한 모델 교정을 위한 예측 데이터 선택 |
KR20210008678A (ko) | 2019-07-15 | 2021-01-25 | 삼성전자주식회사 | 포토 마스크의 제조 방법 및 반도체 장치의 제조 방법 |
US20220276564A1 (en) | 2019-08-08 | 2022-09-01 | Asml Netherlands B.V. | Method and apparatus for photolithographic imaging |
CN114207517A (zh) | 2019-08-13 | 2022-03-18 | Asml荷兰有限公司 | 训练用于改善图案化过程的机器学习模型的方法 |
KR20220034900A (ko) | 2019-08-20 | 2022-03-18 | 에이에스엠엘 네델란즈 비.브이. | 이미지 내 구조물의 공정 기반 윤곽 정보 개선 방법 |
CN112433443A (zh) * | 2019-08-26 | 2021-03-02 | 上海凸版光掩模有限公司 | 适用于jbx光刻机的图案修正方法、装置、介质、及系统 |
US20220327364A1 (en) | 2019-08-30 | 2022-10-13 | Asml Netherlands B.V. | Semiconductor device geometry method and system |
WO2021043596A1 (en) | 2019-09-03 | 2021-03-11 | Asml Netherlands B.V. | Method for determining aberration sensitivity of patterns |
EP3789826A1 (en) | 2019-09-05 | 2021-03-10 | ASML Netherlands B.V. | Method for determining defectiveness of pattern based on after development image |
CN114556228A (zh) | 2019-09-05 | 2022-05-27 | Asml荷兰有限公司 | 用于基于显影后图像确定图案缺陷的方法 |
EP3789923A1 (en) | 2019-09-06 | 2021-03-10 | ASML Netherlands B.V. | Method for increasing certainty in parameterized model predictions |
CN114341885A (zh) | 2019-09-06 | 2022-04-12 | Asml荷兰有限公司 | 用于增加参数化模型预测的确定性的方法 |
CN114402342A (zh) | 2019-09-16 | 2022-04-26 | Asml荷兰有限公司 | 用于生成特性图案以及训练机器学习模型的方法 |
EP3822703A1 (en) | 2019-11-18 | 2021-05-19 | ASML Netherlands B.V. | Method for determining a field-of-view setting |
US20230023153A1 (en) | 2019-10-08 | 2023-01-26 | Asml Netherlands B.V | Method for determining a field-of-view setting |
US20240126183A1 (en) | 2019-10-24 | 2024-04-18 | Asml Netherlands B.V. | Method for rule-based retargeting of target pattern |
KR20220073828A (ko) | 2019-11-01 | 2022-06-03 | 에이에스엠엘 네델란즈 비.브이. | 모델 베이스 정렬들을 위한 기계 학습 기반 이미지 생성 |
EP4055444A1 (en) | 2019-11-04 | 2022-09-14 | Synopsys, Inc. | Using mask fabrication models in correction of lithographic masks |
WO2021091838A1 (en) * | 2019-11-04 | 2021-05-14 | Synopsys, Inc. | Using mask fabrication models in correction of lithographic masks |
CN114787715A (zh) | 2019-12-02 | 2022-07-22 | 西默有限公司 | 用于增强成像到衬底上的图案的目标特征的方法和系统 |
US20230044490A1 (en) | 2019-12-13 | 2023-02-09 | Asml Netherlands B.V. | Method for improving consistency in mask pattern generation |
US20230100578A1 (en) | 2020-02-12 | 2023-03-30 | Asml Netherlands B.V. | Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model |
DE102020104167B4 (de) * | 2020-02-18 | 2023-01-26 | Carl Zeiss Smt Gmbh | Verfahren zur Vermessung von Photomasken |
US20230076218A1 (en) | 2020-02-21 | 2023-03-09 | Asml Netherlands B.V. | Method for calibrating simulation process based on defect-based process window |
US20230107556A1 (en) | 2020-03-03 | 2023-04-06 | Asml Netherlands B.V. | Machine learning based subresolution assist feature placement |
WO2021229030A1 (en) | 2020-05-14 | 2021-11-18 | Asml Netherlands B.V. | Method for predicting stochastic contributors |
EP3910418A1 (en) | 2020-05-14 | 2021-11-17 | ASML Netherlands B.V. | Method for direct decomposition of stochastic contributors |
US20230185183A1 (en) | 2020-06-03 | 2023-06-15 | Asml Netherlands B.V. | Systems, products, and methods for generating patterning devices and patterns therefor |
WO2021249720A1 (en) | 2020-06-10 | 2021-12-16 | Asml Netherlands B.V. | Aberration impact systems, models, and manufacturing processes |
US20230244152A1 (en) | 2020-06-24 | 2023-08-03 | Asml Netherlands B.V. | Systems, methods, and products for determining printing probability of assist feature and its application |
US20230221652A1 (en) | 2020-07-03 | 2023-07-13 | Asml Netherlans B. V. | Process window based on failure rate |
EP3945367A1 (en) | 2020-07-31 | 2022-02-02 | ASML Netherlands B.V. | Method for controlling a manufacturing process and associated apparatuses |
CN116157744A (zh) | 2020-07-22 | 2023-05-23 | Asml荷兰有限公司 | 用于控制制造工艺的方法和相关联的装置 |
EP3951496A1 (en) | 2020-08-07 | 2022-02-09 | ASML Netherlands B.V. | Apparatus and method for selecting informative patterns for training machine learning models |
US20230298158A1 (en) | 2020-08-19 | 2023-09-21 | Asml Netherlands B.V. | Apparatus and method for selecting high quality images from raw images automatically |
WO2022037921A1 (en) | 2020-08-19 | 2022-02-24 | Asml Netherlands B.V. | Systems, products, and methods for image-based pattern selection |
US11704471B2 (en) * | 2020-09-16 | 2023-07-18 | Synopsys, Inc. | Three-dimensional mask simulations based on feature images |
CN116490824A (zh) | 2020-09-25 | 2023-07-25 | Asml荷兰有限公司 | 图案化过程的扫描仪生产率和成像质量的优化 |
WO2022106132A1 (en) | 2020-11-20 | 2022-05-27 | Asml Netherlands B.V. | Simulation-assisted metrology image alignment |
US20240004305A1 (en) | 2020-12-18 | 2024-01-04 | Asml Netherlands B.V. | Method for determining mask pattern and training machine learning model |
US20240104284A1 (en) | 2020-12-21 | 2024-03-28 | Asml Netherlands B.V. | Feature based cell extraction for pattern regions |
US20240037897A1 (en) | 2020-12-21 | 2024-02-01 | Asml Netherlands B.V. | Feature extraction method for extracting feature vectors for identifying pattern objects |
EP4020085A1 (en) | 2020-12-22 | 2022-06-29 | ASML Netherlands B.V. | Machine learning based image generation of after-development or after-etch images |
CN116648674A (zh) | 2020-12-23 | 2023-08-25 | Asml荷兰有限公司 | 基于带宽和散斑的光刻过程的优化 |
WO2022179802A1 (en) | 2021-02-23 | 2022-09-01 | Asml Netherlands B.V. | A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask |
WO2022184578A1 (en) | 2021-03-03 | 2022-09-09 | Asml Netherlands B.V. | Configuration of patterning process |
KR20230154852A (ko) | 2021-03-08 | 2023-11-09 | 에이에스엠엘 네델란즈 비.브이. | 반도체 제조 관련 프로세스의 패턴 선택 방법 |
KR20240011719A (ko) | 2021-05-25 | 2024-01-26 | 에이에스엠엘 네델란즈 비.브이. | 마스크 규칙 체크 위반 및 마스크 디자인 결정 |
WO2022258398A1 (en) | 2021-06-07 | 2022-12-15 | Asml Netherlands B.V. | Determining rounded contours for lithography related patterns |
TWI833241B (zh) | 2021-06-18 | 2024-02-21 | 荷蘭商Asml荷蘭公司 | 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體 |
WO2022268434A1 (en) | 2021-06-23 | 2022-12-29 | Asml Netherlands B.V. | Etch simulation model including a correlation between etch biases and curvatures of contours |
CN117597627A (zh) | 2021-07-06 | 2024-02-23 | Asml荷兰有限公司 | 确定局部化图像预测误差以改进预测图像的机器学习模型 |
CN117651914A (zh) | 2021-07-21 | 2024-03-05 | Asml荷兰有限公司 | 用于光学器件列的热稳定安装的系统和方法 |
KR20240044432A (ko) | 2021-08-10 | 2024-04-04 | 에이에스엠엘 네델란즈 비.브이. | 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭 |
WO2023030807A1 (en) | 2021-09-02 | 2023-03-09 | Asml Netherlands B.V. | Method of evaluating selected set of patterns |
WO2023046385A1 (en) | 2021-09-22 | 2023-03-30 | Asml Netherlands B.V. | Pattern selection systems and methods |
WO2023084063A1 (en) | 2021-11-15 | 2023-05-19 | Asml Netherlands B.V. | Generating augmented data to train machine learning models to preserve physical trends |
WO2023088649A1 (en) | 2021-11-17 | 2023-05-25 | Asml Netherlands B.V. | Determining an etch effect based on an etch bias direction |
WO2023088641A1 (en) | 2021-11-19 | 2023-05-25 | Asml Netherlands B.V. | Simulation model stability determination method |
EP4194950A1 (en) | 2021-12-08 | 2023-06-14 | ASML Netherlands B.V. | Systems and methods for reducing pattern shift in a lithographic apparatus |
WO2023104504A1 (en) | 2021-12-09 | 2023-06-15 | Asml Netherlands B.V. | Surrounding pattern and process aware metrology |
WO2023110401A1 (en) | 2021-12-14 | 2023-06-22 | Asml Netherlands B.V. | Thermal control systems, models, and manufacturing processes in lithography |
WO2023110346A1 (en) | 2021-12-14 | 2023-06-22 | Asml Netherlands B.V. | Methods, software, and systems for determination of constant-width sub-resolution assist features |
WO2023110347A1 (en) | 2021-12-16 | 2023-06-22 | Asml Netherlands B.V. | Systems and methods for optimizing lithographic design variables using image-based failure rate model |
WO2023131570A1 (en) | 2022-01-05 | 2023-07-13 | Asml Netherlands B.V. | Software, methods, and systems for determination of a local focus point |
WO2023169806A1 (en) | 2022-03-09 | 2023-09-14 | Asml Netherlands B.V. | Methods, systems, and software for determination of failure rates of lithographic processes |
TW202401145A (zh) * | 2022-03-17 | 2024-01-01 | 美商應用材料股份有限公司 | 用於傾斜光柵的阻劑建模方法 |
WO2023180020A1 (en) | 2022-03-22 | 2023-09-28 | Asml Netherlands B.V. | Lithographic pattern representation with curvilinear elements |
WO2023222368A1 (en) | 2022-05-17 | 2023-11-23 | Asml Netherlands B.V. | Diffraction-based pupil determination for optimization of lithographic processes |
WO2024012800A1 (en) | 2022-07-11 | 2024-01-18 | Asml Netherlands B.V. | Systems and methods for predicting post-etch stochastic variation |
WO2024013038A1 (en) | 2022-07-12 | 2024-01-18 | Asml Netherlands B.V. | Stochastic-aware source mask optimization based on edge placement probability distribution |
WO2024013273A1 (en) | 2022-07-14 | 2024-01-18 | Asml Netherlands B.V. | Determining mask rule check violations and mask design based on local feature dimension |
WO2024017807A1 (en) | 2022-07-19 | 2024-01-25 | Asml Netherlands B.V. | Systems and methods for optimizing metrology marks |
WO2024022854A1 (en) | 2022-07-28 | 2024-02-01 | Asml Netherlands B.V. | Training a machine learning model to generate mrc and process aware mask pattern |
WO2024037859A1 (en) | 2022-08-15 | 2024-02-22 | Asml Netherlands B.V. | Method for radiation spectrum aware souce mask optimization for lithography |
WO2024037837A1 (en) | 2022-08-18 | 2024-02-22 | Asml Netherlands B.V. | Suppressing specular reflection of mask absorber and on- resolution field stitching |
WO2024041831A1 (en) | 2022-08-25 | 2024-02-29 | Asml Netherlands B.V. | Modelling of multi-level etch processes |
WO2024046691A1 (en) | 2022-09-02 | 2024-03-07 | Asml Netherlands B.V. | Method for configuring a field of view of an inspection apparatus |
WO2024088666A1 (en) | 2022-10-26 | 2024-05-02 | Asml Netherlands B.V. | Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6091845A (en) * | 1998-02-24 | 2000-07-18 | Micron Technology, Inc. | Inspection technique of photomask |
US6516085B1 (en) | 1999-05-03 | 2003-02-04 | Kla-Tencor | Apparatus and methods for collecting global data during a reticle inspection |
JP4663214B2 (ja) * | 2001-03-20 | 2011-04-06 | シノプシイス インコーポレイテッド | マスク欠陥のプリンタビリティ解析を提供するシステム及び方法 |
JP2002323749A (ja) * | 2001-04-25 | 2002-11-08 | Dainippon Printing Co Ltd | フォトマスクの欠陥部ないし修正後の欠陥部の判定方法 |
JP2003302743A (ja) * | 2002-04-12 | 2003-10-24 | Dainippon Printing Co Ltd | フォトマスクの検査方法 |
US6828542B2 (en) | 2002-06-07 | 2004-12-07 | Brion Technologies, Inc. | System and method for lithography process monitoring and control |
EP1579274A4 (en) * | 2002-07-12 | 2006-06-07 | Cadence Design Systems Inc | METHOD AND SYSTEM FOR CONTROLLING MASKS ACCORDING TO THE CONTEXT |
JP2004163472A (ja) * | 2002-11-08 | 2004-06-10 | Sony Corp | フォトマスクの設計方法、フォトマスク、及び半導体装置 |
US20040225488A1 (en) | 2003-05-05 | 2004-11-11 | Wen-Chuan Wang | System and method for examining mask pattern fidelity |
US6934930B2 (en) | 2003-07-08 | 2005-08-23 | Texas Instruments Incorporated | Generating an optical model for lens aberrations |
US7003758B2 (en) | 2003-10-07 | 2006-02-21 | Brion Technologies, Inc. | System and method for lithography simulation |
JP3959383B2 (ja) * | 2003-10-17 | 2007-08-15 | 株式会社東芝 | 露光装置補正システム、露光装置補正方法及び半導体装置製造方法 |
KR101056142B1 (ko) * | 2004-01-29 | 2011-08-10 | 케이엘에이-텐코 코포레이션 | 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법 |
US7914949B2 (en) * | 2005-02-24 | 2011-03-29 | International Business Machines Corporation | Method for testing a photomask |
JP2006235327A (ja) * | 2005-02-25 | 2006-09-07 | Toshiba Corp | マスクパターンデータ・マスク検査データ作成方法、及びフォトマスクの製造・検査方法 |
WO2007019269A2 (en) | 2005-08-08 | 2007-02-15 | Brion Technologies, Inc. | System and method for creating a focus-exposure model of a lithography process |
JP2007233164A (ja) * | 2006-03-02 | 2007-09-13 | Toshiba Corp | フォトマスクの作成方法 |
-
2006
- 2006-09-08 KR KR1020087008487A patent/KR100982135B1/ko active IP Right Grant
- 2006-09-08 US US11/530,402 patent/US7587704B2/en active Active
- 2006-09-08 US US11/530,409 patent/US7617477B2/en not_active Expired - Fee Related
- 2006-09-08 JP JP2008530222A patent/JP4954211B2/ja active Active
- 2006-09-08 CN CN201210140632.9A patent/CN102662309B/zh not_active Expired - Fee Related
- 2006-09-08 CN CN2006800419911A patent/CN101305320B/zh not_active Expired - Fee Related
- 2006-09-08 EP EP06803184A patent/EP1941321A2/en not_active Withdrawn
- 2006-09-08 WO PCT/US2006/035007 patent/WO2007030704A2/en active Application Filing
-
2010
- 2010-12-27 JP JP2010290500A patent/JP5334956B2/ja active Active
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101113325B1 (ko) * | 2009-05-29 | 2012-03-14 | 주식회사 하이닉스반도체 | 광근접 보정 검증 방법 |
KR101322723B1 (ko) * | 2011-01-28 | 2013-10-28 | 에이에스엠엘 네델란즈 비.브이. | 리소그래피 장치 및 리소그래피 장치의 개선된 구성을 결정하기 위한 방법 |
KR20140051317A (ko) * | 2011-07-20 | 2014-04-30 | 칼 짜이스 에스엠에스 엘티디 | 포토리소그래픽 마스크의 임계 치수 변동을 결정하기 위한 방법 및 장치 |
KR101394585B1 (ko) * | 2011-08-09 | 2014-05-12 | 에이에스엠엘 네델란즈 비.브이. | 3d 토포그래픽 웨이퍼들을 위한 리소그래피 모델 |
KR20200015708A (ko) * | 2017-06-06 | 2020-02-12 | 에이에스엠엘 네델란즈 비.브이. | 측정 방법 및 장치 |
KR20200126921A (ko) * | 2019-04-29 | 2020-11-09 | 엠아이 이큅먼트 (엠) 에스디엔. 비에이치디. | 스켈러톤 웨이퍼 검사 방법 |
Also Published As
Publication number | Publication date |
---|---|
EP1941321A2 (en) | 2008-07-09 |
CN101305320A (zh) | 2008-11-12 |
CN102662309A (zh) | 2012-09-12 |
US20070061772A1 (en) | 2007-03-15 |
JP4954211B2 (ja) | 2012-06-13 |
JP2011100149A (ja) | 2011-05-19 |
WO2007030704A3 (en) | 2007-05-10 |
KR100982135B1 (ko) | 2010-09-14 |
JP2009508167A (ja) | 2009-02-26 |
US7617477B2 (en) | 2009-11-10 |
CN101305320B (zh) | 2012-07-04 |
CN102662309B (zh) | 2014-10-01 |
US7587704B2 (en) | 2009-09-08 |
JP5334956B2 (ja) | 2013-11-06 |
US20070061773A1 (en) | 2007-03-15 |
WO2007030704A2 (en) | 2007-03-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100982135B1 (ko) | 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템 | |
JP7262423B2 (ja) | ウエハレベル欠陥の転写性を予測する装置および方法 | |
US5965306A (en) | Method of determining the printability of photomask defects | |
US7853920B2 (en) | Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing | |
KR100596760B1 (ko) | 시각 검사 및 검증 시스템 | |
JP6594876B2 (ja) | フォトリソグラフィレチクル認定方法及びシステム | |
US10663870B2 (en) | Gauge pattern selection | |
JP5436487B2 (ja) | フォトリソグラフィマスクの検出方法 | |
US20070035712A1 (en) | System and method for measuring and analyzing lithographic parameters and determining optimal process corrections | |
JP7440580B2 (ja) | レチクルを検査する装置および方法 | |
JP2006085175A (ja) | レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体 | |
KR100725170B1 (ko) | 포토마스크의 제작을 위한 시스템 및 방법 | |
JP2008262148A (ja) | リソグラフィマスク用の検査方法及び装置 | |
Pandey et al. | Aerial image metrology (AIMS) based mask-model accuracy improvement for computational lithography | |
Wu et al. | Lithography process calibration with applications in defect printability analysis | |
Sundermann et al. | Model-based mask verification on critical 45nm logic masks | |
Karklin | Lithographic analysis of distributed photomask defects: II. Random mask CD errors |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
N231 | Notification of change of applicant | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20130830 Year of fee payment: 4 |
|
FPAY | Annual fee payment |
Payment date: 20140901 Year of fee payment: 5 |
|
FPAY | Annual fee payment |
Payment date: 20150828 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20160829 Year of fee payment: 7 |
|
FPAY | Annual fee payment |
Payment date: 20170901 Year of fee payment: 8 |
|
FPAY | Annual fee payment |
Payment date: 20180831 Year of fee payment: 9 |