KR20080064830A - 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템 - Google Patents

개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템 Download PDF

Info

Publication number
KR20080064830A
KR20080064830A KR1020087008487A KR20087008487A KR20080064830A KR 20080064830 A KR20080064830 A KR 20080064830A KR 1020087008487 A KR1020087008487 A KR 1020087008487A KR 20087008487 A KR20087008487 A KR 20087008487A KR 20080064830 A KR20080064830 A KR 20080064830A
Authority
KR
South Korea
Prior art keywords
mask
data
model
systematic
error
Prior art date
Application number
KR1020087008487A
Other languages
English (en)
Other versions
KR100982135B1 (ko
Inventor
준 예
스티븐 헌쉬
Original Assignee
브라이언 테크놀로지스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브라이언 테크놀로지스, 인코포레이티드 filed Critical 브라이언 테크놀로지스, 인코포레이티드
Publication of KR20080064830A publication Critical patent/KR20080064830A/ko
Application granted granted Critical
Publication of KR100982135B1 publication Critical patent/KR100982135B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K5/00Irradiation devices

Abstract

제조된 리소그래피 마스크를 검사하고, 마스크 검사 데이터로부터 물리적 마스크 데이터를 추출하며, 물리적 마스크 데이터와 마스크 레이아웃 데이터 간의 차이에 기초하여 계통적 마스크 오차 데이터를 결정하고, 상기 계통적 마스크 오차 데이터에 기초하여 계통적 마스크 오차 파라미터들을 생성하며, 계통적 마스크 오차 파라미터들을 갖는 개별 마스크 오차 모델을 생성하고, 특정 마스크 및/또는 특정 투영 시스템을 이용하여 상기 리소그래피 공정의 패터닝 성능을 예측하며, 패터닝 성능 및 이에 따른 최종 디바이스 수율을 최적화하는 공정 보정들을 예측하는 방법들 및 시스템들이 개시된다.

Description

개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및 시스템{SYSTEM AND METHOD FOR MASK VERIFICATION USING AN INDIVIDUAL MASK ERROR MODEL}
본 출원서는 "System and Methods for Model-Based Mask Verification"이라는 제목의 미국 가출원 제 60/719,837호의 이익을 주장한다. 이와 관련된 출원서의 핵심내용(subject matter)은 본 명세서에서 전문이 인용 참조된다.
본 발명은 광학 리소그래피에 관한 것이며, 더 상세하게는 개별 마스크 오차 모델을 사용하는 마스크 검증에 관한 것이다.
집적 회로 산업은 시작된 이래로 저비용으로 디바이스 기능성을 증대시킴으로써 놀라운 성장률을 유지하여 왔다. 오늘날, 첨단 디바이스는 단지 비용의 일부만으로 전체 공간을 차지하는데 사용된 컴퓨터의 연산 능력을 제공한다. 오늘날 저가의 소비자 디바이스(consumer device)들 대부분은, 비디오 휴대폰(video cell phone), 초-휴대용 미디어 플레이어(ultra-portable media player), 및 무선 또는 초-광대역 인터넷 디바이스(ultra-wideband Internet device)들과 같이, 수년 전 만해도 어떠한 비용으로도 이용할 수 없었던 기능성을 포함한다. 이러한 성장을 가능하게 한 주요 요소들 중 하나는 집적 회로 패턴의 일부분으로서 패터닝될 수 있는 최소 피처 크기를 꾸준히 감소시키는 광학 리소그래피 공정들의 능력이었다. 회 로당 더 많은 피처들을 프린트하는 동시에 피처 크기 및 비용의 이러한 꾸준한 감소는, 통상적으로 "무어의 법칙(Moore's Law)" 또는 리소그래피 "로드맵(roadmap)"이라고 언급된다.
리소그래피 공정은 마스크 상에 마스터 이미지(master image)를 생성한 후, 디바이스 웨이퍼들 상에 그 패턴을 충실히 복사(replicate)하는 것을 수반한다. 마스터 패턴이 설계 사양 내에서 성공적으로 여러 번 복사될수록, 완성된 디바이스 또는 "칩"당 비용은 더 낮아진다. 최근까지, 마스크 레벨 패턴이 웨이퍼 레벨 패턴보다 몇 배 더 클 수 있다는 것을 제외하고는, 마스크 패턴은 웨이퍼 레벨에서 원하는 패턴의 정확한 복사물(duplicate)이었다. 그 후, 이 스케일 팩터(scale factor)는 웨이퍼 노광 시에 노광 툴의 축소율에 의해 보정된다. 마스크 패턴은, 통상적으로 석영 또는 다른 투과성 기판 상에 흡광재(light absorbing material)를 증착시키고 패터닝함으로써 형성된다. 그 후, 특정 노광 파장의 광이 마스크를 통해 디바이스 웨이퍼들 상으로 지향되는 "스테퍼" 또는 스캐너"로 알려진 노광 툴 내에 마스크가 배치된다. 상기 광은 마스크의 투명 영역(clear area)을 통해 투과되고, 흡수 층으로 덮인 영역들에서, 원하는 양만큼, 통상적으로 90 % 내지 100 % 감쇠된다. 또한, 마스크의 몇몇 영역들을 통과한 광은 원하는 위상 각도만큼, 통상적으로 180 도의 정수배만큼 위상-시프트(phase-shift)될 수 있다. 노광 툴에 의해 수집된 후, 결과적인 에어리얼 이미지 패턴(aerial image pattern)이 디바이스 웨이퍼들 상에 포커스된다. 웨이퍼 표면 상에 증착된 감광재(light sensitive material)는 웨이퍼 상에 원하는 패턴을 형성하도록 광과 상호작용하며, 그 후 상 기 패턴은 잘 알려진 공정들에 따라 기능적인 전기 회로들을 형성하기 위해 웨이퍼 상의 하부 층(underlying layer)들 안으로 전사된다.
최근 몇 년 동안, 패터닝되는 피처 크기들은 패턴을 전사하는데 사용되는 광의 파장보다 훨씬 더 작아졌다. "서브-파장(sub-wavelength) 리소그래피"를 지향하는 이러한 경향은 리소그래피 공정에서 적절한 공정 마진(margin)을 유지하는데 있어서 어려움을 증폭시켰다. 마스크 및 노광 툴에 의해 생성되는 에어리얼 이미지들은, 파장에 대한 피처 크기의 비율이 감소함에 따라 선명도(sharpness) 및 콘트라스트(contrast)를 손상시킨다. 이 비율은 최소 피처 크기와 노광 툴의 개구수(numerical aperture)의 곱을 파장으로 나눈 것으로 정의된 k1 팩터에 의해 정량화된다. 현재에는, 노광 파장을 선택하는데 있어서의 실제적 유연성이 제한되며, 노광 툴의 개구수는 물리적인 한계들에 도달하고 있다. 결과적으로, 디바이스 피처 크기의 계속적인 감소는 리소그래피 공정들에서 k1 팩터의 더 적극적인 감소, 부연하면 광학 이미징 시스템의 통상적인 분해능 한계에서의 또는 그 이하에서의 이미징을 요구한다.
낮은-k1 리소그래피를 가능하게 하는 새로운 방법은, 마스크 상의 마스터 패턴들이 최종 웨이퍼 레벨 패턴의 정확한 카피(copy)가 아닌 결과를 초래하였다. 마스크 패턴은 흔히 패턴 밀도 또는 피치(pitch)의 함수로서 패턴의 위치 및 크기에 관하여 조정된다. 다른 기술들은 마스크 패턴 상의 여분의 코너(extra corner)["세리프(serif)", "해머헤드(hammerhead)", 및 다른 패턴]의 추가 또는 배제를 수반하며, 심지어는 웨이퍼 상에 복사되지 않을 지오메트리(geometry)들의 추가도 수반한 다. 이러한 비-프린팅(non-printing) "어시스트 피처(assist feature)들"은 배경 광 세기(background light intensity)("그레이 스케일링(gray scaling)")를 변화시키도록 스캐터링 바아(scattering bar), 홀, 링, 바둑판 또는 "얼룩 줄무늬(zebra stripe)", 및 다른 문헌에 이미 개시된 다른 구조들을 포함할 수 있다. 이 모든 방법들은 흔히 집합적으로 "광 근접성 보정(Optical Proximity Correction)" 또는 "OPC"라고 언급된다. 현재의 하이-엔드(high-end) 설계에서, 더욱더 많은 디바이스 층들은 OPC를 요구하며, 프린트된 패턴이 설계 의도대로 되는 것을 보장하기 위해 거의 모든 피처 에지는 어느 정도의 조정을 필요로 한다. 이러한 확장적 OPC 적용의 구현 및 검증은 세부화된 풀-칩(full-chip) 연산 리소그래피 공정 모델링에 의해서만 가능해 질 수 있으며, 상기 공정은 일반적으로 모델-기반 OPC라고 칭해진다. (참조: "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design," C. Spence, Proc. SPIE, Vol.5751, pp.1-14(2005) 및 "Exploring New High Speed, Mask Aware RET Verification Flows," P. Martin 외, Proc. SPIE 5853, pp114-123,(2005)).
또한, 마스크는 웨이퍼 상에 복사될 수 있거나 복사될 수 없는 위상-시프팅 영역들의 추가에 의해 변경될 수 있다. 교번 어퍼처 시프터(alternate aperture shifter), 이중 노광 마스킹 공정(double expose masking process), 다중 위상 전이(multiple phase transition), 및 감쇠 위상-시프팅 마스크(attenuating phase-shifting mask)를 포함하는 다양한 위상-시프팅 기술들이 문헌에 상세하게 설명되어 있다. 이러한 방법들에 의해 형성된 마스크들은 "위상 시프팅 마스크" 또는 "PSM"으로 알려져 있다. OPC, PSM 등을 포함하여 낮은-k1에서 이미지 슬로프(image slope) 및 콘트라스트를 증가시키는 이 모든 기술들은 집합적으로 "분해능 향상 기술(Resolution Enhancement Technology)" 또는 "RET"라고 언급된다. 흔히 다양한 조합들로 마스크에 적용되는 이 모든 RET들의 결과는, 웨이퍼 레벨에 형성된 최종 패턴이 더 이상 마스크 레벨 패턴의 간단한 복사가 아니라는 것이다. 실제로, 마스크 패턴을 보고 최종 웨이퍼 패턴이 어떻게 나타날지를 쉽게 결정하는 것이 점점 불가능해지고 있다. 이는 마스크가 만들어지고 웨이퍼가 노광되기 이전에 설계 데이터가 올바른지를 검증하는 것뿐만 아니라, RET들이 올바르게 적용되었고 상기 마스크가 그 타겟 사양을 만족하는지를 검증하는데 있어서 어려움을 더욱 증가시킨다.
OPC 및 다른 RET의 증식(proliferation)은 고려해야할 여러 가지 도전과제에 직면하게 한다. 특히, 후(post)-OPC 마스크 설계는 전(pre)-OPC 설계 의도, 즉 제조되어야 할 반도체 구조들에 대해 매우 제한된 유사점을 갖는다. 또한, 더 어려운 과제는, 공정 윈도우의 감소, 즉 k1 팩터의 감소를 수반하는 노광 도즈 및 포커스와 같은 소정 파라미터들의 원하지 않는 변동에 대한 리소그래피 공정의 공차이다. 또한, 근접성 영향이 더 많이 보고되는 바와 같이, 공정 파라미터들의 작은 변화들 하에서의 피처의 정확한 행동양상(behavior)은 극히 비-선형적이며, 때로는 비-직관적이다. 더욱이, 검출되지 않은 소수의 공정 변동들은 디바이스 수율에 예측할 수 없는 상당한 영향을 줄 수 있다. 이러한 수율 손실은 설계의 "약한 지점(weak point)" 또는 "핫 스폿(hot spot)"에서 발생하기 가장 쉬울 것이며, 따라서, 예를 들어 마스크 오염에 의해 발생된 무작위 "점 결함(point defect)"으로 인한 수율 손실과는 질적으로 다르다. 현재 상태의 반도체 제조에서, 이는 디바이스 수율이 무작위 결함들에서보다는 설계 취약점들에 의해 크게 제한된다는 것을 나타낸다.
약한 설계 피처를 불량으로 몰고 갈 수 있는 계층적 공정 변동들의 주요 기여는 마스크 자체의 정확한 물리적 특성이다. 관련된 물리적 마스크 파라미터들은 선폭 바이어스, 코너 라운딩(corner rounding), 마스크-기록 또는 마스크-에칭 근접성 영향들로 인한 피치 의존성, 비등방성, 위상 오차, 편광, 복굴절 또는 일반적으로 "3-D 마스크 영향들"을 포함한다. 이들 파라미터들은 단일 마스크 영역 내에서, 상이한 시간에 제조된 마스크들, 상이한 툴 상에서 제조된 마스크들, 또는 상이한 마스크 샵(mask shop)으로부터의 마스크들 사이에서 변동할 수 있다.
OPC 및 마스크 설계가 세부화된 모델들에 기초하지만, 마스크의 실제 물리적 특성들은 상기 모델의 가정과는 다를 수 있으며, 이에 따라 공정 윈도우들과 최적의 공정 조건들을 변화시킬 수 있거나, 예상할 수 없는 수율 손실을 유발할 수 있다. 이러한 수율 손실은 이전에 언급된 핫 스폿들에서 발생할 수 있다. 하지만, 예상되지 않고 검출되지 않은 물리적 마스크 파라미터들의 변동들은 핫 스폿들의 엄격성(severity)을 상당히 변화시킬 수 있거나, 심지어는 공칭 조건(nominal condition)들 하에서 "미미한(marginal)"(또는 "약한) 것으로 식별되지 않은 패턴들의 불량을 초래할 수도 있다. ("미미한" 또는 "약한" 패턴은, 예를 들어 제조 불확실성 또는 공정 변동으로 인한 불량 또는 수율 손실을 쉽게 유도할 수 있는 패턴이다.)
종래의 마스크 검사는 마스크 상의 먼지 입자 또는 핀홀과 같은 고립된 점 결함들을 검출하는 것에 집중하므로, 마스크의 계층적 오차들과, 그들 공정-윈도우 관련 "설계 결함들" 또는 "핫 스폿들"에 관한 영향을 검출할 수 없다. 도 1은 종래 기술의 제조 공정에 대한 방법 단계들의 흐름도이며, 이러한 종래의 마스크 검사는 단계(120)에서 일어난다. 단계(110)에서, 칩에 대한 설계 의도를 나타내는 전-OPC 설계 레이아웃이 생성된다. 그 후, 단계(112)에서, OPC 및 다른 RET을 이용하여 전-OPC 설계 레이아웃이 처리되어, 후-OPC 마스크 레이아웃을 생성한다. 단계(114)에서, 프린트된 패턴들을 예측하기 위해, 후-OPC 마스크 레이아웃에 적용된 공칭 마스크 오차 모델 및 리소그래피 공정 모델을 이용하여 풀 칩이 시뮬레이션된다. 이러한 리소그래피 공정 모델 및 마스크 모델을 이용하여 리소그래피 공정을 시뮬레이션하는 예시는 미국 특허 제 7,003,758호('758 특허)의 "System and Method for Lithography Simulation"에 개시되며, 그 핵심내용은 본 명세서에서 전문이 인용 참조 된다. 단계(116)에서, 전-OPC 설계 레이아웃, 즉 설계 의도는, 후-OPC 마스크 레이아웃이 허용될 수 있는지를 결정하기 위해 예측된 프린트된 패턴들에 대해 비교된다. 그러하다면, 상기 방법은 단계(118)로 진행하고; 그렇지 않다면, 상기 방법은 단계(112)로 되돌아가며, 여기서 단계(116)으로부터의 비교 결과들이 후-OPC 마스크 레이아웃을 조정하여 새로운 후-OPC 마스크 레이아웃을 생성하는데 사용될 것이며, 그 후 단계들(114 및 116)이 반복될 것이다. 예측된 프린트된 패턴들이 허용될 것인지가 결정되면, 상기 방법은 허용가능한 후-OPC 마스크 레이아웃에 따라 마스크가 제조되는 단계(118)로 진행한다. 그 후, 단계(120)에서, 마스크는 먼지 입자 또는 핀홀과 같은 고립된 점 결함들을 식별하기 위해 검사된다. 단계(122)에서, 식별된 점 결함들은 제조된 마스크가 허용가능한지를 결정하기 위해 평가된다. 그러하다면, 상기 방법은 단계(128)로 진행한다; 마스크가 재생(repair)가능하다면, 상기 방법은 마스크가 재생되는 단계(126)로 진행한 후, 상기 방법은 단계(120)로 되돌아간다; 마스크가 재생가능하지 않다면, 상기 방법은 새로운 마스크가 제조될 단계(118)로 되돌아간다. 선택적인 단계(128)에서, 리소그래피 공정은 단계(114)의 시뮬레이션으로부터의 정보를 이용하여 조정된다. 이러한 정보는 의도된(targeted) 웨이퍼 검사 또는 최적화된 공정 조건들에 대한 핫 스폭 기록들을 포함할 수 있다. 하지만, 설계 단계 중의 시뮬레이션들은 공칭 조건들(특히, 마스크 오차 모델 파라미터들에 대한 공칭 값들)에 기초하기 때문에, 이러한 피드-포워드 정보의 유효성이 제한될 것이다. 단계(130)에서, 웨이퍼들은 제조된(또한, 재생된) 마스크를 이용하여 프린트된다.
도 1에 나타낸 바와 같이, 종래의 디바이스 제조 공정은 OPC 또는 다른 RET을 적용함으로써 후-OPC 마스크 레이아웃에 원하는 디바이스 기능성을 정의하는 전-OPC 설계 레이아웃으로부터 진행한다. 전-OPC 설계 레이아웃을 후-OPC 마스크 레이아웃으로 변환시키는 이 공정은, 현재 리소그래피 공정(예를 들어, 모델-기반 OPC 및 모델-기반 설계 검증)의 수치적 시뮬레이션에 강하게 의존하며, 설계가 허용가능하다고 고려되기 이전에 통상적으로 여러 번의 반복을 요구할 수 있다. 풀-칩 시뮬레이션은 요구에 따라 디바이스의 모든 요소들이 웨이퍼 상에 프린트할 것을 보장하기 위해 요구된다. OPC 생성 및 설계 검증을 위한 시뮬레이션은, 마스크 레이아웃으로부터 프린트된 레지스트 또는 피처 외형(contour)을 예측하여, 투영 공정의 광학 특성뿐만 아니라 생성 웨이퍼 상의 레지스트 층의 특성을 고려할 수 있는, 예를 들어 '758 특허에 개시된 바와 같은 리소그래피 시뮬레이션 시스템을 이용할 수 있다.
마스크 레이아웃이 허용가능하다고 결정되면, 마스크 샵에 의해 제조된 물리적인 마스크가 제조될 것이며, 팹(fab)으로 전달될 것이다. 이 마스크는, 예를 들어 마스크 제조 시의 여하한의 오염으로 인한 여하한의 점 결함들을 검출하고, 가능하게는 재생하기 위해 기존의 마스크 검사 툴들을 이용하여 검사될 수 있다. 이후, 마스크는 생성 웨이퍼들을 프린트하기 위해 노광 툴 안으로 로딩될 것이다. 주목할 것은, 세부화된 시뮬레이션 모델들이 마스크 설계 공정의 중심 부분이지만, 일단 마스크가 만들어졌으면, 전체 리소그래피 디바이스 제조 공정에서는 통상적으로 모델-기반 정보가 이용되지 않는다는 것이다. 실제로, 이 상황은 흔히 마스크 제조 공정을 (예를 들어, OPC가 실제 마스크 상에서 적절히 구현되었는지에 관한) 고려가능한 불확실성에 노출되게 한다. 어떠한 새로운 마스크라도, 예를 들어 설계 타겟에 충분히 가까운 프린트된 선폭을 생성하기 위해서는, 경험적으로 - 본질적으로는 시행착오를 거쳐 - 공정 파라미터들을 조정할 필요가 있다. 결과적으로, 어떤 계통적 마스크 오차들이 마스크 제조 공정에 도입되었다면, 이는 이러한 오차들이 명확히 검출되고 보정될 수 있기 이전에 프린트된 다수의 웨이퍼들을 생성할 수 있으며, 또한 오랜 시간을 소요할 수 있다.
그 결과, 실제 리소그래피 마스크들의 물리적 특성들과, 그들의 패턴 프린팅 공정에 관한 영향들을 검증하여, 설계 의도를 고려하는 시스템들 및 방법들에 관한 강력한 요구가 존재한다. 이러한 방법들은 어떤 웨이퍼들을 노광시키기 이전에 마스크의 예측적 및 순향적(proactive) 능력을 가능하게 할 것이며, 조정이나 공정 보정은 주어진 물리적 마스크의 프린트된 디바이스 수율을 최적화할 수 있을 것이다. 이러한 공정 보정은 정확한 모델링에 의해 식별될 수 있으며, 예를 들어 노광 도즈, 포커스 오프셋, NA-시그마 세팅, 상이한 노광 툴들 간의 선택, 또한, 계통적 마스크 오차 재생 기술이 적용가능하다면, 계통적 마스크 오차들을 재생하도록 마스크 제조 공정으로의 피드백의 조정을 수반할 수 있다.
제조된 리소그래피 마스크를 검사하고, 마스크 검사 데이터로부터 물리적 마스크 데이터를 추출하며, 물리적 마스크 데이터를 이용하여 계통적 마스크 오차 데이터를 생성하고, 계통적 마스크 오차 데이터에 기초하여 계통적 마스크 오차 파라미터들을 갖는 개별 마스크 오차 모델을 생성하며, 마스크 및 OPC 품질을 검증하며, 특정 마스크 및/또는 특정 투영 시스템을 이용하여 리소그래피 공정의 패터닝 성능을 예측하고, 패터닝 성능 및 이에 따른 최종 디바이스 수율을 최적화하는 공정 보정을 예측하는 방법들 및 시스템들이 개시된다.
일 실시예에서, 개별 마스크 오차 모델을 생성하는 방법은 마스크 레이아웃 데이터를 이용하여 제조된 마스크로부터 마스크 검사 데이터를 얻는 단계, 마스크 검사 데이터와 마스크 레이아웃 데이터 간의 차이를 결정하는 단계, 상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 생성하는 단계, 및 상기 계통적 마스크 오차 데이터에 기초하여 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성하는 단계를 포함한다.
일 실시예에서, 개별 마스크 오차 모델을 생성하는 시스템은 마스크 검사 데이터를 생성하도록 구성된 마스크 검사 툴, 및 상기 마스크 검사 데이터로부터 물리적 마스크 데이터를 추출하여, 상기 추출된 물리적 마스크 데이터와 마스크 레이아웃 데이터 간의 차이에 기초하여 계통적 마스크 오차 데이터를 결정하도록 구성되고, 상기 계통적 마스크 오차 데이터를 이용하여 개별 마스크 오차 모델의 계통적 마스크 오차 파라미터들을 생성하도록 구성된 모델-기반 마스크 데이터 분석 시스템을 포함한다.
일 실시예에서는, 노광 툴의 광학 모델 및 레지스트 모델을 포함하는 리소그래피 공정의 모델을 선택하는 단계, 마스크 레이아웃 데이터를 이용하여 제조된 마스크를 나타내는 개별 마스크 오차 모델을 생성하는 단계, 상기 리소그래피 공정의 상기 모델 및 상기 개별 마스크 오차 모델을 이용하여 상기 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 패턴들을 생성하는 단계, 상기 시뮬레이션된 패턴들과 설계 타겟 간의 차이를 결정하는 단계, 및 상기 시뮬레이션된 패턴들과 상기 설계 타겟 간의 차이에 기초하여 상기 노광 툴의 세팅을 최적화하는 단계를 포함하는 방법이 제공된다.
일 실시예에서는, 복수의 노광 툴들에 대해 복수의 광학 모델들을 선택하는 단계 - 각각의 광학 모델은 상기 복수의 광학 모델들 각각에 대해 개별 노광 툴을 나타냄 -, 마스크용 개별 마스크 오차 모델 및 광학 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계, 상기 복수의 광학 모델들 각각에 대해 상기 시뮬레이션된 결과들을 평가하여, 상기 복수의 노광 툴들 중 어느 것이 마스크와 최적인지를 결정하는 단계, 웨이퍼 생산을 위해 최적-매치 노광 툴 및 상기 마스크를 선택하는 방법이 제공된다.
도 1은 종래의 리소그래피 설계 및 제조 공정의 흐름도;
도 2a는 본 발명의 일 실시예에 따른 개별 마스크 오차 모델을 이용하는 마스크 검증 방법 단계들의 흐름도;
도 2b는 본 발명의 또 다른 실시예에 따른 개별 마스크 오차 모델을 이용하는 마스크 검증 방법 단계들의 흐름도;
도 2c는 본 발명의 또 다른 실시예에 따른 개별 마스크 오차 모델을 이용하는 마스크 검증 방법 단계들의 흐름도;
도 3a는 본 발명의 일 실시예에 따른, 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하는 방법 단계들의 흐름도;
도 3b는 본 발명의 일 실시예에 따른, 개별 마스크 오차 모델을 생성하는 방법 단계들의 흐름도;
도 4는 본 발명의 또 다른 실시예에 따른, 다수의 샘플링 위치들에서의 마스크 검사 데이터를 이용하여 개별 마스크 오차 모델을 생성하는 방법 단계들의 흐름도;
도 5a는 본 발명의 일 실시예에 따른, 마스크 샵에서 개별 마스크 오차 모델을 생성하는 모델-기반 마스크 데이터 분석 시스템의 다이어그램;
도 5b는 본 발명의 일 실시예에 따른, 팹에서 개별 마스크 오차 모델을 생성하는 모델-기반 마스크 데이터 분석 시스템의 다이어그램;
도 6은 본 발명의 일 실시예에 따른, 마스크를 검사하는 샘플링 플랜(sampling plan)을 생성하는 방법 단계들의 흐름도;
도 7a는 본 발명의 일 실시예에 따른, 개별 마스크 오차 모델을 생성하고, 마스크 임계 치수(CD) 측정들을 이용하여 마스크를 검증하는 방법 단계들의 흐름도;
도 7b는 본 발명의 일 실시예에 따른, 개별 마스크 오차 모델을 생성하고, 에어리얼 이미지 측정들을 이용하여 마스크를 검증하는 방법 단계들의 흐름도;
도 7c는 본 발명의 일 실시예에 따른, 개별 마스크 오차 모델을 생성하고, 광학 마스크 검사 툴을 이용하여 마스크를 검증하는 방법 단계들의 흐름도;
도 8은 본 발명의 일 실시예에 따른 마스크를 검증하는 방법 단계들의 흐름도;
도 9는 본 발명의 일 실시예에 따른, 마스크 제조 공정의 공칭 마스크 오차 모델을 생성하는 방법 단계들의 흐름도;
도 10a는 본 발명의 또 다른 실시예에 따른, 마스크 제조 공정의 공칭 마스크 오차 모델을 생성하는 방법 단계들의 흐름도; 및
도 10b는 본 발명의 또 다른 실시예에 따른, 마스크 제조 공정의 공칭 마스 크 오차 모델을 생성하는 방법 단계들의 흐름도이다.
실제로, 후-OPC 마스크 레이아웃으로부터 마스크 상의 실제 패턴으로의 패턴 전사 공정 시, 마스크 제조 공정의 변동과 마스크 제조 툴의 불완전성으로 인해 최종 제조된 마스크들 안으로 오차(또는, 본 명세서에서 상호교환적으로 사용되는 결함)가 항상 도입된다. 마스크 오차는 마스크 상에 만들어지도록 의도된 이상적인 후-OPC 마스크 레이아웃과 제조된 마스크 패턴 간의 차이이다. 마스크 오차들은 일반적으로 2 개의 카테고리 - 무작위 마스크 오차들 및 계통적 마스크 오차들 - 로 분류된다. 무작위 마스크 오차들은 모델에 의해 설명될 수 없는 오차들이지만, 제조된 마스크에 무작위로 또한 통계적으로 나타나며, 예를 들면 과도한 입자들 및 핀-홀들이다. 계통적 마스크 오차들은 모델에 의해 설명될 수 있는 오차들이며, 상기 모델은 패턴 환경(예를 들어, 국부적 패턴 밀도, 패턴 크기, 패턴 간격 및 패턴 방위) 및/또는 마스크 상에서의 패턴 위치에 의존한다. 패턴 환경의 의존성은, 예를 들어 마스크 기록기 e-빔 근접성 영향, e-빔 포깅 영향(fogging effect), 에칭 로딩 영향, 및 e-빔 유도 기판 가열 영향에 의해 유도된다. 패턴 위치의 의존성은, 예를 들어 마스크 기록 공정 시의 마스크 기록기의 느린 드리프팅(drifting) 및 후-노광 베이크 온도 비-균일성에 의해 유도된다. 계통적 마스크 오차들을 설명하는 모델은 "마스크 오차 모델" 또는 때때로 간단히 "마스크 모델"이라고 칭해진다. 마스크 오차 모델은 입력으로서 패턴 환경 및 마스크 상의 패턴 위치를 수용하고, 마스크 오차 값들, 예를 들어 CD 오차, 라인-에지 거칠기(line-edge roughness), 위 치 오차, 에칭 깊이 오차, 및 위상-시프팅 마스크에 대한 측벽 각도 오차 등을 출력한다. CD 오차는 제조된 마스크 패턴과 이상적인 후-OPC 마스크 레이아웃 간의 선폭 차이이다. 위치 오차는 제조된 마스크 패턴과 이상적인 후-OPC 마스크 레이아웃 패턴 간의 패턴 중심 위치 차이이다. 에칭 깊이 오차는 제조된 위상-시프팅 마스크 패턴과, 광 빔이 마스크를 통과할 때 필요한 위상-시프팅을 제공하는 마스크 기판 안으로의 설계 의도된 트렌치 깊이 간의 트렌치 깊이 차이이다. 라인 에지 거칠기는 평활하고(smooth) 이상적인 형상으로부터의 라인 에지 편차이다. 측벽 각도 오차는 제조된 마스크의 라인 에지 프로파일과 이상적인 수직 라인 에지 프로파일 간의 각도 차이이다. 마스크 제조 공정은 공정-유도된 평균 마스크 오차들, 예를 들어 코너 라운딩 및 패턴 바이어스를 설명하는 공칭 마스크 오차 모델을 갖는다. 각각의 개별적인 물리적 마스크의 계통적 오차는 공칭 마스크 오차 모델로부터 빗나간다. 개별적인 마스크의 계통적 오차는 본 명세서에 설명되는 바와 같이 개별 마스크 오차 모델에 의해 설명된다. 일 실시예에서, 개별적인 마스크 오차 모델은 경험적으로, 예를 들어 패턴 환경 및 패턴 위치와 비교되는 실험적으로-측정된 코너 라운딩 및 바이어스를 피팅(fitting)함으로써 전개된다. 또 다른 실시예에서, 개별 마스크 오차 모델은, 예를 들어 마스크 기판 내부의 전자 행적(electron trace)을 시뮬레이션하고, 레지스트-대-전자 상호작용을 시뮬레이션하며, 레지스트 현상 시 레지스트의 화학적 공정을 시뮬레이션하는, 마스크 제조 공정의 제 1 주요(first-principal) 물리적 공정 시뮬레이션을 이용하여 전개된다.
마스크 오차를 결정하고 개별 마스크 오차 모델을 생성하기 위하여, 각각의 제조된 마스크는 마스크 검사 데이터를 얻기 위해 검사 툴 또는 메트롤로지 툴에 의해 측정된다. 하지만, 마스크 검사 데이터는 마스크 오차를 결정하는데 요구되는 제조된 물리적 마스크 데이터를 완벽하게 나타낼 필요는 없다. 오차 및 왜곡은 검사 툴 또는 메트롤로지 툴의 비-이상적인 측정 전달 함수의 결과로서 상기 툴에 의해 마스크 검사 데이터 안으로 도입된다. 그러므로, 제조된 마스크 상의 물리적 마스크 데이터를 정확하게 예측하거나 측정하기 위해서, 일 실시예에서는 검사 또는 메트롤로지 툴들의 캘리브레이션 모델들, 예를 들어 광학 검사 툴의 광학 모델이 적용되어, 검사 또는 메트롤로지 툴 출력으로부터 제조된 마스크의 물리적 마스크 데이터를 추출한다. 후-OPC 마스크 레이아웃 데이터와 마스크 검사 데이터로부터의 추출된 물리적 마스크 데이터 간의 차이는 계통적 마스크 오차 데이터로서 지칭된다. 그 후, 일 실시예에서, 계통적 마스크 오차 파라미터들은 패턴 환경 및 마스크 상의 패턴 위치와 같은 마스크 오차 모델의 입력 변수들에 계통적 마스크 오차 데이터를 피팅함으로써 생성된다. 개별 마스크 오차 모델은 마스크 오차 모델에 계통적 마스크 오차 파라미터들을 적용함으로써 최종적으로 생성된다.
도 2a는 본 발명의 일 실시예에 따른 개별 마스크 오차 모델을 이용하는 마스크 검증 방법 단계들의 흐름도이다. 단계(210)에서, 허용가능한 후-OPC 마스크 레이아웃에 따라 마스크가 제조된다. 단계(212)에서, 다수의 가능한 메트롤로지 툴 중 어느 것을 이용하여 마스크가 검사되며, 이하에 더 상세히 설명되는 바와 같이 마스크 검사 데이터를 생성한다. 마스크 검사 데이터는 마스크에 대한 계층적 마스크 오차 데이터를 추출하도록 분석되며, 그 후 계통적 마스크 오차 파라미터들은 계통적 마스크 오차 데이터로부터 결정된다. 단계(214)에서, 개별 마스크 오차 모델은 검사된 특정 마스크에 대해 생성된다. 개별 마스크 오차 모델은 추출된 계통적 마스크 오차 데이터에 기초한 계통적 마스크 오차 파라미터들을 포함한다. 개별 마스크 오차 모델을 생성하는 방법의 일 실시예는 도 3b와 연계하여 아래에 설명된다. 단계(216)에서, 리소그래피 공정은 개별 마스크 오차 모델, 및 리소그래피 공정의 사전-캘리브레이션된 모델(예를 들어, 광학 모델 및 레지스트 모델을 포함함)을 이용하여 전체 디바이스 설계에 대해 시뮬레이션되어, 시뮬레이션된 패턴들을 생성한다. 일 실시예에서, 리소그래피 공정의 사전-캘리브레이션된 모델은 그 핵심내용이 본 명세서에서 그 전문이 인용 참조 되는 "System and Method for Creating a Focus-Exposure Model of a Lithography Process"이라는 제목의 미국 특허 출원 제 11/461,994호에 개시된 포커스-노광 모델이다. 단계(218)에서, 전-OPC 설계 레이아웃이 얻어진다. 전-OPC 설계 레이아웃은 마스크를 제조하는데 사용되었던 후-OPC 레이아웃을 생성하는데 사용되었다. 단계(220)에서, 시뮬레이션된 패턴들은, 어떤 웨이퍼들도 노광시키기 이전에, 부연하면 고가의 노광과 웨이퍼 메트롤로지 툴 시간을 요구하지 않고, 또한 제품 웨이퍼들이 이후 계통적 마스크 오차들로 인해 스크랩될 수도 있는 결과를 초래하지 않고, 제조된 마스크가 원하는 패터닝 성능을 전달할 것인지를 결정하기 위해 전-OPC 설계 레이아웃에 대해 비교된다. 단계(222)에서, 제조된 마스크가 원하는 패터닝 성능을 전달할 수 있다고 결정된다면, 상기 방법은 단계(228)로 진행하고; 그렇지 않다면, 상기 방법은 재생가능한지 또는 재작업가능한지를 결정하기 위해 마스크가 평가되는 단계(224)로 진행한다. 마스크가 재생가능하거나 재작업가능하다면, 상기 방법은 개별 마스크 오차 모델을 이용하여 생성된 시뮬레이션된 패턴들에 기초하여 마스크가 재생되거나 재작업되는 단계(226)로 진행한 후, 상기 방법은 재생되거나 재작업된 마스크가 검사되는 단계(212)로 되돌아간다. 개별 마스크 오차 모델을 이용하여 생성된 시뮬레이션된 패턴들은 마스크 재생 툴들에 중요한 정보를 제공한다. 예를 들어, 인-필드(in-field) CD 변동은 개별 마스크 오차 모델을 이용하여 생성된 시뮬레이션된 패턴들로부터 도출된 인-필드 CD 균일성 맵을 이용하는 빠르게 펄스화된 레이저 기술을 이용하여 보정될 수 있다. (참조, "CD Variations Correction by Local Transmission Control of Photomasks Done with a Novel Laser Based Process," E. Zait, 외, Metrology, Inspection, and Process Control for Microlithography XX, Chas N. Archie, Editor, Proc. SPIE, Vol.6152, (2006)). 마스크가 재생가능하거나 재작업가능하지 않다면, 상기 방법은 새로운 마스크가 제조될 단계(210)로 되돌아간다.
시뮬레이션된 패턴들로부터의 어떤 피드-포워드 정보를 웨이퍼 생산 공정에 제공할 수 있다. 도 2a에서, 이 피드-포워드 가능성은 노광 툴의 공정 조건 파라미터들이 시뮬레이션된 패턴들로부터의 정보를 이용하여 조정되는 선택 단계(228)로서 나타내어진다. 단계(230)에서, 마스크를 이용하여 웨이퍼들이 프린트된다.
도 2a의 방법의 일부분(단계 210 내지 226)은 마스크를 팹에 전달하기 이전에 마스크 샵에서 수행될 수 있는 한편, 도 2a의 방법의 또 다른 부분(단계 212 내지 222)은 내입 마스크(incoming mask)를 적성화(qualify)하기 위해 팹에서 수행될 수 있다. 개별 마스크 오차 모델을 이용하여 생성된 시뮬레이션된 패턴들을 이용하는 마스크 검증은 실제 웨이퍼들의 노광, 현상, 그리고 메트롤로지를 요구하지 않기 때문에 상당한 시간과 비용을 절약한다. 또한, 이는 사용되는 특정 마스크에 대해 디바이스 설계의 공통 공정 윈도우를 최적화할 공정 보정들을 순향적으로 예측할 수 있는 능력과, OPC 품질 제어 및 마스크의 정량적 기초(quantifiable basis)를 제공한다. 예를 들어, 개별 마스크 오차 모델을 이용하여 생성된 시뮬레이션된 패턴들은, 이 특정 마스크를 위해, 노광 툴의 광학 모델이 마스크의 개별 마스크 오차 모델과 조합될 때 최적의 시뮬레이션된 패턴들을 생성하는 것을 검토함으로써, 몇몇 적용가능한 노광 툴들 중 최적의 노광 툴을 선택하는데 사용될 수 있다. 또 다른 예시에서, 노광 툴들의 세팅들은 어떤 세팅들이 마스크의 개별 마스크 오차 모델과 조합하여 최적의 시뮬레이션된 패턴들을 생성할 것인지를 검토함으로써 최적화될 수 있다.
도 2b는 본 발명의 일 실시예에 따른 개별 마스크 오차 모델을 이용하는 마스크 검증 방법 단계들의 흐름도이다. 단계(240)에서, 허용가능한 후-OPC 마스크 레이아웃에 따라 마스크가 제조된다. 단계(242)에서, 다수의 가능한 메트롤로지 툴 중 어느 것을 이용하여 마스크가 검사되며, 이하에 더 상세히 설명되는 바와 같이 마스크 검사 데이터를 생성한다. 마스크 검사 데이터는 마스크에 대한 계층적 마스크 오차 데이터를 추출하도록 분석되며, 그 후 계통적 마스크 오차 파라미터들은 계통적 마스크 오차 데이터로부터 결정된다. 단계(244)에서, 개별 마스크 오차 모델은 검사된 특정 마스크에 대해 생성된다. 개별 마스크 오차 모델은 추출된 계통 적 마스크 오차 데이터에 기초한 계통적 마스크 오차 파라미터들을 포함한다. 개별 마스크 오차 모델을 생성하는 방법의 일 실시예는 도 3b와 연계하여 아래에 설명된다. 단계(246)에서, 리소그래피 공정은 개별 마스크 오차 모델, 및 리소그래피 공정의 사전-캘리브레이션된 모델(예를 들어, 광학 모델 및 레지스트 모델을 포함함)을 이용하여 전체 디바이스 설계에 대해 시뮬레이션되어, 시뮬레이션된 패턴들을 생성한다. 일 실시예에서, 리소그래피 공정의 사전-캘리브레이션된 모델은 그 핵심내용이 본 명세서에서 인용 참조 되는 "System and Method for Creating a Focus-Exposure Model of a Lithography Process"이라는 제목의 미국 특허 출원 제 11/461,994호에 개시된 포커스-노광 모델이다. 단계(248)에서, 리소그래피 공정은 리소그래피 공정의 모델 및 공칭 마스크 오차 모델을 이용하여 시뮬레이션되어, 공칭 시뮬레이션된 패턴들을 생성한다. 공칭 마스크 오차 모델은 공정-유도된 평균 마스크 오차들을 나타내는 모델 파라미터들을 포함하며, 이후 도 9 내지 도 10b와 연계하여 더 자세히 설명하기로 한다. 공칭 마스크 오차 모델은 이 개별 마스크의 마스크 검사 데이터에 기초하지 않지만, 테스트 마스크들을 이용하여 따로 캘리브레이션될 수 있거나, 사전-검사된 다수의 마스크들의 개별 마스크 오차 모델들을 평균화함으로써 얻어질 수 있다. 공칭 마스크 오차 모델들은 상기 도 1과 연계하여 또한 미국 특허 출원 제 11/461,994호에 설명된 바와 같이, 이 개별 마스크를 위해 OPC 보정에 사용된 리소그래피 공정 모델의 일부분으로서 포함되었을 수 있다. 단계(250)에서, 시뮬레이션된 패턴들은, 어떤 웨이퍼들도 노광시키기 이전에, 부연하면 고가의 노광과 웨이퍼 메트롤로지 툴 시간을 요구하지 않고, 또한 제품 웨이퍼 들이 이후 계통적 마스크 오차들로 인해 스크랩될 수도 있는 결과를 초래하지 않고, 제조된 마스크가 원하는 패터닝 성능을 전달할 것인지를 결정하기 위해 공칭 시뮬레이션된 패턴들에 대해 비교된다. 단계(252)에서, 제조된 마스크가 원하는 패터닝 성능을 전달할 수 있다고 결정된다면, 상기 방법은 단계(258)로 진행하고; 그렇지 않다면, 상기 방법은 재생가능한지 또는 재작업가능한지를 결정하기 위해 마스크가 평가되는 단계(254)로 진행한다. 마스크가 재생가능하거나 재작업가능하다면, 상기 방법은 개별 마스크 오차 모델을 이용하여 생성된 시뮬레이션된 패턴들에 기초하여 마스크가 재생되거나 재작업되는 단계(256)로 진행한 후, 상기 방법은 재생되거나 재작업된 마스크가 검사되는 단계(242)로 되돌아간다. 마스크가 재생가능하거나 재작업가능하지 않다면, 상기 방법은 새로운 마스크가 제조될 단계(240)로 되돌아간다.
시뮬레이션된 패턴들로부터의 어떤 피드-포워드 정보를 웨이퍼 생산 공정에 제공할 수 있다. 도 2b에서, 이 피드-포워드 가능성은 노광 툴의 공정 조건 파라미터들이 시뮬레이션된 패턴들로부터의 정보를 이용하여 조정되는 선택 단계(258)로서 나타내어진다. 단계(260)에서, 마스크를 이용하여 웨이퍼들이 프린트된다.
도 2c는 본 발명의 일 실시예에 따른 개별 마스크 오차 모델을 이용하는 마스크 검증 방법 단계들의 흐름도이다. 단계(270)에서, 허용가능한 후-OPC 마스크 레이아웃에 따라 마스크가 제조된다. 단계(272)에서, 다수의 가능한 메트롤로지 툴 중 어느 것을 이용하여 마스크가 검사되며, 이하에 더 상세히 설명되는 바와 같이 마스크 검사 데이터를 생성한다. 마스크 검사 데이터는 마스크에 대한 계층적 마스 크 오차 데이터를 추출하도록 분석되며, 그 후 계통적 마스크 오차 파라미터들은 계통적 마스크 오차 데이터로부터 생성된다. 단계(274)에서, 개별 마스크 오차 모델은 검사된 특정 마스크에 대해 생성된다. 개별 마스크 오차 모델은 추출된 계통적 마스크 오차 데이터에 기초하여 생성된 계통적 마스크 오차 파라미터들을 포함한다. 개별 마스크 오차 모델을 생성하는 방법의 일 실시예는 도 3b와 연계하여 아래에 설명된다. 단계(276)에서, 리소그래피 공정은 개별 마스크 오차 모델, 및 리소그래피 공정의 사전-캘리브레이션된 모델(예를 들어, 광학 모델 및 레지스트 모델을 포함함)을 이용하여 전체 디바이스 설계에 대해 시뮬레이션되어, 시뮬레이션된 패턴들을 생성한다. 일 실시예에서, 리소그래피 공정의 사전-캘리브레이션된 모델은 그 핵심내용이 본 명세서에서 인용 참조 되는 "System and Method for Creating a Focus-Exposure Model of a Lithography Process"이라는 제목의 미국 특허 출원 제 11/461,994호에 개시된 포커스-노광 모델이다. 단계(278)에서, 리소그래피 공정은 리소그래피 공정의 모델 및 공칭 마스크 오차 모델을 이용하여 바로 시뮬레이션되어, 이상적인 시뮬레이션된 패턴들을 생성한다. 후-OPC 마스크 레이아웃은 오차-없는 "완벽한" 마스크를 나타낸다. 단계(280)에서, 시뮬레이션된 패턴들은, 어떤 웨이퍼들도 노광시키기 이전에, 부연하면 고가의 노광과 웨이퍼 메트롤로지 툴 시간을 요구하지 않고, 또한 제품 웨이퍼들이 이후 계통적 마스크 오차들로 인해 스크랩될 수도 있는 결과를 초래하지 않고, 제조된 마스크가 원하는 패터닝 성능을 전달할 것인지를 결정하기 위해 이상적인 시뮬레이션된 패턴들에 대해 비교된다. 단계(282)에서, 제조된 마스크가 원하는 패터닝 성능을 전달할 수 있다고 결 정된다면, 상기 방법은 단계(288)로 진행하고; 그렇지 않다면, 상기 방법은 재생가능한지 또는 재작업가능한지를 결정하기 위해 마스크가 평가되는 단계(284)로 진행한다. 마스크가 재생가능하거나 재작업가능하다면, 상기 방법은 개별 마스크 오차 모델을 이용하여 생성된 시뮬레이션된 패턴들에 기초하여 마스크가 재생되거나 재작업되는 단계(286)로 진행한 후, 상기 방법은 재생되거나 재작업된 마스크가 검사되는 단계(272)로 되돌아간다. 마스크가 재생가능하거나 재작업가능하지 않다면, 상기 방법은 새로운 마스크가 제조될 단계(270)로 되돌아간다.
시뮬레이션된 패턴들로부터의 어떤 피드-포워드 정보를 웨이퍼 생산 공정에 제공할 수 있다. 도 2c에서, 이 피드-포워드 가능성은 노광 툴의 공정 조건 파라미터들이 시뮬레이션된 패턴들로부터의 정보를 이용하여 조정되는 선택 단계(288)로서 나타내어진다. 단계(290)에서, 마스크를 이용하여 웨이퍼들이 프린트된다.
여러 가지 상이한 메트롤로지 툴들은 마스크를 검사하여, 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성하는데 사용되는 계통적 마스크 오차 데이터를 추출하도록 분석될 마스크 검사 데이터를 생성하기 위해 사용될 수 있다.이들 메트롤로지 툴들은 제한적인 것은 아니지만, 종래의 광학 마스크 검사 툴들, 임계 치수 주사 전자 현미경(CD-SEM) 또는 이미징 SEM, 원자력 현미경(AFM) 또는 스캐터로메트리 시스템, 또는 에어리얼 이미지 측정 시스템(AIMS) 툴을 포함한다. 일 실시예에서, 이미지 센서 어레이를 포함하는 센서 웨이퍼는 마스크뿐만 아니라 웨이퍼 생산에 사용될 마스크-노광 툴 조합의 성능을 검증하고, 가능하게는 최적화하기 위해, 실제 조명 및 투영 조건들 하에서 올바른(correct) 노광 파장에 서 인-시튜(in-situ)로, 부연하면 노광 툴의 웨이퍼 평면에서 마스크로부터 투영된 에어리얼 이미지를 측정하는데 사용된다. 노광 툴에 의해 생성된 에어리얼 이미지를 측정하는 이미지 센서 어레이의 일 실시예는 그 핵심내용이 본 명세서에서 인용 참조되는 "System and Method for Lithography Process Monitoring and Control"이라는 제목의 미국 특허 제 6,803,554호에 개시되어 있다.
계통적 마스크 오차 파라미터들을 생성하는 마스크의 계통적 마스크 오차 데이터를 추출하는 어떠한 마스크 메트롤로지 기술도, 일반적으로는 마스크의 전체 노광 필드 검사를 요구하지 않으며, 제한된 개수의 샘플들에 기초할 수 있다. 점 결함들을 식별하기 위해 전체 노광 필드 검사를 요구하는 종래의 마스크 검사와의 질적인 차이는, 다양한 검사 툴들 중 어느 것을 사용하여, 계통적 마스크 오차 데이터를 추출하고, 상기 계통적 마스크 오차 데이터로부터 계통적 마스크 오차 파라미터들을 생성하는 고려할만한 유연성 때문이다. 본 발명의 일 실시예에서, 노광 필드 내의 적절한 샘플링 위치들은 검사되는 마스크의 후-OPC 레이아웃 정보에 기초하여 리소그래피 시뮬레이션 시스템과 통합될 수 있는 소프트웨어 툴에 의해 자동으로 식별된다.
또한, 바람직하게는 계통적 마스크 오차 파라미터들이 리소그래피 공정 시뮬레이션에 사용될 개별 마스크 오차 모델에 대해 생성되는 것은 분명하지만, 마스크 품질은 리소그래피 시뮬레이션 시스템을 이용하여 각각의 메트롤로지 툴 상의 마스크 측정값들의 예상되는 결과들(예를 들어, 스캐너 내의 이미지 센서 어레이에 의해 이미지)을 "포워드" 계산하고, 시뮬레이션과 실제 측정 간의 일치 메트 릭(metric)을 정량화하며, 및/또는 허용/거절 기준으로서 이 메트릭 상에서의 적절한 경계들을 특정화함으로써 검증되고 테스트될 수 있다.
또한, 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하는 것은 제조된 개별 마스크들을 특성화하는데 적합하지만, 공칭 마스크 공정 모델을 이용하여 리소그래피 공정을 시뮬레이션하는 것은 개별 마스크들보다는 특정 마스크 제조 공정이나 특정 마스크 제조 툴을 특성화하는데 사용될 수 있다. 바람직한 실시예에서, 계통적 마스크 오차 파라미터들의 관련 파라미터 공간을 완전히 포괄(cover)하는 잘-정의된 패턴 변동을 갖는 특정 테스트 마스크들은 생산 마스크들 대신에, 마스크 제조 공정에 대한 공칭 마스크 공정 모델을 생성하기 위해 검사된다. 마스크 제조 공정의 공칭 마스크 공정 모델은 이후 도 9 내지 도 10b와 연계하여 더 자세히 설명하기로 한다.
또 다른 실시예에서, 마스크 제조 공정의 공칭 마스크 공정 모델에 대한 계통적 마스크 오차 파라미터들은 마스크 제조 공정의 실험적 또는 제 1 원리(first-principle) 모델로부터 결정된다. 마스크 제조 공정은 일반적으로 웨이퍼 프린팅 공정과 유사한 기술들, 즉 기록 툴에 의한 레지스트 노광, 레지스트 현상, 및 마스크 기판의 후속 에칭을 이용한다. 리소그래피 공정 시뮬레이션에 사용된 것과 유사한 시뮬레이션 시스템은 계통적 마스크 오차 데이터를 추출하고, 그 후 마스크 제조 공정 파라미터들의 적절한 캘리브레이션 후에 계통적 마스크 오차 파라미터들을 생성하는데 사용될 수 있다.
이하, 본 발명의 몇몇 실시형태들과 특정 실시예들을 더 상세히 설명한다. 이들 특정 실시예들 중 어떠한 설명도, 본 발명을 이들 특정 실시예들로 제한하려는 것이 아니며, 일반적인 원리를 설명하는 예시로서 의도된다.
리소그래피 공정의 모델은 마스크 특성들(개별 마스크 오차 모델과 같은 마스크 모델); 개구수, 부분 간섭성(partial coherence), 조명 프로파일 및 수차들과 같은 조명 및 투영 시스템의 광학 특성들(광학 모델); 및 레지스트 특성들(레지스트 모델)을 나타내는 별도의 모듈들을 포함한다는 것이 중요하다. 모델 분리가능성(model separability)의 중요성은 이미 다른 곳에 설명되었으며; 간명하게는 "럼프된 모델(lumped model)들"이 존재하며, 리소그래피 공정의 성능을 어느 정도 예측할 수 있다. 하지만, 리소그래피 공정의 분리가능한 모델은 물리적 리얼리티(physical reality)를 더 밀접하게 반영하며, 특히 예를 들어 공정 윈도우에 걸친 공정 파라미터들의 변동 하에서 더 정확하고 더 견실한(robust) 예측을 허용한다. 이러한 정확한 예측을 달성하는 시스템 및 방법은 "System and Method for Creating a Focus-Exposure Model of a Lithography Process"이라는 제목의 미국 특허 출원 제 11/461,994호에 개시되어 있다. 특히, 미국 특허 출원 제 11/461,994호에는 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및 방법이 개시되어 있다. 상기 시스템 및 상기 방법은, 특히 노광-디포커스 공정 윈도우 공간 내에서의 파라미터 변동의 다중 디멘션(dimension)을 따라 캘리브레이션 데이터를 이용한다. 상기 시스템 및 상기 방법은, 공칭 공정 조건들에서 시뮬레이션들의 더 양호한 정확성 및 견실성을 유도할 뿐만 아니라, 상이한 세팅들에서 리캘리브레이션(recalibration)할 필요 없이 전체 공정 윈도우 전역에 걸쳐 어떠한 지점에서도 리소그래피 성능을 계속 예측할 수 있는 능력을 갖게 하는 통합된 모델 파라미터 세트를 제공한다. 포커스-노광 모델과 연계하여 개별 마스크 오차 모델을 이용하는 시뮬레이션들은 웨이퍼 프린팅 공정의 성능을 정확히 예측하는데 사용될 수 있는 매우 현실적인 시뮬레이션된 패턴들을 제공한다.
도 3a는 본 발명의 일 실시예에 따른 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하는 방법 단계들의 흐름도이다. 단계(310)에서, 디바이스의 설계 의도를 나타내는 전-OPC 설계 레이아웃이 얻어진다. 그 후, 단계(312)에서, 후-OPC 마스크 레이아웃이 얻어진다. 후-OPC 마스크 레이아웃은 OPC 및 다른 RET을 전-OPC 설계 레이아웃에 적용함으로써 생성된다. 단계(314)에서, 후-OPC 마스크 레이아웃을 이용하여 제조된 마스크의 개별 마스크 오차 모델이 생성된다. 개별 마스크 오차 모델을 생성하는 방법의 일 실시예는 이후 도 3b와 연계하여 설명된다. 그 후, 단계(316)에서, 노광 공정은 노광 툴의 (미국 특허 출원 제 11/461,994호에 개시된 포커스-노광 모델의 광학 모델과 같은) 광학 모델 및 개별 마스크 오차 모델을 이용하여 시뮬레이션되어, 시뮬레이션된 에어리얼 이미지, 즉 레지스트-코팅된 웨이퍼 상으로 투영된 시뮬레이션된 패턴들을 생성한다. 단계(318)에서, 레지스트 층 내의 패턴들의 형성은 시뮬레이션된 에어리얼 이미지와 레지스트 모델을 이용하여 시뮬레이션되어, 시뮬레이션된 패턴들을 생성한다. 그 후, 단계(320)에서, 시뮬레이션된 패턴들은 레지스트 내의 프린트된 패턴들의 예측된 임계 치수와 외형을 결정하기 위해 분석된다.
또한, 모델 분리가능성은 리소그래피 공정 모델의 별도의 모듈들이 모델 구 성요소들에 의해 설명된 물리적 개체의 특성들 또는 변화들을 반영하기 위해 독립적으로 캘리브레이션되거나, 조정되거나, 조절될 수 있다는 것을 암시한다. 예를 들어, 공지된 마스크가 상이한 노광 툴들 상에서 사용되거나, NA 또는 시그마와 같은 상이한 광학 세팅들을 이용하여 사용되는 경우, 상이한 광학 모델들은 동일한 개별 마스크 오차 모델과 연계하여 사용될 수 있다. 상이한 노광 툴들의 상이한 광학 모델들로 동일한 개별 마스크 오차 모델을 이용하는 시뮬레이션들은 개별 마스크 오차 모델에 의해 나타내어진 마스크를 이용하여 최적의 성능을 제공할 노광 툴을 식별하는데 사용될 수 있다. 분명하게는, 개별 마스크 오차 모델은 마스크의 물리적 타입, 즉 감쇠 및 위상 시프팅 레벨들을 올바르게 나타내기 위해 요구된다. 또한, 개별 마스크 오차 모델은 마스크 제조 공정과 관련된 계통적 변동 또는 불완전성을 항상 고려한다. 이들 변동 또는 불완전성은 실제 마스크 선폭 대 설계된 선폭의 전체 바이어스, 마스크 영역에 걸친 이러한 바이어스들의 공간 변동, 피치, 패턴 밀도 또는 방위를 갖는 이러한 바이어스들의 변동을 포함한다. 또한, 바이어스 이외에도, 개별 마스크 오차 모델에 의해 설명된 계통적 마스크 오차들은 코너 라운딩 반경 또는 라인 에지 거칠기를 포함할 수 있다. 또한, 개별 마스크 오차 모델은 광과 마스크 구조 간 상호작용의 더 자세한 3-차원 모델링을 사용할 수 있다.
도 3b는 본 발명의 일 실시예에 따른 개별 마스크 오차 모델을 생성하는 방법 단계들의 흐름도이다. 단계(330)에서, 후-OPC 마스크 레이아웃은 통상적으로 GDSII 포맷의 CAD 파일로 얻어지고, 후-OPC 마스크 레이아웃의 공칭 마스크 오차 모델이 생성된다. 단계(332)에서, 후-OPC 마스크 레이아웃을 이용하여 제조된 마스 크가 얻어진다. 단계(334)에서, 상기 마스크는 적절한 검사 툴로 검사되어, 마스크 검사 데이터를 생성한다. 단계(336)에서, 마스크 검사 데이터는 전체 선폭 바이어스를 식별하기 위해 분석되고, 전체 선폭 바이어스는 공칭 마스크 오차 모델에 적용되어, 업데이트된 마스크 오차 모델을 생성한다. 전체 선폭 바이어스는, 마스크 상에 생성된 라인들의 폭이 모든 선폭들의 설계 값들과 계통적으로 상이할 때 발생한다. 선택 단계(338)에서, 마스크 검사 데이터는 전체 위상 바이어스를 식별하기 위해 분석되고, 전체 위상 바이어스는 업데이트된 마스크 오차 모델에 적용된다. 위상-시프팅 마스크들의 경우, 설계되고 제조된 위상 단차들 간의 전체 오프셋이 발생할 수 있다. 선택 단계(338)는 제조된 마스크가 위상-시프팅 마스크인 때에만 적용할 것이다. 단계(340)에서, 마스크 검사 데이터는 패턴-의존적 선폭 또는 바이어스 변동을 식별하기 위해 분석되며, 이들 변동들은 업데이트된 마스크 오차 모델에 적용된다. 이들 변동들은 패턴-방위 의존적 변동들, (조밀한 라인 패턴들에 대한 피치 의존성과 같은) 패턴-밀도 의존적 변동들, 또는 마스크 영역에 걸친 임계 치수의 계통적 변동들을 포함할 수 있다. 단계(342)에서, 마스크 검사 데이터는 코너 라운딩 영향들을 식별하기 위해 분석되며, 코너-라운딩 영향들은 업데이트된 마스크 오차 모델에 적용된다. 코너 라운딩 영향들은 이미징 처리에서 잘 알려진 모폴로지 작업(morphological operation)들이나 저역-통과 필터링(low-pass filtering), 또는 예를 들어, 2-차원 가우시안 함수를 갖는 콘볼루션(convolution)에 의해 모델링될 수 있다. 단계(344)에서, 마스크 검사 데이터는 다른 계통적 마스크 오차 파라미터들을 식별하여 마스크의 특성들을 정확히 설명하기 위해 분석되 며, 이들 계통적 마스크 오차 파라미터들은 업데이트된 마스크 오차 모델에 적용된다. 단계(346)에서, 모든 계통적 마스크 오차 파라미터들을 이용하여 업데이트된 마스크 오차 모델은 개별 마스크 오차 모델로서 지정된다. 개별 마스크 오차 모델은 원래의 후-OPC 설계 레이아웃을 수정하는 작업들, 및 이들 작업들의 특정 파라미터 세트를 반영한다.
개별 마스크 오차 모델을 생성하는 계통적 마스크 오차 파라미터들을 추출하는 것은 일반적으로 전체-필드 마스크 검사를 요구하지 않을 것이다. 이러한 추출은 마스크 내의 나타낸 위치들의 적절히 선택된 샘플링으로부터의 마스크 검사 데이터에 기초할 수 있다. 도 4는 본 발명의 또 다른 실시예에 따른 다수의 샘플링 위치들로부터의 마스크 검사 데이터를 이용하여 개별 마스크 오차 모델을 생성하는 방법 단계들의 흐름도이다. 단계(410)에서, 디바이스의 설계 의도를 나타내는 전-OPC 설계 레이아웃이 생성된다. 단계(412)에서, 전-OPC 설계 레이아웃은 OPC 및 다른 RET을 이용하여 처리되어, 후-OPC 마스크 레이아웃을 생성하고, 후-OPC 마스크 레이아웃에 대한 공칭 마스크 오차 모델이 생성된다. 단계(414)에서, 리소그래피 공정은 리소그래피 공정의 모델(예를 들어, 광학 모델 및 레지스트 모델을 포함함) 및 공칭 마스크 오차 모델을 이용하여 시뮬레이션되어, 초기 시뮬레이션된 패턴들을 생성한다. 단계(416)에서, 후-OPC 마스크 레이아웃을 이용하여 제조된 마스크를 검사하는 샘플링 플랜이 생성된다. 샘플링 플랜은 메트롤로지 툴 및 검사 레서피에 의해 마스크가 검사될 샘플링 위치들을 포함한다. 일 실시예에서, 샘플링 위치들은 리소그래피 시뮬레이션 시스템과 통합된 소프트웨어에 의해 초기 시뮬레이션된 패 턴들로부터 식별된다. 후-OPC 마스크 레이아웃은 리소그래피 시뮬레이션 시스템에 적용될 수 있게 만들어짐에 따라, 이는 특정 마스크에 대한 샘플링 위치들 및 측정 선택들을 식별할 수 있다. 샘플링 위치들은 계통적 마스크 오차들, 예를 들어 패턴 밀도, 패턴 방위, 패턴 피치, 패턴 크기, 패턴 극성, 상이한 방위 및 극성의 코너들, 가변 간격을 갖는 라인-엔드, 및 마스크 영역(예를 들어, 3x3 위치 매트릭스) 상의 다양한 위치들 등에 영향을 주는 파라미터 공간의 범위를 가능한 한 넓게 포괄하도록 선택되어야 한다. 샘플링 플랜을 생성하는 것은 이후 도 6과 연계하여 도 상세히 설명하기로 한다.
단계(418)에서, 후-OPC 마스크 레이아웃에 따라 마스크가 제조된다. 단계(420)에서, 제조된 마스크는 샘플링 플랜에 따라 메트롤로지 툴에서 검사되어, 마스크 검사 데이터를 생성한다. 단계(422)에서, 데이터 피팅 루틴은 패턴 환경 및 마스크 상의 패턴 위치와 같은 마스크 오차 모델의 입력 변수들에 계통적 마스크 오차 데이터를 피팅함으로써 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들의 최적 값을 결정하며, 여기서 계통적 마스크 오차 데이터는 마스크 검사 데이터로부터의 추출된 물리적 마스크 데이터와 후-OPC 마스크 레이아웃 데이터 간의 차이에 기초한다. 데이터 피팅 루틴은 마스크 검사 데이터와 후-OPC 마스크 레이아웃 간의 최적의 일치를 제공하도록 최적화된 피팅 파라미터들로서 계통적 마스크 오차 파라미터들을 이용한다. 데이터 피팅 루틴은 설계 검증에 사용된 동일한 리소그래피 시뮬레이션 시스템의 통합부일 수 있거나, 샘플링 정보 및 계통적 마스크 오차 파라미터들과 통신하도록 상술된 리소그래피 시뮬레이션 시스템의 데이터 인터페이스를 가질 수 있는 독립형(standalone) 리소그래피 시뮬레이션 및 데이터 분석 시스템의 일부분일 수 있다. 최종적으로, 단계(424)에서, 개별 마스크 오차 모델은 계통적 마스크 오차 파라미터들의 최적 값들을 이용하여 생성된다.
일 실시예에서, 개별 마스크 오차 모델을 생성하는 독립형 리소그래피 시뮬레이션 및 데이터 분석 시스템은 연산 플랫폼, 및 마스크 검사 데이터로부터 계통적 마스크 오차 데이터를 추출하고, 추출된 계통적 마스크 오차 데이터로부터 계통적 마스크 오차 파라미터들을 생성하는 소프트웨어 프로그램들을 포함한다. 또한, 샘플링 정보 또는 설계 정보가 다운스트림으로 제공될 수 있고, 업데이트된 계통적 마스크 오차 파라미터들이 설계 시뮬레이션 시스템으로 다시 업스트림으로 제공될 수 있도록, 상기 시스템은, 예를 들어 로컬 또는 와이드 영역 네트워크를 통해 설계 시뮬레이션 시스템으로의 데이터 인터페이스를 포함한다. 또 다른 실시예에서, 리소그래피 시뮬레이션 및 데이터 분석 시스템은 별도의 연산 플랫폼 상에서 구현되기보다는 마스크 메트롤로지 툴 내에 통합된다.
도 5a는 본 발명의 일 실시예에 따른, 마스크 샵에서 개별 마스크 오차 모델을 생성하는 모델-기반 마스크 데이터 분석 시스템의 도면이다. 모델-기반 마스크 데이터 분석 시스템(522)은 마스크들의 계통적 마스크 오차 데이터를 식별하기 위해 마스크 샵(520)에 위치된다. 설계 센터(510)는 모델-기반 마스크 데이터 분석 시스템(522)에 후-OPC 마스크 레이아웃(512) 및 마스크 검사 툴의 샘플링 플랜(514)을 제공한다. 모델-기반 마스크 데이터 분석 시스템(522)은 샘플링 플랜(514)에 기초하여 메트롤로지 툴(530)에 메트롤로지 레서피(528)들을 제공한다. 메트롤로지 툴(530)은 통상적으로 CD-SEM과 같은 마스크 샵에서 찾아낸 메트롤로지 툴들 중 어느 하나일 수 있다. 메트롤로지 툴(530)은 메트롤로지 레서피(528)들에 따라 마스크(도시되지 않음)를 검사하고, 결과적인 메트롤로지 데이터(532)(예를 들어, 이미지 및 임계 치수)를 모델-기반 마스크 데이터 분석 시스템(522)에 제공한다. 모델-기반 마스크 데이터 분석 시스템(522)은 메트롤로지 데이터(532)를 분석하여, 계통적 마스크 오차 데이터를 추출하고, 추출된 계통적 마스크 오차 데이터로부터 계통적 마스크 오차 파라미터들(524)을 생성하며, 개별 마스크 오차 모델(526)을 생성한다. 또한, 모델-기반 마스크 데이터 분석 시스템(522)은 기존의 개별 마스크 오차 모델을 업데이트할 수 있으며, 후-OPC 설계 레이아웃 검증 데이터를 결정할 수 있다. 모델-기반 마스크 데이터 분석 시스템(522)은 개별 마스크 오차 모델(526)을 이용하는 설계 센터(510)로 개별 마스크 오차 모델(526)을 보내, OPC 및 RET 설계 검증을 위한 설계 시뮬레이션(516)들과, 공정 윈도우 및 핫 스폿 분석을 생성한다. 또한, 모델-기반 마스크 데이터 분석 시스템(522)은 팹(540)에 위치된 팹 공정 제어(542)로 계통적 마스크 오차 파라미터들(524)을 보낸다.
팹에서는, 메트롤로지 툴들 이외의 다른 툴들이 마스크 검사를 위해 사용될 수 있다. 도 5b는 본 발명의 일 실시예에 따른, 팹(550)에서 개별 마스크 오차 모델을 생성하는 모델-기반 마스크 데이터 분석 시스템(560)의 도면이다. 모델-기반 마스크 데이터 분석 시스템(560)은 마스크 검사 데이터를 분석하여, 계통적 마스크 오차 데이터를 추출하고, 추출된 계통적 마스크 오차 데이터로부터 계통적 마스크 오차 파라미터들(564)을 생성하며, 개별 마스크 오차 모델(562)을 생성한다. 설계 센터(510)는 모델-기반 마스크 데이터 분석 시스템(560)에 후-OPC 마스크 레이아웃(512) 및 샘플링 플랜(514)을 제공한다. 모델-기반 마스크 데이터 분석 시스템(560)은 샘플링 플랜(514)에 기초하여, 메트롤로지 레서피(566)들에 따라 마스크(도시되지 않음)를 측정하는, CD-SEM과 같은 메트롤로지 툴(570)에 메트롤로지 레서피(566)들을 제공하고, 결과적인 메트롤로지 데이터(572)(예를 들어, 이미지 및 임계 치수)를 모델-기반 마스크 데이터 분석 시스템(560)에 제공한다. 또한, 모델-기반 마스크 데이터 분석 시스템(560)은 샘플링 플랜(514)에 기초하여 스캐너와 같은 노광 툴(580)에 노광 레서피(568)들을 보낼 수 있다. 노광 툴(580)에서, 이미지 센서 어레이(582)(예를 들어, 미국 특허 제 6,803,554호의 이미지 센서 어레이)는 노광 레서피(568)들에 따라 마스크를 이용하여 생성된 에어리얼 이미지를 측정하고, 모델-기반 마스크 데이터 분석 시스템(560)으로 에어리얼 이미지 데이터(도시되지 않음)를 보낸다. 모델-기반 마스크 데이터 분석 시스템(560)은 메트롤로지 데이터(572) 및/또는 에어리얼 이미지 데이터를 분석하여, 계통적 마스크 오차 데이터를 추출하고, 추출된 계통적 마스크 오차 데이터로부터 계통적 마스크 오차 파라미터들(564)을 생성하며, 개별 마스크 오차 모델(562)을 생성한다. 또한, 모델-기반 마스크 데이터 분석 시스템(560)은 이 정보를 이용하는 설계 센터(510)로 업데이트된 개별 마스크 오차 모델들 및 후-OPC 설계 레이아웃 검증 데이터를 제공하여, OPC 및 RET 설계 검증을 위한 설계 시뮬레이션들(516)과, 공정 윈도우 및 핫 스폿 분석을 생성할 수 있다. 또한, 모델-기반 마스크 데이터 분석 시스템(560)은 팹 공정 제어(552)로 계통적 마스크 오차 파라미터들(564)을 보낸다.
마스크 검사 데이터로부터의 계통적 마스크 오차 파라미터들의 정확한 생성을 위해 샘플링 플랜을 생성하는 주요 목적은 선택된 샘플링 위치들에 의해 계통적 마스크 오차 파라미터의 충분한 커버리지(coverage)를 제공하는 것이다. 도 6은 본 발명의 일 실시예에 따른, 마스크를 검사하는 샘플링 플랜을 생성하는 방법 단계들의 흐름도이다. 단계(610)에서, 개별 마스크 오차 모델을 이용하여 시뮬레이션된 패턴들과 마스크 검사 데이터 간의 데이터 피팅 동안에 변동될 수 있는 다수의 계통적 마스크 오차 파라미터들이 광학 모델, 레지스트 모델 및 개별 마스크 오차 모델을 포함하는 리소그래피 공정 모델의 내용에 정의된다. 계통적 마스크 오차 파라미터들은 가장 두드러지게 변한 마스크 오차 파라미터들, 또는 (민감도 분석에 기초할 수 있는) 패터닝 성능에 가장 큰 영향을 준 마스크 오차 파라미터들의 선택을 포함하거나, 광범위한 계통적 마스크 오차 파라미터들을 포함할 수 있다. 그 후, 단계(612)에서, 관련 값들의 범위는 각각의 계통적 마스크 오차 파라미터들에 대해 결정되며, 일반적으로 다-차원 마스크 오차 파라미터 공간 내에 영역을 정의한다. 간단한 예시로서, 마스크 오차 파라미터 공간은 가변하는 공칭 선폭을 갖는 패턴 라인들을 포함할 수 있으며, 피치 또는 국부적 패턴 밀도의 범위를 포괄할 수 있다. 단계(614)에서, 적절한 샘플링 위치들은 이전에 정의된 파라미터 공간 내의 나타낸 값들을 갖는 특징적인 패턴 피처들을 식별하는 검색 알고리즘에 의해 후-OPC 마스크 레이아웃 내에서 식별된다. 또한, 샘플링 위치들은 계통적 마스크 오차들, 예를 들어 패턴 밀도, 패턴 방위, 패턴 피치, 패턴 크기, 패턴 극성, 상이한 방위 및 극성의 코너들, 가변 간격을 갖는 라인-엔드, 및 마스크 영역(예를 들어, 3x3 위치 매트릭스) 상의 다양한 위치들 등에 영향을 주는 파라미터 공간의 범위를 가능한 한 넓게 포괄하도록 선택될 수 있다. 단계(616)에서, 식별된 샘플링 위치들에 의한 파라미터 공간의 커버리지 범위 및 밀도는 상기 파라미터 공간의 커버리지가 충분한지를 결정하도록 평가된다. 그러하다면, 상기 방법은 단계(618)로 진행하고; 그렇지 않다면, 상기 방법은 파라미터 공간의 커버리지가 충분할 때까지 검색 알고리즘이 반복되는 단계(614)로 되돌아간다. 단계(618)에서, 선택된 샘플링 위치들에 기초한 샘플링 플랜이 생성된다. 그 후, 단계(620)에서, 샘플링 플랜은 마스크 검사 또는 메트롤로지 툴에 제공된다.
도 7a는 본 발명의 일 실시예에 따른, 개별 마스크 오차 모델을 생성하고, 마스크 임계 치수(CD) 측정을 이용하여 마스크를 검증하는 방법 단계들의 흐름도이다. 도 7a의 실시예에서는 설명의 용이함을 위해, 개별 마스크 오차 모델은 마스크 영역에 걸친 마스크 임계 치수 선폭의 계통적 변동에 의해서만 특성화된다. 코너 라운딩 또는 라인 에지 거칠기와 같은 다른 또는 추가적인 계통적 마스크 오차 파라미터들이 사용될 수 있다. 단계(710)에서, 디바이스의 후-OPC 마스크 레이아웃이 얻어진다. 단계(712)에서, 후-OPC 마스크 레이아웃의 샘플링 위치들이 식별된다. 단계(714)에서, 샘플링 플랜은 후-OPC 마스크 레이아웃을 이용하여 제조된 마스크를 검사하기 위해 생성된다. 일 실시예에서, 샘플링 플랜은 도 6의 방법을 이용하여 생성된다. 그 후, 단계(716)에서, 후-OPC 마스크 레이아웃에 따라 제조된 마스크가 얻어지고, CD-SEM 툴은 샘플링 플랜에 따라 마스크 상의 선폭을 직접 측정하는데 사용된다. 또한, 또 다른 실시예에서, 이미징 SEM은 코너 라운딩과 같은 2-차 원 이미지-기반 마스크 오차 파라미터들을 결정하는데 사용된다. 단계(718)에서, 측정된 선폭(마스크 CD)은 (CD-SEM 툴이 캘리브레이션되었다고 가정하고) 후-OPC 마스크 레이아웃의 설계된 선폭 값(설계 CD)에 대해 비교된다. 예를 들어, 마스크 선폭 바이어스(즉, 실제 대 설계된 임계 치수들의 편차) 대 선폭 크기를 피팅하는 것은 고려하의 특정 마스크의 패턴-크기-의존적 바이어스 비선형성을 특성화할 것이다. 단계(720)에서, 계통적 마스크 오차 파라미터들은 개별 마스크 오차 모델에 대해 마스크 CD 오차 데이터를 이용하여 생성되며, 여기서 마스크 CD 오차 데이터는 마스크 CD 값들과 설계된 CD 값들 간의 차이에 기초한다. 계통적 마스크 오차 파라미터들은 파라메트릭 피트(parametric fit) 또는 룩-업 테이블(look-up table)을 이용하여 생성될 수 있다. 단계(722)에서, 리소그래피 공정은 (예를 들어, 광학 모델 및 레지스트 모델을 포함하는) 리소그래피 공정의 모델 및 개별 마스크 오차 모델을 이용하여 시뮬레이션되어, 시뮬레이션된 패턴들을 생성한다. 그 후, 단계(724)에서, 시뮬레이션된 패턴들은 마스크-특정 핫 스폿들 및 다른 설계 결함들, 공정 보정들, 및 툴 매칭 정보를 결정하기 위해 분석된다. 상기 공정 보정들은 포커스 오프셋, 및 NA 및 노광 툴의 시그마 세팅들의 변화를 포함할 수 있다. 또한, 시뮬레이션 패턴들은 도 2a 내지 도 2c와 연계하여 상기 설명된 방법들 중 어느 것을 이용하여 마스크 및 OPC 품질을 검증하고, 리소그래피 공정의 공정 윈도우들을 결정하는데 사용될 수 있다.
도 7b는 본 발명의 또 다른 실시예에 따른, 개별 마스크 오차 모델을 생성하고, 에어리얼 이미지 측정들을 이용하여 마스크를 검증하는 방법 단계들의 흐름도 이다. 도 7b의 방법은, 단계(736)에서 이미지 센서 어레이를 포함하는 센서 웨이퍼와 같은 에어리얼 이미지 센서가 실제 생산 노광 툴에서 마스크로부터의 투영된 이미지를 측정하는데 사용된다는 것을 제외하고는, 도 7a의 방법과 유사하다. 일 실시예에서, 이미지 센서 어레이를 이용하여 에어리얼 이미지를 측정하는 것은 미국 특허 제 6,803,554호에 개시된 바와 같이 구현된다. '554 특허의 이미지 센서 어레이를 이용하여 에어리얼 이미지를 측정하는 것은 노광 툴의 노광 필드에 걸친 수백만 개의 샘플링 위치들 상에서 고-해상도 이미지 패치들의 형태로 대량의 데이터를 제공한다. 측정된 에어리얼 이미지(또는, 더 정확하게는, 센서 이미지)가 선폭 및 마스크 상의 다른 메트릭들을 직접적으로 나타낼 수는 없지만, 측정된 에어리얼 이미지 데이터의 적절한 분석 및 캘리브레이션은 노광 툴에서 에어리얼 이미지 형성 공정을 시뮬레이션함으로써 정의될 수 있다. 예를 들어, 마스크 선폭의 작은 변화는, 예를 들어 측정된 에어리얼 이미지의 라인 단면에 걸쳐 통합된 신호 레벨에 의해 측정될 수 있는 전체 신호 세기의 변화를 가장 먼저 유발할 것이라는 것이 알려져 있다. 이와 유사하게, 콘택들의 전체 영역 또는 마스크 상의 다른 작은 피처들은 어시스트 바아들 또는 다른 서브-해상도 어시스트 피처들과 같이, 프린트하기에는 너무 작은 피처들에 대한 이미지들 상에서도 직접 측정될 수 있다. 이러한 측정으로부터, 패턴 밀도를 갖고 또한 필드에 걸친 피처 크기 및 피처 크기의 변동은 계통적 마스크 오차 파라미터들로서 도출될 수 있음은 분명하다. 대안적으로, 개별 마스크 오차 모델의 어떤 부분들은 접촉 에너지 또는 상대 신호 레벨들과 같은 더 직접적으로-측정가능한 파라미터들에 관해 표현될 수 있다. 어떤 계통적 마스크 오 차 파라미터들의 추출은 다중 NA-시그마 세팅 또는 상이한 소스 편광을 이용하여 다양한 조건들(예를 들어, 스루 포커스) 하에서 데이터를 얻음으로써 더 용이해질 수 있다. 예를 들어, 다중 포커스 세팅에서 측정된 에어리얼 이미지 데이터가 실제 마스크 특성들의 더 양호한 특성화를 허용하도록, 위상-시프팅 마스크들의 파라미터들은 특징적인 스루-포커스 행동양상(behavior)을 가질 수 있다. 마지막으로, 도 7a에서와 같이, 실제 생산 마스크의 물리적 구조를 반영하는 개별 마스크 오차 모델은 패터닝 성능, 마스크 검증 및 공정 보정들을 시뮬레이션하는데 사용된다.
도 7b의 실시예에서, 설명의 용이함을 위해, 개별 마스크 오차 모델은 마스크 영역에 걸친 마스크 임계 치수 선폭의 계통적 변동에 의해서만 특성화된다. 코너 라운딩 또는 라인 에지 거칠기와 같은 다른 또는 추가적인 계통적 마스크 오차 파라미터들이 사용될 수 있다. 단계(730)에서, 제품의 후-OPC 마스크 레이아웃이 얻어진다. 단계(732)에서, 후-OPC 마스크 레이아웃의 샘플링 위치들이 식별된다. 단계(734)에서, 샘플링 플랜은 후-OPC 마스크 레이아웃을 이용하여 제조된 마스크를 검사하기 위해 생성된다. 일 실시예에서, 샘플링 플랜은 도 6의 방법을 이용하여 생성된다. 그 후, 단계(736)에서, 후-OPC 마스크 레이아웃에 따라 제조된 마스크가 얻어지고, 노광 툴에 사용된 마스크에 의해 생성된 에어리얼 이미지는 샘플링 플랜에 따라 이미지 센서 어레이를 이용하여 측정된다. 단계(738)에서, 마스크 CD 값들은 이미지 센서 어레이 및 노광 툴의 광학 모델 및 에어리얼 이미지 측정 데이터로부터 추출된다. 단계(740)에서, 마스크 CD 값들은 마스크 CD 값들과 설계 CD 값들 간의 차이에 기초하여 마스크 CD 오차 데이터를 생성하기 위해 후-OPC 마스크 레이아웃의 설계 CD 값들에 대해 비교된다. 단계(742)에서, 계통적 마스크 오차 파라미터들은 마스크 CD 오차 데이터로부터 개별 마스크 오차 모델에 대해 생성된다. 상기 계통적 마스크 오차 파라미터들은 파라메트릭 피트 또는 룩-업 테이블을 이용하여 생성될 수 있다. 그 후, 단계(744)에서, 리소그래피 공정은 (예를 들어, 광학 모델 및 레지스트 모델을 포함하는) 리소그래피 공정의 모델 및 개별 마스크 오차 모델을 이용하여 시뮬레이션되어, 시뮬레이션된 패턴들을 생성한다. 단계(746)에서, 시뮬레이션된 패턴들은 마스크-특정 핫 스폿들 및 다른 설계 결함들, 공정 보정들, 및 툴 매칭 정보를 결정하기 위해 분석된다. 상기 공정 보정들은 포커스 오프셋, 및 NA 및 노광 툴의 시그마 세팅들의 변화를 포함할 수 있다. 또한, 시뮬레이션 패턴들은 도 2a 내지 도 2c와 연계하여 상기 설명된 방법들 중 어느 것을 이용하여 마스크 및 OPC 품질을 검증하고, 리소그래피 공정의 공정 윈도우들을 결정하는데 사용될 수 있다.
도 7c는 본 발명의 또 다른 실시예에 따른, 개별 마스크 오차 모델을 생성하고, 광학 마스크 검사 툴을 이용하여 마스크를 검증하는 방법 단계들의 흐름도이다. 도 7c의 방법은, 단계(756)에서 마스크 오염 검사나 점-결함 검출에 보편적으로 사용되는 광학 마스크 검사 툴이 마스크를 검사하는데 사용된다는 것을 제외하고는, 도 7a와 유사하다. 광학 마스크 검사 툴들은 통상적으로 전체 마스크 영역의 전체 커버리지에 대해 설계되므로, 샘플링 위치들을 식별하고 후-OPC 마스크 레이아웃으로부터 샘플링 플랜을 생성하는 단계들은 선택적이다. 전체적인 또는 서서히-변하는 계통적 마스크 오차 파라미터들은, 예를 들어 본 명세서에서 그 전문이 인 용 참조 되는 미국 특허 제 6,516,085호의 "Apparatus and Methods for Collecting Global Data during a Mask Inspection"에 개시된 바와 같은 광학 마스크 검사 툴로부터 결정될 수 있다.
도 7c의 실시예에서, 설명의 용이함을 위해, 개별 마스크 오차 모델은 마스크 영역에 걸친 마스크 임계 치수 선폭의 계통적 변동에 의해서만 특성화된다. 코너 라운딩 또는 라인 에지 거칠기와 같은 다른 또는 추가적인 계통적 마스크 오차 파라미터들이 사용될 수 있다. 단계(750)에서, 제품의 후-OPC 마스크 레이아웃이 얻어진다. 그 후, 선택 단계(752)에서, 후-OPC 마스크 레이아웃의 샘플링 위치들이 식별된다. 선택 단계(754)에서, 후-OPC 마스크 레이아웃을 이용하여 제조된 마스크를 검사하기 위해 샘플링 플랜이 생성된다. 단계(756)에서, 후-OPC 마스크 레이아웃에 따라 제조된 마스크가 얻어지고, 상기 마스크는 광학 마스크 검사 툴을 이용하여 검사된다. 적용가능하다면, 이러한 검사는 단계(754)에서 선택적으로 생성된 샘플링 플랜에 따라 행해진다. 단계(758)에서, 마스크 CD 값들은 광학 마스크 검사 시스템의 광학 모델 및 마스크 검사 데이터로부터 추출된다. 단계(760)에서, 마스크 CD 값들은 마스크 CD 값들과 설계 CD 값들 간의 차이에 기초하여 마스크 CD 오차 데이터를 생성하기 위해 후-OPC 마스크 레이아웃의 설계 CD 값들에 대해 비교된다. 단계(762)에서, 계통적 마스크 오차 파라미터들은 마스크 CD 오차 데이터로부터 개별 마스크 오차 모델에 대해 생성된다. 상기 계통적 마스크 오차 파라미터들은 파라메트릭 피트 또는 룩-업 테이블을 이용하여 생성될 수 있다. 그 후, 단계(764)에서, 시뮬레이션된 패턴들은 마스크-특정 핫 스폿들 및 다른 설계 결함들, 공정 보정들, 및 툴 매칭 정보를 결정하기 위해 분석된다. 상기 공정 보정들은 포커스 오프셋, 및 NA 및 노광 툴의 시그마 세팅들의 변화를 포함할 수 있다. 또한, 시뮬레이션 패턴들은 도 2a 내지 도 2c와 연계하여 상기 설명된 방법들 중 어느 것을 이용하여 마스크 및 OPC 품질을 검증하고, 리소그래피 공정의 공정 윈도우들을 결정하는데 사용될 수 있다.
개별 마스크 오차 모델을 생성하는 방법들의 다른 실시예들은 원자력 현미경, ("Optical Considerations of High-Resolution Photomask Metrology(A.J. Merriam 및 J.J Jacob, Proc. SPIE, Vol.5752, 1392(2005))"에 개시된 바와 같은) 간섭계 위상 메트롤로지, (예를 들어, AIMS 툴을 이용하는) 에어리얼 이미지 메트롤로지, 스캐터로메트리, 및 타원계와 같은 대안적인 마스크 검사 기술들로부터 계통적 마스크 오차 파라미터들을 생성할 수 있다.
(미국 특허 출원 제 11/461,994호에 개시된 바와 같은) 리소그래피 공정의 캘리브레이션된 포커스-노광 모델의 프레임워크 내에서, 프린트된 테스트 웨이퍼들 상에서의 SEM 측정들로부터 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 도출하거나 검증할 수 있다. 일 실시예에서, 이 도출 또는 검증은, 마스크-특정 핫 스폿들 또는 공정 보정들을 예측하도록, 독립적으로 결정된 고정된 값들에서 다른(분리가능한) 모델 구성요소들을 유지하면서 계통적 마스크 오차 파라미터 값들의 변동을 허용하는 피팅 루틴들을 이용하고, 그 후 캘리브레이션된 개별 마스크 오차 모델을 이용하여 달성된다.
개별 마스크 오차 모델은 OPC 검증 또는 마스크 적격성을 능가하는 광범위한 적용들에서 사용될 수 있다. 예를 들어, 개별 마스크 오차 모델은 가장 중요한 디바이스 영역을 선택적으로 모니터링하기 위해 웨이퍼 검사 공정으로 피드 포워드될 수 있는 마스크-특정 OPC 핫 스폿들 또는 약한 영역들을 예측하는데 사용될 수 있다. 대안적으로, 개별 마스크 오차 모델을 이용하는 리소그래피 공정의 시뮬레이션은 디바이스 처리로 피드 포워드될 수 있는 공정 보정들을 식별할 수 있다. 이들 공정 보정들은 NA, 시그마, 조명 프로파일, 포커스 센터, 노광 양, 및 렌즈 조정과 같은 노광 툴 상의 광학 세팅들의 광범위한 조정들을 포함할 수 있다. 몇몇 노광 툴들이 생산을 위해 적용될 수 있다면, 프린팅 성능의 툴-의존적 차이가 특정 마스크에 대해 예측될 수 있고, 그 바람직한 툴 상에서 디바이스 생산을 스케줄링함으로써 공정 수율을 최적화하기 위해 최적의 매치가 발견될 수 있도록, 툴-특정 광학 모델들은 툴 캘리브레이션 측정들로부터 알려질 수 있다.
도 8은 본 발명의 일 실시예에 따른 마스크를 검증하는 방법 단계들의 흐름도이다. 도 8의 방법에서는, 마스크 검사 데이터로부터 계통적 마스크 오차 파라미터 데이터를 추출하기보다는, 생산 노광 툴 및 디바이스 설계의 예상된 이미징 성능이 후-OPC 마스크 레이아웃 및 리소그래피 시뮬레이션 모델에 의해 정의된다. 마스크를 이용하여 노광 툴에 생성된 에어리얼 이미지는 어떤 임계 피처 위치들이나 통계적으로 노광 필드에 걸쳐 이미지 센서 어레이를 이용하여 측정될 수 있다. 그 후, 마스크 적격성 또는 허용/거절 결정은 적절한 이미지 처리 메트릭에 의해 정의된 측정된 및 시뮬레이션된 이미지들 간의 일치의 평가에 기초할 수 있다. 도 8의 방법은 공칭 마스크 오차 모델이 (도 9 내지 도 10b와 연계하여 설명하기로 한) 특 정 마스크 제조 공정에 대해 확립되었거나, 마스크 제조 공정의 일치성이 고려 중에 있는 경우에 특히 적절할 수 있다. 대안적으로, 헤이즈(haze)와 같은 어떤 노화 공정들이나 점진적인 결함들이 모니터링되어야 할 필요가 있는 경우, 상기 방법은 시간에 따른 마스크 품질을 검증하는데 사용될 수 있다.
단계(810)에서, 제품의 후-OPC 마스크 레이아웃이 얻어진다. 단계(812)에서, 후-OPC 마스크 레이아웃의 샘플링 위치들이 식별된다. 단계(814)에서, 이미지 센서 어레이를 이용하여 노광 툴의 에어리얼 이미지를 측정하기 위해 샘플링 플랜이 생성된다. 단계(816)에서, 후-OPC 마스크 레이아웃에 따라 제조된 마스크가 얻어지고, 마스크를 이용하여 생성된 에어리얼 이미지의 대표 이미지 패치들이 샘플링 플랜에 따른 이미지 센서 어레이를 이용하여 측정된다. 단계(818)에서, 이미지 센서 어레이에 의해 측정된 바와 같은 예상된 에어리얼 이미지는 공칭 마스크 오차 모델 및 노광 툴의 광학 모델 및 이미지 센서 어레이를 이용하여 시뮬레이션되어, 시뮬레이션된 에어리얼 이미지를 생성한다. 그 후, 단계(820)에서, 마스크가 허용가능한지 여부의 결정은 측정된 에어리얼 이미지와 시뮬레이션된 에어리얼 이미지 간의 차이에 기초한다.
이전의 실시예들에서, 마스크의 각각의 인스턴스는 그 특정 마스크에 대한 개별 마스크 오차 모델을 생성하도록 검사된다. 하지만, 몇몇 경우에는, 특정 마스크 대신에, 특정 마스크 제조업체 또는 특정 마스크 제조 툴 또는 공정에 특성화된 공칭 마스크 오차 모델을 생성하는 것으로 충분할 수 있다. 이러한 공칭 마스크 오차 모델들은 바람직하게 전개되며, 제품 웨이퍼들을 프린트하는데 사용하지 않고 단지 마스크 제조 공정을 특성화하는데에만 사용될, 테스트 마스크 상의 테스트 패턴들을 정의함으로써 캘리브레이션된다. 하지만, 공칭 마스크 오차 모델은 여하한의 마스크 레이아웃 데이터를 이용하여 전개될 수도 있다. 이 방법은 리소그래피 시뮬레이션 모델들을 캘리브레이션하는데 보편적으로 사용되는 공정 모델 캘리브레이션과 유사하거나 다소 유사하다.
테스트 패턴들을 이용하여 마스크 제조 공정에 대한 공칭 마스크 오차 모델을 생성하는 분명한 이점은 어떠한 계통적 마스크 오차 파라미터 공간의 완전하고 조밀한 커버리지도 보장할 수 있는 적절한 테스트 패턴 구조를 정의하는 유연성이다. 이러한 테스트 패턴들은, 예를 들어 리소그래피 시뮬레이션 시스템에 의해 자동으로 생성될 수 있으며, 그 후 테스트 마스크를 생성하기 위해 마스크 제조 공정에 제공될 수 있다. 테스트 패턴들을 이용하여 공칭 마스크 오차 모델을 생성하는 방법은 제품 마스크와 매우 유사하나, 패턴 타입들 및 위치들이 고정되고 테스트 마스크 레이아웃에 사전정의되기 때문에, 샘플링 위치들을 식별하는 알고리즘이 요구되지 않는다는 점에서 단순화된다.
도 9는 본 발명의 일 실시예에 따른, 마스크 제조 공정의 공칭 마스크 오차 모델을 생성하는 방법 단계들의 흐름도이다. 선택 단계(910)에서, 테스트 마스크의 테스트 패턴들이 리소그래피 시뮬레이션 시스템에 의해 생성된다. 단계(912)에서, 테스트 패턴들은 OPC 및 다른 RET을 이용하여 처리되어, 후-OPC 테스트 마스크 레이아웃을 생성한다. 선택 단계(914)에서, 샘플링 위치들은 테스트 패턴들에 따라 결정되고, 샘플링 플랜이 생성된다. 단계(916)에서, 후-OPC 테스트 마스크 레이아 웃에 따라 제조된 테스트 마스크가 얻어지고, 테스트 마스크는 샘플링 플랜에 따라 마스크 검사 툴에서 검사되며, 적용가능하다면, 마스크 검사 데이터를 생성한다. 단계(918)에서, 물리적 마스크 데이터는 마스크 검사 데이터로부터 추출되고, 계통적 마스크 오차 데이터는 추출된 물리적 마스크 데이터와 후-OPC 마스크 레이아웃 간의 차이에 기초하여 결정된다. 데이터 피팅 루틴은 계통적 마스크 오차 데이터로부터 공칭 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들의 최적 값들을 결정한다. 데이터 피팅 루틴은 마스크 검사 데이터와 후-OPC 마스크 레이아웃 간의 최적의 일치를 제공하도록 최적화된 피팅 파라미터들로서 계통적 마스크 오차 파라미터들을 이용한다. 단계(920)에서, 공칭 마스크 오차 모델의 계통적 마스크 오차 파라미터들이 테스트 마스크를 제조하는데 사용된 마스크 제조 공정을 특성화하는 공칭 마스크 오차 모델이 생성된다.
도 10a는 본 발명의 또 다른 실시예에 따른, 마스크 제조 공정의 공칭 마스크 오차 모델을 생성하는 방법 단계들의 흐름도이다. 단계(1010)에서, 가변 선폭, 피치 및 방위를 갖는 테스트 마스크 레이아웃이 생성된다. 단계(1012)에서, 특성화될 마스크 샵 및 마스크 기술이 선택된다. 또한, 일 실시예에서는 특정 마스크 제조 툴이 선택된다. 단계(1014)에서, 선택된 마스크 기술과 마스크 샵을 이용하여 테스트 마스크 레이아웃에 따라 제조된 테스트 마스크가 얻어진다. 단계(1016)에서, 마스크 상의 선폭(CD)은 CD-SEM 툴을 이용하여 직접 측정된다. 단계(1018)에서, 측정된 마스크 CD는 노광 필드에 걸친 피치를 통해 설계 CD 값들과 비교된다. 단계(1020)에서, 계통적 마스크 오차 파라미터들은 측정된 마스크 CD 값들과 설계 CD 값들 간의 차이에 기초하여 계통적 마스크 오차 데이터를 이용하여 공칭 마스크 오차 모델에 대해 생성된다. 공칭 마스크 오차 모델은 선택된 마스크 샵과 마스크 기술을 특성화하는데 사용될 수 있는 모델이다.
도 10b는 본 발명의 또 다른 실시예에 따른, 마스크 제조 공정의 공칭 마스크 오차 모델을 생성하는 방법 단계들의 흐름도이다. 단계(1030)에서, 가변 선폭, 피치 및 방위를 갖는 테스트 마스크 레이아웃이 생성된다. 단계(1032)에서, 특성화될 마스크 샵 및 마스크 기술이 선택된다. 또한, 일 실시예에서는 특정 마스크 제조 툴이 선택된다. 단계(1034)에서, 선택된 마스크 기술과 마스크 샵을 이용하여 테스트 마스크 레이아웃에 따라 제조된 테스트 마스크가 얻어진다. 그 후, 단계(1036)에서, 노광 툴의 테스트 마스크에 의해 생성된 에어리얼 이미지가 이미지 센서 어레이를 이용하여 측정된다. 단계(1038)에서, 테스트 마스크 선폭(CD)은 노광 툴의 광학 모델을 이용하여 측정된 에어리얼 이미지로부터 측정된다. 단계(1040)에서, 도출된 테스트 마스크 CD 값들은 노광 필드에 걸친 피치를 통해 설계 CD 값들과 비교된다. 단계(1042)에서, 계통적 마스크 오차 파라미터들은 도출된 마스크 CD 값들과 설계 CD 값들 간의 차이에 기초하여 계통적 마스크 오차 데이터를 이용하여 공칭 마스크 오차 모델에 대해 생성된다. 공칭 마스크 오차 모델은 선택된 마스크 샵과 마스크 기술을 특성화하는데 사용될 수 있는 모델이다.
이상, 본 발명은 특정 실시예들에 대해 설명되었다. 하지만, 첨부된 청구항에 설명된 바와 같이 본 발명의 더 광범위한 기술적 사상과 범위를 벗어나지 않고 다양한 수정들과 변형들이 행해질 수 있음은 분명하다. 따라서, 이전의 설명과 도 면들은 제한적인 취지가 아니라 예시적인 것으로 간주되어야 한다.

Claims (49)

  1. 개별 마스크 오차 모델에 있어서,
    리소그래피 마스크의 검사로부터 결정된 계통적 마스크 오차 파라미터(systematic mask error parameter)들을 갖는 상기 리소그래피 마스크의 모델을 포함하는 개별 마스크 오차 모델.
  2. 제 1 항에 있어서,
    상기 계통적 마스크 오차 파라미터들은 선폭 바이어스, 위상 바이어스, 코너 라운딩 반경, 라인-에지 거칠기, 에칭 깊이 및 측벽 각도로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 개별 마스크 오차 모델.
  3. 마스크 레이아웃 데이터를 이용하여 제조된 마스크로부터 마스크 검사 데이터를 얻는 단계;
    상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하는 단계;
    상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 생성하는 단계; 및
    상기 계통적 마스크 오차 데이터에 기초하여 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성하는 단계를 포함하는 방법.
  4. 제 3 항에 있어서,
    상기 계통적 마스크 오차 파라미터들을 갖는 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계; 및
    상기 시뮬레이션된 결과들을 분석하여, 상기 리소그래피 공정의 공정 윈도우를 평가하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  5. 제 3 항에 있어서,
    상기 계통적 마스크 오차 파라미터들을 갖는 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계; 및
    상기 시뮬레이션된 결과들을 분석하여, 상기 마스크를 검증하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  6. 제 3 항에 있어서,
    상기 계통적 마스크 오차 파라미터들을 갖는 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계; 및
    설계 타겟(design target)과 상기 시뮬레이션된 결과들을 비교하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  7. 제 3 항에 있어서,
    상기 계통적 마스크 오차 파라미터들을 갖는 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계;
    공칭 마스크 오차 모델을 이용하여 상기 리소그래피 공정을 시뮬레이션하여, 공칭 시뮬레이션된 결과들을 생성하는 단계; 및
    상기 시뮬레이션된 결과들 및 상기 공칭 시뮬레이션된 결과들을 비교하여, 상기 마스크를 검증하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  8. 제 3 항에 있어서,
    상기 계통적 마스크 오차 파라미터들을 갖는 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계;
    후-OPC 마스크 레이아웃을 이용하여 상기 리소그래피 공정을 시뮬레이션하여, 이상적인 시뮬레이션된 결과들을 생성하는 단계; 및
    상기 시뮬레이션된 결과들 및 상기 이상적인 시뮬레이션된 결과들을 비교하여, 상기 마스크를 검증하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 제 3 항에 있어서,
    상기 계통적 마스크 오차 파라미터들은 선폭 바이어스, 위상 바이어스, 코너 라운딩 반경, 라인-에지 거칠기, 에칭 깊이 및 측벽 각도로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 방법.
  10. 제 3 항에 있어서,
    상기 마스크 검사 데이터는 CD-SEM 툴, 이미징 SEM 툴, AFM 툴, OCD 툴, 광학 현미경, 위상-시프팅 간섭계 및 타원계로 구성된 그룹으로부터 선택된 메트롤로지 툴을 이용하여 상기 마스크의 패턴 메트릭(pattern metric)들을 직접 측정함으로써 얻어지는 것을 특징으로 하는 방법.
  11. 제 3 항에 있어서,
    상기 마스크 검사 데이터는 노광 툴에 배치된 이미지 센서 어레이를 이용하여 상기 마스크 및 상기 노광 툴에 의해 생성된 에어리얼 이미지의 패턴 메트릭들을 결정함으로써 얻어지는 것을 특징으로 하는 방법.
  12. 제 3 항에 있어서,
    상기 마스크 검사 데이터는 광학 마스크 검사 툴, 전자 빔 마스크 검사 툴, 및 에어리얼 이미지 측정 시스템으로 구성된 그룹으로부터 선택된 검사 툴을 이용하여 상기 마스크의 패턴 메트릭들을 결정함으로써 얻어지는 것을 특징으로 하는 방법.
  13. 제 3 항에 있어서,
    상기 마스크 레이아웃 데이터는 회로 설계 데이터인 것을 특징으로 하는 방법.
  14. 제 3 항에 있어서,
    상기 마스크 레이아웃 데이터는 테스트 패턴 데이터인 것을 특징으로 하는 방법.
  15. 마스크 레이아웃 데이터를 얻는 단계;
    상기 마스크 레이아웃 데이터에 기초하여 마스크 검사 플랜을 생성하는 단계;
    상기 마스크 검사 플랜에 따라 상기 마스크 레이아웃 데이터를 이용하여 제조된 마스크를 검사하여, 마스크 검사 데이터를 생성하는 단계;
    상기 마스크 검사 데이터로부터 물리적 마스크 데이터를 추출하는 단계;
    상기 추출된 물리적 마스크 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하는 단계;
    상기 추출된 물리적 마스크 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 결정하는 단계;
    마스크 오차 모델의 입력 변수들에 상기 계통적 마스크 오차 데이터를 피팅(fitting)함으로써 계통적 마스크 오차 파라미터들을 생성하는 단계; 및
    상기 마스크 오차 모델에 상기 계통적 마스크 오차 파라미터들을 적용하여, 개별 마스크 오차 모델을 생성하는 단계를 포함하는 방법.
  16. 제 15 항에 있어서,
    상기 마스크 검사 플랜을 생성하는 단계는 파라미터 공간을 포괄하는 마스크 레이아웃 데이터에서 샘플링 위치들을 선택하는 단계를 포함하는 것을 특징으로 하는 방법.
  17. 제 16 항에 있어서,
    상기 파라미터 공간은 패턴 밀도, 패턴 방위, 패턴 피치, 패턴 크기, 패턴 극성, 상이한 방위와 극성을 갖는 코너들, 및 가변 간격을 갖는 라인-엔드(line-end)들로 구성된 그룹으로부터 선택된 파라미터들을 포함하는 것을 특징으로 하는 방법.
  18. 제 15 항에 있어서,
    리소그래피 공정의 모델 및 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션 결과들을 생성하는 단계; 및
    상기 시뮬레이션 결과들을 분석하여, 상기 리소그래피 공정의 공정 윈도우를 평가하는 것을 특징으로 하는 방법.
  19. 제 15 항에 있어서,
    상기 리소그래피 공정의 모델 및 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션 결과들을 생성하는 단계; 및
    상기 시뮬레이션 결과들을 분석하여, 상기 마스크를 검증하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  20. 제 15 항에 있어서,
    상기 리소그래피 공정의 모델 및 상기 개별 마스크 오차 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션 결과들을 생성하는 단계; 및
    설계 의도와 상기 시뮬레이션 결과들을 비교하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  21. 제 15 항에 있어서,
    상기 계통적 마스크 오차 파라미터들은 선폭 바이어스, 위상 바이어스, 코너 라운딩 반경, 라인-에지 거칠기, 에칭 깊이 및 측벽 각도로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 방법.
  22. 제 15 항에 있어서,
    상기 마스크 오차 모델의 상기 입력 변수들은 패턴 환경 및 상기 마스크 상의 패턴 위치인 것을 특징으로 하는 방법.
  23. 제 15 항에 있어서,
    마스크 제조 공정에 의해 만들어진 복수의 마스크들에 대해 복수의 개별 마스크 오차 모델들을 생성하는 단계;
    상기 복수의 개별 마스크 오차 모델들을 이용하여 리소그래피 공정을 시뮬레이션하여, 복수의 시뮬레이션된 결과들을 생성하는 단계; 및
    상기 복수의 시뮬레이션된 결과들을 분석하여, 상기 마스크 제조 공정을 특성화하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  24. 제 15 항에 있어서,
    마스크 제조 공정에 의해 만들어진 복수의 마스크들에 대해 복수의 개별 마스크 오차 모델들을 생성하는 단계;
    상기 복수의 개별 마스크 오차 모델들을 이용하여 리소그래피 공정을 시뮬레이션하여, 복수의 시뮬레이션된 결과들을 생성하는 단계; 및
    상기 복수의 시뮬레이션된 결과들을 분석하여, 마스크 제조 공정의 공정 보정들을 식별하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  25. 마스크 검사 데이터를 생성하도록 구성된 마스크 검사 툴;
    상기 마스크 검사 툴에 커플링된 모델-기반 마스크 데이터 분석 시스템을 포함하는 시스템으로서,
    상기 모델-기반 마스크 데이터 분석 시스템은 상기 마스크 검사 데이터로부터 물리적 마스크 데이터를 추출하고, 상기 추출된 물리적 마스크 데이터와 마스크 레이아웃 데이터 간의 차이에 기초하여 계통적 마스크 오차 데이터를 결정하도록 구성되고, 상기 계통적 마스크 오차 데이터에 기초하여 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성하도록 구성되는 시스템.
  26. 제 25 항에 있어서,
    상기 계통적 마스크 오차 파라미터들은 선폭 바이어스, 위상 바이어스, 코너 라운딩 반경, 라인-에지 거칠기, 에칭 깊이 및 측벽 각도로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 시스템.
  27. 제 25 항에 있어서,
    상기 마스크 검사 툴은 CD-SEM 툴, 이미징 SEM 툴, AFM 툴, OCD 툴, 광학 현미경, 위상-시프팅 간섭계 및 타원계로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 시스템.
  28. 제 25 항에 있어서,
    상기 마스크 검사 툴은 노광 툴에 배치된 이미지 센서 어레이인 것을 특징으 로 하는 시스템.
  29. 제 25 항에 있어서,
    상기 마스크 검사 툴은 광학 마스크 검사 툴, 전자 빔 마스크 검사 툴, 및 에어리얼 이미지 측정 시스템으로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 시스템.
  30. 마스크 레이아웃 데이터를 얻는 단계;
    마스크 모델 파라미터들을 갖는 마스크 모델을 선택하는 단계;
    상기 마스크 레이아웃 데이터를 이용하여 제조된 마스크로부터 마스크 검사 데이터를 얻는 단계;
    상기 마스크 검사 데이터로부터 물리적 마스크 데이터를 추출하는 단계;
    상기 물리적 마스크 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하는 단계;
    상기 물리적 마스크 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 생성하는 단계; 및
    상기 계통적 마스크 오차 데이터에 기초하여 상기 마스크 모델 파라미터들의 값들을 수정하여, 계통적 마스크 오차 파라미터들을 생성하는 단계를 포함하는 방법.
  31. 제 30 항에 있어서,
    상기 계통적 마스크 오차 파라미터들은 선폭 바이어스, 위상 바이어스, 코너 라운딩 반경, 라인-에지 거칠기, 에칭 깊이 및 측벽 각도로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 방법.
  32. 제 30 항에 있어서,
    상기 마스크 검사 데이터는 CD-SEM 툴, 이미징 SEM 툴, AFM 툴, OCD 툴, 광학 현미경, 위상-시프팅 간섭계 및 타원계로 구성된 그룹으로부터 선택된 메트롤로지 툴을 이용하여 상기 마스크의 패턴 메트릭들을 직접 측정함으로써 얻어지는 것을 특징으로 하는 방법.
  33. 제 30 항에 있어서,
    상기 마스크 검사 데이터는 노광 툴에 배치된 이미지 센서 어레이를 이용하여 상기 마스크 및 상기 노광 툴에 의해 생성된 에어리얼 이미지의 패턴 메트릭들을 결정함으로써 얻어지는 것을 특징으로 하는 방법.
  34. 제 30 항에 있어서,
    상기 마스크 검사 데이터는 광학 마스크 검사 툴, 전자 빔 마스크 검사 툴, 및 에어리얼 이미지 측정 시스템으로 구성된 그룹으로부터 선택된 검사 툴을 이용하여 상기 마스크의 패턴 메트릭들을 결정함으로써 얻어지는 것을 특징으로 하는 방법.
  35. 제 30 항에 있어서,
    상기 마스크 검사 데이터는 마스크 검사 플랜에 따라 얻어지는 것을 특징으로 하는 방법.
  36. 노광 툴의 광학 모델을 선택하는 단계;
    제 2 노광 툴의 제 2 광학 모델을 선택하는 단계;
    마스크의 개별 마스크 오차 모델 및 상기 광학 모델을 이용하여 상기 노광 툴의 작업을 시뮬레이션하여, 제 1 시뮬레이션된 결과들을 생성하는 단계;
    상기 개별 마스크 오차 모델 및 상기 제 2 광학 모델을 이용하여 상기 제 2 노광 툴의 작업을 시뮬레이션하여, 제 2 시뮬레이션된 결과들을 생성하는 단계;
    설계 타겟과 상기 제 1 시뮬레이션된 결과들의 비교를 수행하는 단계;
    상기 설계 타겟과 상기 제 2 시뮬레이션된 결과들의 비교를 수행하는 단계; 및
    상기 비교들에 비교하여 상기 노광 툴 및 상기 제 2 노광 툴의 성능들을 비교하는 단계를 포함하는 방법.
  37. 제 36 항에 있어서,
    상기 개별 마스크 오차 모델은 상기 마스크의 검사로부터 결정된 계통적 마 스크 오차 파라미터들을 포함하는 것을 특징으로 하는 방법.
  38. 제 36 항에 있어서,
    상기 마스크를 이용하여 노광 제품 웨이퍼(exposure product wafer)들에 상기 노광 툴 또는 상기 제 2 노광 툴 중 하나를 선택하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  39. 제 36 항에 있어서,
    상기 개별 마스크 오차 모델은:
    상기 마스크로부터 마스크 검사 데이터를 얻고 - 상기 마스크는 마스크 레이아웃 데이터를 이용하여 제조됨 - ;
    상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하며;
    상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 생성하고;
    상기 계통적 마스크 오차 데이터에 기초하여 상기 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성함으로써 생성되는 것을 특징으로 하는 방법.
  40. 리소그래피 공정의 모델을 선택하는 단계 - 상기 모델은 노광 툴의 광학 모 델 및 레지스트 모델을 포함함 -;
    마스크 레이아웃 데이터를 이용하여 제조된 마스크에 대한 개별 마스크 오차 모델을 생성하는 단계;
    상기 리소그래피 공정의 모델 및 상기 개별 마스크 오차 모델을 이용하여 상기 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 패턴들을 생성하는 단계;
    상기 시뮬레이션된 패턴들과 설계 타겟 간의 차이를 결정하는 단계; 및
    상기 시뮬레이션된 패턴들과 상기 설계 타겟 간의 상기 차이에 기초하여 상기 노광 툴의 세팅들을 수정하는 단계를 포함하는 방법.
  41. 제 40 항에 있어서,
    상기 개별 마스크 오차 모델은 상기 마스크의 검사로부터 결정된 계통적 마스크 오차 파라미터들을 포함하는 것을 특징으로 하는 방법.
  42. 제 40 항에 있어서,
    상기 노광 툴의 상기 세팅들은 포커스, 노광 도즈, 개구수, 시그마, 간섭성, 렌즈 수차들, 및 조명 시프트들로 구성된 그룹들로부터 선택되는 것을 특징으로 하는 방법.
  43. 제 40 항에 있어서,
    상기 시뮬레이션된 패턴들과 상기 설계 타겟 간의 상기 차이는 임계 치수, 라인-엔드 풀백(line-end pullback), 및 코너 라운딩으로부터 선택되는 것을 특징으로 하는 방법.
  44. 제 40 항에 있어서,
    개별 마스크 오차 모델을 생성하는 단계는:
    상기 마스크로부터 마스크 검사 데이터를 얻는 단계;
    상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하는 단계;
    상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 생성하는 단계; 및
    상기 계통적 마스크 오차 데이터에 기초하여 상기 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성하는 단계를 포함하는 것을 특징으로 하는 방법.
  45. 복수의 노광 툴들에 대해 복수의 광학 모델들을 선택하는 단계 - 각각의 광학 모델은 개별 노광 툴을 나타냄 - ;
    상기 복수의 광학 모델들 각각에 대해, 마스크의 개별 마스크 오차 모델 및 상기 광학 모델을 이용하여 리소그래피 공정을 시뮬레이션하여, 시뮬레이션된 결과들을 생성하는 단계; 및
    상기 복수의 노광 툴들 중 어느 것이 상기 마스크와 최적화를 수행하는지를 결정하기 위해 상기 복수의 광학 모델들 각각에 대한 상기 시뮬레이션된 결과들을 평가하는 단계를 포함하는 방법.
  46. 제 45 항에 있어서,
    상기 개별 마스크 오차 모델은 상기 마스크의 검사로부터 결정된 계통적 마스크 오차 파라미터들을 포함하는 것을 특징으로 하는 방법.
  47. 제 45 항에 있어서,
    상기 복수의 광학 모델들 각각에 대한 상기 시뮬레이션된 결과들을 평가하는 단계는 설계 타겟과 상기 시뮬레이션 결과들을 비교하는 단계를 포함하는 것을 특징으로 하는 방법.
  48. 제 45 항에 있어서,
    웨이퍼 생산을 위해 최적-매치(best-match) 노광 툴을 선택하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  49. 제 45 항에 있어서,
    상기 개별 마스크 오차 모델은:
    상기 마스크로부터 마스크 검사 데이터를 얻고 - 상기 마스크는 마스크 레이아웃 데이터를 이용하여 제조됨 - ;
    상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 차이를 결정하며;
    상기 마스크 검사 데이터와 상기 마스크 레이아웃 데이터 간의 상기 차이에 기초하여 계통적 마스크 오차 데이터를 생성하고;
    상기 계통적 마스크 오차 데이터에 기초하여 상기 개별 마스크 오차 모델에 대한 계통적 마스크 오차 파라미터들을 생성함으로써 생성되는 것을 특징으로 하는 방법.
KR1020087008487A 2005-09-09 2006-09-08 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템 KR100982135B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US71983705P 2005-09-09 2005-09-09
US60/719,837 2005-09-09

Publications (2)

Publication Number Publication Date
KR20080064830A true KR20080064830A (ko) 2008-07-09
KR100982135B1 KR100982135B1 (ko) 2010-09-14

Family

ID=37836510

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087008487A KR100982135B1 (ko) 2005-09-09 2006-09-08 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템

Country Status (6)

Country Link
US (2) US7587704B2 (ko)
EP (1) EP1941321A2 (ko)
JP (2) JP4954211B2 (ko)
KR (1) KR100982135B1 (ko)
CN (2) CN102662309B (ko)
WO (1) WO2007030704A2 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101113325B1 (ko) * 2009-05-29 2012-03-14 주식회사 하이닉스반도체 광근접 보정 검증 방법
KR101322723B1 (ko) * 2011-01-28 2013-10-28 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 리소그래피 장치의 개선된 구성을 결정하기 위한 방법
KR20140051317A (ko) * 2011-07-20 2014-04-30 칼 짜이스 에스엠에스 엘티디 포토리소그래픽 마스크의 임계 치수 변동을 결정하기 위한 방법 및 장치
KR101394585B1 (ko) * 2011-08-09 2014-05-12 에이에스엠엘 네델란즈 비.브이. 3d 토포그래픽 웨이퍼들을 위한 리소그래피 모델
KR20200015708A (ko) * 2017-06-06 2020-02-12 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 장치
KR20200126921A (ko) * 2019-04-29 2020-11-09 엠아이 이큅먼트 (엠) 에스디엔. 비에이치디. 스켈러톤 웨이퍼 검사 방법

Families Citing this family (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
WO2006118098A1 (ja) 2005-04-26 2006-11-09 Renesas Technology Corp. 半導体装置およびその製造方法ならびに半導体製造用マスク、光近接処理方法
KR100642417B1 (ko) * 2005-09-20 2006-11-03 주식회사 하이닉스반도체 레이어 대 레이어 검사방법을 이용한 광학근접보정검증방법
KR100725170B1 (ko) * 2005-11-15 2007-06-04 삼성전자주식회사 포토마스크의 제작을 위한 시스템 및 방법
US7631286B2 (en) * 2005-12-30 2009-12-08 Wafertech Llc Automated metrology recipe generation
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
KR100706813B1 (ko) * 2006-02-13 2007-04-12 삼성전자주식회사 반도체 장치의 패턴 배치 방법
US7735056B2 (en) * 2006-03-30 2010-06-08 Texas Instruments Incorporated Automated circuit design dimension change responsive to low contrast condition determination in photomask phase pattern
US20090306941A1 (en) * 2006-05-15 2009-12-10 Michael Kotelyanskii Structure Model description and use for scatterometry-based semiconductor manufacturing process metrology
US8041440B2 (en) * 2006-07-13 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for providing a selection of golden tools for better defect density and product yield
US8111901B2 (en) * 2006-08-14 2012-02-07 Asml Masktools B.V. Apparatus and method for separating a circuit pattern into multiple circuit patterns
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
KR100818999B1 (ko) * 2006-10-09 2008-04-02 삼성전자주식회사 마스크 제작 방법
US7937179B2 (en) * 2007-05-24 2011-05-03 Applied Materials, Inc. Dynamic inline yield analysis and prediction of a defect limited yield using inline inspection defects
US7962864B2 (en) * 2007-05-24 2011-06-14 Applied Materials, Inc. Stage yield prediction
US8924904B2 (en) * 2007-05-24 2014-12-30 Applied Materials, Inc. Method and apparatus for determining factors for design consideration in yield analysis
US8799831B2 (en) * 2007-05-24 2014-08-05 Applied Materials, Inc. Inline defect analysis for sampling and SPC
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
US7669171B2 (en) * 2007-09-05 2010-02-23 United Miceoelectronics Corp. Prediction model and prediction method for exposure dose
US7617478B2 (en) * 2007-09-25 2009-11-10 Synopsys, Inc. Flash-based anti-aliasing techniques for high-accuracy high efficiency mask synthesis
US7707539B2 (en) * 2007-09-28 2010-04-27 Synopsys, Inc. Facilitating process model accuracy by modeling mask corner rounding effects
JP4511582B2 (ja) * 2007-11-07 2010-07-28 シャープ株式会社 マスクパターンの補正方法、フォトマスク、および半導体装置の製造方法
US8059884B2 (en) * 2007-11-08 2011-11-15 International Business Machines Corporation Method and system for obtaining bounds on process parameters for OPC-verification
US8572524B2 (en) * 2007-11-21 2013-10-29 Globalfoundries Singapore Pte. Ltd. Statistical optical proximity correction
JP4991499B2 (ja) * 2007-11-28 2012-08-01 株式会社日立ハイテクノロジーズ レチクル検査装置及びレチクル検査方法
US20090144692A1 (en) * 2007-11-30 2009-06-04 Cain Jason P Method and apparatus for monitoring optical proximity correction performance
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP2009139632A (ja) * 2007-12-06 2009-06-25 Elpida Memory Inc マスクパターン補正方法及び露光用マスク
NL1036335A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Device manufacturing method, lithographic system, lithographic apparatus and design for manufacturing system.
JP5252932B2 (ja) * 2008-01-18 2013-07-31 株式会社東芝 半導体装置の製造方法
US20090204936A1 (en) * 2008-02-11 2009-08-13 Werner Jacobs Method of Performing Proximity Correction
JP2009251500A (ja) * 2008-04-10 2009-10-29 Toshiba Corp パターンの検証方法、パターンの形成方法、半導体装置の製造方法及びプログラム
CA2757181C (en) * 2008-04-18 2017-10-24 Coinsecure, Inc. Apparatus for producing optical signatures from coinage
US20090295912A1 (en) * 2008-05-12 2009-12-03 Coinsecure, Inc. Coin edge imaging device
US7974819B2 (en) * 2008-05-13 2011-07-05 Aptina Imaging Corporation Methods and systems for intensity modeling including polarization
JP2009282319A (ja) * 2008-05-22 2009-12-03 Toshiba Corp パターン検証方法、パターン検証システム、パターン検証プログラム、マスク製造方法、および半導体装置の製造方法
US8000922B2 (en) * 2008-05-29 2011-08-16 Kla-Tencor Corp. Methods and systems for generating information to be used for selecting values for one or more parameters of a detection algorithm
US8806387B2 (en) 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods
US8570485B2 (en) 2008-06-03 2013-10-29 Asml Netherlands B.V. Lens heating compensation systems and methods
US8806396B2 (en) * 2008-06-24 2014-08-12 Cadence Design Systems, Inc. Method and system performing circuit design predictions
WO2010005957A1 (en) 2008-07-07 2010-01-14 Brion Technologies, Inc. Illumination optimization
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
JP2010034402A (ja) * 2008-07-30 2010-02-12 Toshiba Corp パターン形状予測方法
DE102008049880A1 (de) * 2008-09-30 2010-04-08 Carl Zeiss Sms Gmbh Verfahren zur Verbesserung des Abbildungsverhaltens von Masken für die Photolithographie
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003696A (en) 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
US8092122B2 (en) 2008-11-10 2012-01-10 Reynolds Consumer Products, Inc. Connection device for fastening expanded cell confinement structures and methods for doing the same
NL2003719A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
WO2010059954A2 (en) 2008-11-21 2010-05-27 Brion Technologies Inc. Fast freeform source and mask co-optimization method
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8463419B2 (en) * 2009-01-22 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improved automated semiconductor wafer manufacturing
US8136054B2 (en) * 2009-01-29 2012-03-13 Synopsys, Inc. Compact abbe's kernel generation using principal component analysis
US8103984B1 (en) * 2009-02-23 2012-01-24 Cadence Design Systems, Inc. System and method for compressed design phase contour data
US8191016B2 (en) 2009-02-23 2012-05-29 Cadence Design Systems, Inc. System and method for compressed post-OPC data
JP2010211046A (ja) * 2009-03-11 2010-09-24 Toshiba Corp パターン検証方法およびパターン検証プログラム
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
CN102033423B (zh) * 2009-09-28 2013-05-29 中芯国际集成电路制造(上海)有限公司 用于校准光刻工具的装置及方法
CN102043326B (zh) * 2009-10-20 2012-07-11 中芯国际集成电路制造(上海)有限公司 掩模版图形校正方法
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
CN102096309B (zh) * 2009-12-15 2012-07-11 中芯国际集成电路制造(上海)有限公司 光学邻近校正方法
JP2011129756A (ja) * 2009-12-18 2011-06-30 Toshiba Corp マスクパターンの生成方法、マスクパターン生成プログラム及び半導体装置の製造方法
JP5248540B2 (ja) * 2010-02-12 2013-07-31 株式会社東芝 マスク検証方法、半導体装置の製造方法およびマスク検証プログラム
CN102193302A (zh) * 2010-03-03 2011-09-21 中芯国际集成电路制造(上海)有限公司 一种掩膜图形缺陷的检测方法及系统
CN104317159A (zh) * 2010-03-03 2015-01-28 中芯国际集成电路制造(上海)有限公司 一种掩膜图形缺陷的检测方法及系统
DE102011078927B4 (de) * 2010-07-12 2019-01-31 Carl Zeiss Sms Ltd. Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske
DE102010045135B4 (de) 2010-09-10 2021-03-18 Carl Zeiss Meditec Ag Verfahren zur Ermittlung eines Platzierungsfehlers eines Strukturelements auf einer Maske, Verfahren zur Simulation eines Luftbildes aus Struktur-Vorgaben einer Maske und Positionsmessvorrichtung
US8429570B2 (en) * 2010-10-28 2013-04-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8495527B2 (en) * 2010-10-28 2013-07-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
FR2968780A1 (fr) * 2010-12-10 2012-06-15 St Microelectronics Crolles 2 Procede de determination d'un masque de gravure en niveaux de gris
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
CN102566291B (zh) * 2010-12-29 2015-04-29 中芯国际集成电路制造(上海)有限公司 投影掩膜版的测试系统
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
KR20120101197A (ko) * 2011-02-08 2012-09-13 삼성전자주식회사 포토 마스크의 제조 방법
KR101866448B1 (ko) * 2011-02-10 2018-06-11 삼성전자주식회사 포토마스크 형성 방법, 이를 수행하는 프로그래밍된 명령을 저장하는 컴퓨터에서 판독 가능한 저장 매체 및 마스크 이미징 시스템
KR20130006740A (ko) * 2011-03-15 2013-01-18 삼성전자주식회사 패턴 cd 예측 방법 및 포토 마스크 형성 방법
JP2012252055A (ja) * 2011-05-31 2012-12-20 Toshiba Corp マスク検査方法、マスク作製方法および半導体装置の製造方法
US8572518B2 (en) * 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
DE102011078999A1 (de) * 2011-07-12 2013-01-17 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Positionsbestimmung von Strukturen auf einer Maske für die Mikrolithographie
CN102902154A (zh) * 2011-07-29 2013-01-30 上海华虹Nec电子有限公司 光学临近效应修正工艺模型的建模方法
CN102540773B (zh) * 2011-08-29 2014-06-04 上海华力微电子有限公司 一种新型的利用曝光后烘烤的opc模型检验光刻工艺的方法
US20130080981A1 (en) * 2011-09-22 2013-03-28 Teng-Yen Huang Method for improving optical proximity simulation from exposure result
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US8607169B2 (en) * 2011-12-28 2013-12-10 Elitetech Technology Co., Ltd. Intelligent defect diagnosis method
CN103186032B (zh) * 2011-12-31 2016-01-13 无锡华润上华科技有限公司 光学邻近效应修正方法及相应的掩膜图形形成方法
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
JP5789275B2 (ja) 2012-02-03 2015-10-07 エーエスエムエル ネザーランズ ビー.ブイ. 3dレジストプロファイルのシミュレーション用のリソグラフィモデル
NL2010163A (en) 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
NL2010196A (en) 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
US9489479B2 (en) 2012-05-04 2016-11-08 Asml Netherlands B.V. Rule and lithographic process co-optimization
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US9240360B2 (en) 2012-07-25 2016-01-19 International Business Machines Corporation Run-to-run control utilizing virtual metrology in semiconductor manufacturing
CN103676490B (zh) * 2012-09-20 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种监控弱点形成原因的方法
NL2011592A (en) 2012-10-31 2014-05-06 Asml Netherlands Bv Compensation for patterning device deformation.
US8924896B2 (en) * 2013-01-31 2014-12-30 Globalfoundries Inc. Automated design layout pattern correction based on context-aware patterns
WO2014127985A1 (en) 2013-02-22 2014-08-28 Asml Netherlands B.V. A lithography model for three-dimensional patterning device
CN105008997B (zh) 2013-02-25 2017-03-08 Asml荷兰有限公司 离散源掩模优化
US9250535B2 (en) * 2013-03-15 2016-02-02 International Business Machines Corporation Source, target and mask optimization by incorporating countour based assessments and integration over process variations
CN104516192B (zh) * 2013-09-30 2018-10-16 中芯国际集成电路制造(上海)有限公司 建立opc模型的方法、布局图形的检查方法
WO2015049099A1 (en) 2013-10-01 2015-04-09 Asml Netherlands B.V. Profile aware source-mask optimization
KR102267475B1 (ko) 2013-10-10 2021-06-21 삼성전자주식회사 전자빔 노광 장치 및 이의 에러 검출 방법
WO2015090774A1 (en) 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
WO2015101459A1 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
CN104749899B (zh) * 2013-12-30 2017-02-01 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法
JP6567523B2 (ja) 2013-12-30 2019-08-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
JP6312834B2 (ja) 2013-12-30 2018-04-18 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
CN103744265B (zh) * 2014-01-29 2016-09-07 上海华力微电子有限公司 改善工艺窗口的光学临近修正方法
SG11201606179QA (en) 2014-02-11 2016-08-30 Asml Netherlands Bv Model for calculating a stochastic variation in an arbitrary pattern
US10073357B2 (en) 2014-02-21 2018-09-11 Asml Netherlands B.V. Measuring a process parameter for a manufacturing process involving lithography
KR102467186B1 (ko) 2014-03-10 2022-11-14 노바 엘티디. 패턴화된 구조물에서 측정을 위한 테스트 구조물을 사용하는 테스트 구조물 및 계측 기술
US10386727B2 (en) 2014-03-18 2019-08-20 Asml Netherlands B.V. Pattern placement error aware optimization
CN103885282B (zh) * 2014-03-20 2017-11-03 上海华力微电子有限公司 一种减少opc修正后验证误报错的方法
CN106164777B (zh) 2014-04-14 2019-06-18 Asml荷兰有限公司 光刻过程的优化流程
WO2015165699A1 (en) 2014-05-02 2015-11-05 Asml Netherlands B.V. Reduction of hotspots of dense features
WO2015189026A2 (en) 2014-06-10 2015-12-17 Asml Netherlands B.V. Computational wafer inspection
US9552964B2 (en) * 2014-06-20 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with a pattern density-outlier-treatment for optimized pattern density uniformity
WO2015197313A1 (en) 2014-06-25 2015-12-30 Asml Netherlands B.V. Etch variation tolerant optimization
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
KR101986258B1 (ko) 2014-08-29 2019-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 타겟 및 기판
US9678435B1 (en) * 2014-09-22 2017-06-13 Mentor Graphics, A Siemens Business Horizontal development bias in negative tone development of photoresist
WO2016050584A1 (en) 2014-10-02 2016-04-07 Asml Netherlands B.V. Rule-based deployment of assist features
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
US10409165B2 (en) 2014-12-15 2019-09-10 Asml Netherlands B.V. Optimization based on machine learning
US20170285483A1 (en) 2014-12-17 2017-10-05 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase
CN107111240A (zh) 2014-12-17 2017-08-29 Asml荷兰有限公司 使用图案形成装置形貌引入的相位的方法和设备
US10372043B2 (en) 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
US20170315441A1 (en) 2014-12-17 2017-11-02 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase
WO2016096333A1 (en) 2014-12-18 2016-06-23 Asml Netherlands B.V. A lithography model for 3d features
TWI620980B (zh) 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
SG11201706686YA (en) 2015-03-16 2017-09-28 Asml Netherlands Bv Methods for determining resist deformation
US11506984B2 (en) 2015-05-29 2022-11-22 Asml Netherlands B.V. Simulation of lithography using multiple-sampling of angular distribution of source radiation
KR102294366B1 (ko) 2015-06-16 2021-08-27 에이에스엠엘 네델란즈 비.브이. 결함 검증을 위한 방법들
KR102441582B1 (ko) 2015-07-23 2022-09-07 삼성전자주식회사 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
CN104977816B (zh) * 2015-08-05 2018-01-23 哈尔滨工业大学 基于Compact Particle Swarm Optimization算法的光刻机掩模台微动台的机械参数软测量方法
CN105068383B (zh) * 2015-08-05 2017-04-05 哈尔滨工业大学 一种微动台机械参数误差辨识方法
DE102015218917B4 (de) * 2015-09-30 2020-06-25 Carl Zeiss Smt Gmbh Verfahren zur Ermittlung einer Position eines Strukturelements auf einer Maske und Mikroskop zur Durchführung des Verfahrens
NL2017466A (en) 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
US10754256B2 (en) 2015-10-08 2020-08-25 Asml Netherlands B.V. Method and apparatus for pattern correction and verification
US11036146B2 (en) * 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
US10915689B2 (en) 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067752A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10719011B2 (en) 2015-10-19 2020-07-21 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US20180314149A1 (en) * 2015-10-19 2018-11-01 Aslm Netherlands B.V. Method and apparatus to correct for patterning process error
CN105446883B (zh) * 2015-12-08 2018-05-08 中国航空工业集团公司西安航空计算技术研究所 一种基于数据配置工具的模型验证分析方法
WO2017102321A1 (en) 2015-12-14 2017-06-22 Cymer, Llc Optimization of source and bandwidth for new and existing patterning devices
WO2017102336A1 (en) 2015-12-18 2017-06-22 Asml Netherlands B.V. Improvements in gauge pattern selection
IL293649B2 (en) 2015-12-22 2023-11-01 Asml Netherlands Bv A device and method for characterizing a window process
CN108604065B (zh) 2015-12-23 2021-10-26 Asml荷兰有限公司 量测方法、目标和衬底
KR102182011B1 (ko) 2015-12-24 2020-11-24 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치
WO2017114662A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Selection of measurement locations for patterning processes
US20170199511A1 (en) * 2016-01-12 2017-07-13 Globalfoundries Inc. Signal detection metholodogy for fabrication control
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US11112700B2 (en) 2016-03-24 2021-09-07 Asml Netherlands B.V. Optimization of a lithographic projection apparatus accounting for an interlayer characteristic
WO2017178276A1 (en) 2016-04-14 2017-10-19 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
KR102188014B1 (ko) 2016-05-12 2020-12-08 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의한 결함 또는 핫스폿의 식별
WO2017194285A1 (en) 2016-05-12 2017-11-16 Asml Netherlands B.V. Displacement based overlay or alignment
US10394132B2 (en) 2016-05-17 2019-08-27 Asml Netherlands B.V. Metrology robustness based on through-wavelength similarity
CN109478013B (zh) 2016-07-12 2022-04-01 Asml荷兰有限公司 对设计布局的计算分析的性能指标进行可视化
IL263765B2 (en) 2016-07-15 2023-04-01 Asml Netherlands Bv Method and device for designing a target field for metrology
US10712672B2 (en) 2016-07-22 2020-07-14 Asml Netherlands B.V. Method of predicting patterning defects caused by overlay error
US9934564B2 (en) * 2016-08-04 2018-04-03 The Boeing Company Methods and systems to analyze optical images for quantification of manufacturing part quality
CN109844643A (zh) 2016-08-19 2019-06-04 Asml荷兰有限公司 对曝光后过程进行建模
EP3291007A1 (en) 2016-08-30 2018-03-07 ASML Netherlands B.V. Patterning stack optimization
WO2018050432A1 (en) 2016-09-13 2018-03-22 Asml Netherlands B.V. Optimization of a lithography apparatus or patterning process based on selected aberration
DE102016218977B4 (de) * 2016-09-30 2020-11-12 Carl Zeiss Smt Gmbh Verfahren zur Ermittlung eines OPC-Modells
KR102248121B1 (ko) 2016-10-24 2021-05-06 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 패턴을 최적화하는 방법
US10671786B2 (en) * 2016-11-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask by taking into account of mask pattern edge interaction
WO2018099716A1 (en) 2016-12-01 2018-06-07 Asml Netherlands B.V. Method and system for pattern configuration
CN110050230B (zh) 2016-12-02 2021-06-11 Asml荷兰有限公司 用于估计随机变量的模型
CN114690591A (zh) 2016-12-23 2022-07-01 Asml荷兰有限公司 计算机程序产品和形貌确定方法
CN110114727B (zh) * 2016-12-28 2021-10-22 Asml控股股份有限公司 量测工具及使用该量测工具的方法
WO2018121965A1 (en) 2016-12-28 2018-07-05 Asml Netherlands B.V. Simulation-assisted alignment between metrology image and design
KR102306558B1 (ko) 2016-12-28 2021-10-05 에이에스엠엘 네델란즈 비.브이. 제조 공정 시 공정 모델들의 안내 및 검사 방법들
WO2018125219A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Systems, methods, and apparatuses for implementing geometric kernel based machine learning for reducing opc model error
CN110325921B (zh) 2017-01-26 2022-02-18 Asml荷兰有限公司 微调过程模型的方法
EP3358413A1 (en) * 2017-02-02 2018-08-08 ASML Netherlands B.V. Metrology method, apparatus and computer program
US10861755B2 (en) 2017-02-08 2020-12-08 Verity Instruments, Inc. System and method for measurement of complex structures
KR102304331B1 (ko) * 2017-02-24 2021-09-24 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
KR102380916B1 (ko) 2017-05-12 2022-04-01 에이에스엠엘 네델란즈 비.브이. 레지스트 현상을 평가하는 방법들
CN108931883B (zh) * 2017-05-17 2022-06-21 东方晶源微电子科技(北京)有限公司 一种优化掩模版图的方法
US10599046B2 (en) * 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
WO2019011604A1 (en) 2017-07-12 2019-01-17 Asml Netherlands B.V. PREDICTION OF DEFECTS
EP3441819A1 (en) 2017-08-07 2019-02-13 ASML Netherlands B.V. Computational metrology
KR102352673B1 (ko) 2017-08-07 2022-01-17 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법
EP3462240A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
WO2019063206A1 (en) 2017-09-27 2019-04-04 Asml Netherlands B.V. METHOD FOR DETERMINING CONTROL PARAMETERS OF DEVICE MANUFACTURING PROCESS
US10495967B2 (en) * 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
US10386726B2 (en) * 2017-09-29 2019-08-20 Globalfoundries Inc. Geometry vectorization for mask process correction
CN111164729B (zh) 2017-09-29 2023-04-11 Asml荷兰有限公司 带电粒子束检查的样品检查选配方案的动态确定的方法
KR102516045B1 (ko) 2017-10-11 2023-03-30 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
US10671052B2 (en) * 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Synchronized parallel tile computation for large area lithography simulation
US11625520B2 (en) 2017-12-04 2023-04-11 Asml Netherlands B.V. Systems and methods for predicting layer deformation
EP3492983A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Systems and methods for predicting layer deformation
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
CN111512235B (zh) 2017-12-19 2022-08-05 Asml荷兰有限公司 基于计算量测的校正和控制
US11079687B2 (en) 2017-12-22 2021-08-03 Asml Netherlands B.V. Process window based on defect probability
WO2019121491A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
CN111492317B (zh) 2017-12-22 2023-01-10 Asml荷兰有限公司 用于减少抗蚀剂模型预测误差的系统和方法
KR102454303B1 (ko) 2018-01-24 2022-10-12 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법 기반 샘플링 스킴
WO2019145278A1 (en) 2018-01-26 2019-08-01 Asml Netherlands B.V. Pre-scan feature determination methods and systems
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
KR102606115B1 (ko) 2018-02-23 2023-11-29 에이에스엠엘 네델란즈 비.브이. 패턴의 시맨틱 분할을 위한 딥 러닝
KR102481755B1 (ko) 2018-02-23 2022-12-29 에이에스엠엘 네델란즈 비.브이. 가이드 패터닝 디바이스 검사
EP3531206A1 (en) 2018-02-23 2019-08-28 ASML Netherlands B.V. Systems and methods for improving resist model predictions
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019199697A1 (en) * 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
KR102585069B1 (ko) 2018-06-04 2023-10-05 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 공정 모델을 개선하는 방법
US11544440B2 (en) 2018-06-15 2023-01-03 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration
US11586114B2 (en) 2018-06-25 2023-02-21 Asml Netherlands B.V. Wavefront optimization for tuning scanner based on performance matching
US10867112B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
WO2020011513A1 (en) 2018-07-12 2020-01-16 Asml Netherlands B.V. Utilize pattern recognition to improve sem contour measurement accuracy and stability automatically
WO2020020759A1 (en) 2018-07-26 2020-01-30 Asml Netherlands B.V. Method for determining an etch profile of a layer of a wafer for a simulation system
CN112602020A (zh) 2018-08-15 2021-04-02 Asml荷兰有限公司 利用机器学习从原始图像自动选择高品质平均扫描电镜图像
CN108919601A (zh) * 2018-08-23 2018-11-30 中国科学院微电子研究所 一种掩模参数的优化方法及装置
EP3614194A1 (en) 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
WO2020043474A1 (en) 2018-08-31 2020-03-05 Asml Netherlands B.V. Measurement method and apparatus
TW202020577A (zh) 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
KR102585137B1 (ko) 2018-10-17 2023-10-06 에이에스엠엘 네델란즈 비.브이. 특성 패턴을 생성하고 기계 학습 모델을 트레이닝하는 방법들
CN112889004A (zh) 2018-10-19 2021-06-01 Asml荷兰有限公司 通过源和掩模优化创建理想源光谱的方法
US20210405539A1 (en) 2018-11-05 2021-12-30 Asml Holding N.V. A method to manufacture nano ridges in hard ceramic coatings
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
US11354484B2 (en) 2018-11-08 2022-06-07 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
EP3650940A1 (en) 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
CN113168085A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
KR20210082247A (ko) 2018-11-30 2021-07-02 에이에스엠엘 네델란즈 비.브이. 기계 학습 모델 예측 내의 불확실성을 감소시키기 위한 방법.
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
CN113196173A (zh) 2018-12-14 2021-07-30 Asml荷兰有限公司 用于对图像图案分组以确定图案化过程中晶片行为的设备和方法
WO2020135946A1 (en) 2018-12-28 2020-07-02 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
KR102617197B1 (ko) * 2018-12-28 2023-12-27 에이에스엠엘 네델란즈 비.브이. 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
WO2020141056A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Method for predicting resist deformation
US11561478B2 (en) 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
US10996572B2 (en) * 2019-02-15 2021-05-04 Applied Materials, Inc. Model based dynamic positional correction for digital lithography tools
WO2020169326A1 (en) 2019-02-19 2020-08-27 Asml Holding N.V. Laser roughening: engineering the roughness of the burl top
CN113508338A (zh) 2019-02-20 2021-10-15 Asml荷兰有限公司 用于表征半导体器件的制造工艺的方法
KR20210116613A (ko) 2019-02-21 2021-09-27 에이에스엠엘 네델란즈 비.브이. 마스크에 대한 광학 근접 보정을 결정하기 위한 머신 러닝 모델의 트레이닝 방법
US11567413B2 (en) 2019-02-25 2023-01-31 Asml Netherlands B.V. Method for determining stochastic variation of printed patterns
CN113508339A (zh) 2019-02-27 2021-10-15 Asml荷兰有限公司 用于模型校准的改进量规选择
US11747739B2 (en) 2019-03-03 2023-09-05 Asml Netherlands Method and apparatus for imaging using narrowed bandwidth
WO2020182440A1 (en) 2019-03-08 2020-09-17 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
CN113892059A (zh) 2019-03-25 2022-01-04 Asml荷兰有限公司 用于确定图案化过程中的图案的方法
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
KR102648599B1 (ko) 2019-04-09 2024-03-15 에이에스엠엘 네델란즈 비.브이. 설비 위치들 사이에서 예측 모델을 조절하기 위한 시스템 및 방법
US10990019B2 (en) 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning
WO2020212107A1 (en) 2019-04-15 2020-10-22 Asml Netherlands B.V. Method for determining corrections to features of a mask
CN113728276A (zh) 2019-04-25 2021-11-30 Asml荷兰有限公司 用于基于缺陷来确定图案化过程的特性以减少热点的方法
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
EP3963404B1 (en) 2019-04-30 2023-01-25 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
KR20210150574A (ko) 2019-05-21 2021-12-10 에이에스엠엘 네델란즈 비.브이. 원하는 패턴과 관련된 확률적 변화를 결정하기 위한 방법
KR20220018050A (ko) 2019-07-10 2022-02-14 에이에스엠엘 네델란즈 비.브이. 모델 예측 불확실성을 감소시키기 위한 모델 교정을 위한 예측 데이터 선택
KR20210008678A (ko) 2019-07-15 2021-01-25 삼성전자주식회사 포토 마스크의 제조 방법 및 반도체 장치의 제조 방법
US20220276564A1 (en) 2019-08-08 2022-09-01 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
CN114207517A (zh) 2019-08-13 2022-03-18 Asml荷兰有限公司 训练用于改善图案化过程的机器学习模型的方法
KR20220034900A (ko) 2019-08-20 2022-03-18 에이에스엠엘 네델란즈 비.브이. 이미지 내 구조물의 공정 기반 윤곽 정보 개선 방법
CN112433443A (zh) * 2019-08-26 2021-03-02 上海凸版光掩模有限公司 适用于jbx光刻机的图案修正方法、装置、介质、及系统
US20220327364A1 (en) 2019-08-30 2022-10-13 Asml Netherlands B.V. Semiconductor device geometry method and system
WO2021043596A1 (en) 2019-09-03 2021-03-11 Asml Netherlands B.V. Method for determining aberration sensitivity of patterns
EP3789826A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. Method for determining defectiveness of pattern based on after development image
CN114556228A (zh) 2019-09-05 2022-05-27 Asml荷兰有限公司 用于基于显影后图像确定图案缺陷的方法
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
CN114341885A (zh) 2019-09-06 2022-04-12 Asml荷兰有限公司 用于增加参数化模型预测的确定性的方法
CN114402342A (zh) 2019-09-16 2022-04-26 Asml荷兰有限公司 用于生成特性图案以及训练机器学习模型的方法
EP3822703A1 (en) 2019-11-18 2021-05-19 ASML Netherlands B.V. Method for determining a field-of-view setting
US20230023153A1 (en) 2019-10-08 2023-01-26 Asml Netherlands B.V Method for determining a field-of-view setting
US20240126183A1 (en) 2019-10-24 2024-04-18 Asml Netherlands B.V. Method for rule-based retargeting of target pattern
KR20220073828A (ko) 2019-11-01 2022-06-03 에이에스엠엘 네델란즈 비.브이. 모델 베이스 정렬들을 위한 기계 학습 기반 이미지 생성
EP4055444A1 (en) 2019-11-04 2022-09-14 Synopsys, Inc. Using mask fabrication models in correction of lithographic masks
WO2021091838A1 (en) * 2019-11-04 2021-05-14 Synopsys, Inc. Using mask fabrication models in correction of lithographic masks
CN114787715A (zh) 2019-12-02 2022-07-22 西默有限公司 用于增强成像到衬底上的图案的目标特征的方法和系统
US20230044490A1 (en) 2019-12-13 2023-02-09 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
DE102020104167B4 (de) * 2020-02-18 2023-01-26 Carl Zeiss Smt Gmbh Verfahren zur Vermessung von Photomasken
US20230076218A1 (en) 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
US20230107556A1 (en) 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
WO2021229030A1 (en) 2020-05-14 2021-11-18 Asml Netherlands B.V. Method for predicting stochastic contributors
EP3910418A1 (en) 2020-05-14 2021-11-17 ASML Netherlands B.V. Method for direct decomposition of stochastic contributors
US20230185183A1 (en) 2020-06-03 2023-06-15 Asml Netherlands B.V. Systems, products, and methods for generating patterning devices and patterns therefor
WO2021249720A1 (en) 2020-06-10 2021-12-16 Asml Netherlands B.V. Aberration impact systems, models, and manufacturing processes
US20230244152A1 (en) 2020-06-24 2023-08-03 Asml Netherlands B.V. Systems, methods, and products for determining printing probability of assist feature and its application
US20230221652A1 (en) 2020-07-03 2023-07-13 Asml Netherlans B. V. Process window based on failure rate
EP3945367A1 (en) 2020-07-31 2022-02-02 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
CN116157744A (zh) 2020-07-22 2023-05-23 Asml荷兰有限公司 用于控制制造工艺的方法和相关联的装置
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
US20230298158A1 (en) 2020-08-19 2023-09-21 Asml Netherlands B.V. Apparatus and method for selecting high quality images from raw images automatically
WO2022037921A1 (en) 2020-08-19 2022-02-24 Asml Netherlands B.V. Systems, products, and methods for image-based pattern selection
US11704471B2 (en) * 2020-09-16 2023-07-18 Synopsys, Inc. Three-dimensional mask simulations based on feature images
CN116490824A (zh) 2020-09-25 2023-07-25 Asml荷兰有限公司 图案化过程的扫描仪生产率和成像质量的优化
WO2022106132A1 (en) 2020-11-20 2022-05-27 Asml Netherlands B.V. Simulation-assisted metrology image alignment
US20240004305A1 (en) 2020-12-18 2024-01-04 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
US20240104284A1 (en) 2020-12-21 2024-03-28 Asml Netherlands B.V. Feature based cell extraction for pattern regions
US20240037897A1 (en) 2020-12-21 2024-02-01 Asml Netherlands B.V. Feature extraction method for extracting feature vectors for identifying pattern objects
EP4020085A1 (en) 2020-12-22 2022-06-29 ASML Netherlands B.V. Machine learning based image generation of after-development or after-etch images
CN116648674A (zh) 2020-12-23 2023-08-25 Asml荷兰有限公司 基于带宽和散斑的光刻过程的优化
WO2022179802A1 (en) 2021-02-23 2022-09-01 Asml Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
WO2022184578A1 (en) 2021-03-03 2022-09-09 Asml Netherlands B.V. Configuration of patterning process
KR20230154852A (ko) 2021-03-08 2023-11-09 에이에스엠엘 네델란즈 비.브이. 반도체 제조 관련 프로세스의 패턴 선택 방법
KR20240011719A (ko) 2021-05-25 2024-01-26 에이에스엠엘 네델란즈 비.브이. 마스크 규칙 체크 위반 및 마스크 디자인 결정
WO2022258398A1 (en) 2021-06-07 2022-12-15 Asml Netherlands B.V. Determining rounded contours for lithography related patterns
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
CN117597627A (zh) 2021-07-06 2024-02-23 Asml荷兰有限公司 确定局部化图像预测误差以改进预测图像的机器学习模型
CN117651914A (zh) 2021-07-21 2024-03-05 Asml荷兰有限公司 用于光学器件列的热稳定安装的系统和方法
KR20240044432A (ko) 2021-08-10 2024-04-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭
WO2023030807A1 (en) 2021-09-02 2023-03-09 Asml Netherlands B.V. Method of evaluating selected set of patterns
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
EP4194950A1 (en) 2021-12-08 2023-06-14 ASML Netherlands B.V. Systems and methods for reducing pattern shift in a lithographic apparatus
WO2023104504A1 (en) 2021-12-09 2023-06-15 Asml Netherlands B.V. Surrounding pattern and process aware metrology
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023110347A1 (en) 2021-12-16 2023-06-22 Asml Netherlands B.V. Systems and methods for optimizing lithographic design variables using image-based failure rate model
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
TW202401145A (zh) * 2022-03-17 2024-01-01 美商應用材料股份有限公司 用於傾斜光柵的阻劑建模方法
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024012800A1 (en) 2022-07-11 2024-01-18 Asml Netherlands B.V. Systems and methods for predicting post-etch stochastic variation
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024013273A1 (en) 2022-07-14 2024-01-18 Asml Netherlands B.V. Determining mask rule check violations and mask design based on local feature dimension
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024022854A1 (en) 2022-07-28 2024-02-01 Asml Netherlands B.V. Training a machine learning model to generate mrc and process aware mask pattern
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024037837A1 (en) 2022-08-18 2024-02-22 Asml Netherlands B.V. Suppressing specular reflection of mask absorber and on- resolution field stitching
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes
WO2024046691A1 (en) 2022-09-02 2024-03-07 Asml Netherlands B.V. Method for configuring a field of view of an inspection apparatus
WO2024088666A1 (en) 2022-10-26 2024-05-02 Asml Netherlands B.V. Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6091845A (en) * 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6516085B1 (en) 1999-05-03 2003-02-04 Kla-Tencor Apparatus and methods for collecting global data during a reticle inspection
JP4663214B2 (ja) * 2001-03-20 2011-04-06 シノプシイス インコーポレイテッド マスク欠陥のプリンタビリティ解析を提供するシステム及び方法
JP2002323749A (ja) * 2001-04-25 2002-11-08 Dainippon Printing Co Ltd フォトマスクの欠陥部ないし修正後の欠陥部の判定方法
JP2003302743A (ja) * 2002-04-12 2003-10-24 Dainippon Printing Co Ltd フォトマスクの検査方法
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
EP1579274A4 (en) * 2002-07-12 2006-06-07 Cadence Design Systems Inc METHOD AND SYSTEM FOR CONTROLLING MASKS ACCORDING TO THE CONTEXT
JP2004163472A (ja) * 2002-11-08 2004-06-10 Sony Corp フォトマスクの設計方法、フォトマスク、及び半導体装置
US20040225488A1 (en) 2003-05-05 2004-11-11 Wen-Chuan Wang System and method for examining mask pattern fidelity
US6934930B2 (en) 2003-07-08 2005-08-23 Texas Instruments Incorporated Generating an optical model for lens aberrations
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP3959383B2 (ja) * 2003-10-17 2007-08-15 株式会社東芝 露光装置補正システム、露光装置補正方法及び半導体装置製造方法
KR101056142B1 (ko) * 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
US7914949B2 (en) * 2005-02-24 2011-03-29 International Business Machines Corporation Method for testing a photomask
JP2006235327A (ja) * 2005-02-25 2006-09-07 Toshiba Corp マスクパターンデータ・マスク検査データ作成方法、及びフォトマスクの製造・検査方法
WO2007019269A2 (en) 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
JP2007233164A (ja) * 2006-03-02 2007-09-13 Toshiba Corp フォトマスクの作成方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101113325B1 (ko) * 2009-05-29 2012-03-14 주식회사 하이닉스반도체 광근접 보정 검증 방법
KR101322723B1 (ko) * 2011-01-28 2013-10-28 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 리소그래피 장치의 개선된 구성을 결정하기 위한 방법
KR20140051317A (ko) * 2011-07-20 2014-04-30 칼 짜이스 에스엠에스 엘티디 포토리소그래픽 마스크의 임계 치수 변동을 결정하기 위한 방법 및 장치
KR101394585B1 (ko) * 2011-08-09 2014-05-12 에이에스엠엘 네델란즈 비.브이. 3d 토포그래픽 웨이퍼들을 위한 리소그래피 모델
KR20200015708A (ko) * 2017-06-06 2020-02-12 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 장치
KR20200126921A (ko) * 2019-04-29 2020-11-09 엠아이 이큅먼트 (엠) 에스디엔. 비에이치디. 스켈러톤 웨이퍼 검사 방법

Also Published As

Publication number Publication date
EP1941321A2 (en) 2008-07-09
CN101305320A (zh) 2008-11-12
CN102662309A (zh) 2012-09-12
US20070061772A1 (en) 2007-03-15
JP4954211B2 (ja) 2012-06-13
JP2011100149A (ja) 2011-05-19
WO2007030704A3 (en) 2007-05-10
KR100982135B1 (ko) 2010-09-14
JP2009508167A (ja) 2009-02-26
US7617477B2 (en) 2009-11-10
CN101305320B (zh) 2012-07-04
CN102662309B (zh) 2014-10-01
US7587704B2 (en) 2009-09-08
JP5334956B2 (ja) 2013-11-06
US20070061773A1 (en) 2007-03-15
WO2007030704A2 (en) 2007-03-15

Similar Documents

Publication Publication Date Title
KR100982135B1 (ko) 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
JP7262423B2 (ja) ウエハレベル欠陥の転写性を予測する装置および方法
US5965306A (en) Method of determining the printability of photomask defects
US7853920B2 (en) Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
KR100596760B1 (ko) 시각 검사 및 검증 시스템
JP6594876B2 (ja) フォトリソグラフィレチクル認定方法及びシステム
US10663870B2 (en) Gauge pattern selection
JP5436487B2 (ja) フォトリソグラフィマスクの検出方法
US20070035712A1 (en) System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
JP7440580B2 (ja) レチクルを検査する装置および方法
JP2006085175A (ja) レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
KR100725170B1 (ko) 포토마스크의 제작을 위한 시스템 및 방법
JP2008262148A (ja) リソグラフィマスク用の検査方法及び装置
Pandey et al. Aerial image metrology (AIMS) based mask-model accuracy improvement for computational lithography
Wu et al. Lithography process calibration with applications in defect printability analysis
Sundermann et al. Model-based mask verification on critical 45nm logic masks
Karklin Lithographic analysis of distributed photomask defects: II. Random mask CD errors

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140901

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150828

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160829

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170901

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180831

Year of fee payment: 9