JP2011100149A - 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法 - Google Patents

個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法 Download PDF

Info

Publication number
JP2011100149A
JP2011100149A JP2010290500A JP2010290500A JP2011100149A JP 2011100149 A JP2011100149 A JP 2011100149A JP 2010290500 A JP2010290500 A JP 2010290500A JP 2010290500 A JP2010290500 A JP 2010290500A JP 2011100149 A JP2011100149 A JP 2011100149A
Authority
JP
Japan
Prior art keywords
mask
data
model
error
systematic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010290500A
Other languages
English (en)
Other versions
JP5334956B2 (ja
Inventor
Jun Ye
イェ,ジュン
Stefan Hunsche
ハンシェ,ステファン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2011100149A publication Critical patent/JP2011100149A/ja
Application granted granted Critical
Publication of JP5334956B2 publication Critical patent/JP5334956B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K5/00Irradiation devices

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】マスクエラーモデルと組み合わせ、デバイス設計の共通プロセス窓や露光ツールを最適化する方法、及びシステムを提供する。
【解決手段】ポストOPCマスクレイアウトに従ってマスクが製造される(工程210)。マスクを検査しマスク検査データを生成、分析し、系統的マスクエラーパラメータを決定する(工程212)。検査されたマスクについて個別マスクエラーモデルが作成される(工程214)。個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートし、シミュレートパターンを生成する(工程216)。シミュレートパターンを工程218で得られたプレOPC設計レイアウトと比較し(工程220)、所望のパターニング性能を発揮するかどうかを判定する(工程222)。発揮できると判定された場合、工程228に進む。そうでない場合は工程224に進み、修復可能または作り直し可能かどうかを判定する。
【選択図】図2A

Description

(関連出願への相互参照)
[0001] 本出願は、”System and Methods for Model-Based Mask Verification”という表題の米国仮特許出願第50/719,837号の利益を主張するものである。この関連出願の主題は、参照によりその全体が本明細書に組み込まれる。
[0002] 本発明は、一般に、光リソグラフィに関するものであり、より具体的には個別のマスクエラーモデルを使用するマスク検証に関するものである。
[0003] 集積回路業界は、始まって以来、コストを抑えつつデバイスの高機能化を推進することにより驚くべき成長率を維持してきた。最先端のデバイスは、以前には部屋全体を占有していたコンピュータの計算能力を、今日ではそのときのコストの数分の1のコストで備える。今日の低価格の消費者デバイスの多くは、テレビ携帯電話、超軽量メディアプレーヤー、および無線または超広帯域インターネットデバイスなどの、ほんの数年前までどんなにコストをかけても利用できなかった機能を備えている。この成長の主要な実現因子の1つは、光リソグラフィプロセスが、集積回路パターンの一部としてパターン形成できる最小特徴サイズを着実に下げてゆくことができるという点であった。このように特徴サイズおよびコストが着実に減少し、それと同時に回路毎に印刷する特徴が増えることは、一般に「ムーアの法則」(“Moore’s Law”)またはリソグラフィ「ロードマップ」(“roadmap”)と呼ばれる。
[0004] リソグラフィプロセスは、マスタイメージをマスク上に形成し、次いでそのパターンを忠実にデバイスウェハ上に複製することを伴う。設計仕様の範囲内でマスタパターンの複製成功の回数が多いほど、完成デバイスまたは「チップ」(“chip”)毎のコストが低くなる。最近になるまで、マスクパターンは、マスクレベルのパターンがウェハレベルのパターンに比べて数倍大きくなりうることを除き、ウェハレベルの所望のパターンの正確な複製であった。次いで、この倍率は、ウェハ露光時に露光ツールの縮小率により補正される。マスクパターンは、典型的には、石英または他の透過的基板上に光吸収物質を蒸着し、パターン形成することにより形成される。次いで、マスクは、特定の露光波長の光がマスクを通してデバイスウェハに当てられる「ステッパ」(“stepper”)または「スキャナ」(“scanner”)として知られている露光ツール内に配置される。光は、マスクの透明領域を透過し、吸収層で覆われた領域内で典型的には90%から100%までの範囲の所望の量だけ減少する。マスクのいくつかの領域を通過する光は、さらに、所望の位相角、典型的には180度の整数倍の位相角だけ位相シフトされうる。露光ツールにより集光された後、集束された結果として空間イメージパターンがデバイスウェハ上に形成される。ウェハ表面に蒸着された感光物質は、光と相互作用し、ウェハ上に所望のパターン形成し、次いで、このパターンは、よく知られているプロセスによりウェハ上の下位層内に転写され、機能する電気回路を形成する。
[0005] 近年、パターン形成されるフィーチャサイズは、パターンを転写するために使用される光の波長に比べて著しく小さくなってきている。このように「サブ波長リソグラフィ」(“sub-wavelength lithography”)に向かう結果として、リソグラフィプロセスにおいて適切なプロセスマージンを維持することがますます困難になってきた。マスクおよび露光ツールにより形成される空間イメージは、フィーチャサイズと波長との比が減少するとコントラストおよび鮮明さを失う。この比は、露光ツールの開口数に波長で割った最小フィーチャサイズを掛けた値として定義される、k1因子で定量化される。現在、露光波長を選択する実用上の自由は、制限されており、露光ツールの開口数は、物理的限界に近づきつつある。その結果、デバイスフィーチャサイズの縮小を続けるには、リソグラフィプロセスにおけるk1因子をますます積極的に低減する必要がある、つまり、光学イメージングシステムの古典的解像度限界、またはそれ以下のイメージングを必要とする。
[0006] ローk1リソグラフィを使用可能にする新しい方法を用いることで、最終ウェハレベルパターンの正確なコピーでないマスク上にマスタパターンが形成された。マスクパターンは、多くの場合、パターン密度またはピッチに応じてパターンのサイズおよび配置に関して調節される。他の技術は、マスクパターン(「serifs」、「hammerheads」、および他のパターン)上に付加的なコーナーを加えたり、差し引いたりすることを伴い、さらには、ウェハ上に複製されないジオメトリを加えることさえある。これらの非印刷「アシストフィーチャ」(“assist features”)は、背景光密度(「グレースケーリング」(“gray scaling”))、および他の構造を変化させうる、スキャタリングバー、ポール、リング、チェッカーボード、または「シマウマ様模様」(“zebra stripes”)を含むが、これについては文献で詳しく説明されている。これらの方法はすべて、「光近接効果補正」(“Optical Proximity Correction”)または「OPC」と総称されることが多い。k1が減少すると、近接効果の大きさは劇的に増大する。現在のハイエンド設計では、デバイス層が多ければ多いほど、OPCが必要であり、ほとんどすべてのフィーチャエッジは、印刷パターンが設計意図に十分近いものにするために、ある程度の調節を必要とする。このような広範なOPCアプリケーションの実装および検証は、詳細なフルチップ計算リソグラフィプロセスモデリングでしか可能でなく、このプロセスは、一般に、モデルベースOPCと呼ばれる。(”Full-Chip Lithography Simulation and Design Analysis-How OPC Is Changing IC Design” C.Spence, PROC.SPIE, Vol.5751, pp.1-14(2005)および”Exploring New High Speed, Mask Aware RET Verification Flows”, P. Martin et al., PROC.SPIE 5853, pp.114-123, (2005)
[0007] マスクは、ウェハ上に複製できる、または複製できない位相シフト領域の追加により変更することもできる。オルタネーティングアパーチャシフタ(alternating aperture shifter)、二重露光マスキングプロセス(double expose masking process)、多重相転移(multiple phase transition)、および減衰位相シフトマスク(attenuating phase-shifting mask)を含む、多数の位相シフト技術が、文献において詳しく説明されている。これらの方法により形成されるマスクは、「位相シフトマスク」(Phase-Shifting Masks”)または「PSM」として知られている。OPC、PSMなどを含む、ローk1でイメージ勾配およびコントラストを強めるこれらの技術はすべて、「解像度向上技術」(“Resolution Enhancement Technologies”)または「RET」と総称される。さまざまな組み合わせでマスクに適用されることが多い、これらすべてのRETの結果として、ウェハレベルで形成される最終パターンは、もはやマスクレベルパターンの単純な複製でなくなっている。実際、マスクパターンを見て、最終ウェハパターンがどのように形になるかを単純に判断することが不可能になってきている。このため、マスクが作られ、ウェハが露光される前に設計データの正しさを検証することが非常に困難であるだけでなく、RETが正しく適用されたこと、またマスクがターゲット仕様条件を満たすことを検証することも困難になっている。
[0008] OPCおよび他のRETが普及した結果、かなり多くの難題が持ち上がっている。特に、ポストOPCマスク設計とプレOPC設計意図、つまり、製造されることが意図されている半導体構造との類似性は非常に限られている。プロセス窓の縮小、つまり、露光ドーズおよびk1因子の低減を伴う焦点などのいくつかのパラメータの意図しないバラツキに対するリソグラフィプロセスの許容差の低減がなおいっそう難しくなっている。さらに、近接効果が顕著になるにつれ、プロセスパラメータの小さな変化に曝されるフィーチャの正確な挙動は、極端に非線形であり、多くの場合、非直観的である。検出されない小さなプロセス変動であっても、デバイスの歩留まりに予測されない著しい影響を及ぼす可能性がある。このような歩留まり損失は、たぶん、設計の「弱点」(“weak points”)または「ホットスポット」(“hot spots”)で生じ、したがって、例えば、マスク汚染により引き起こされるランダムな「点欠陥」(“point defects”)の結果として生じる歩留まり損失と定性的に異なる。現行の半導体製造技術では、デバイスの歩留まりは、ランダムな欠陥ではなく、設計の弱点によりますます制限されるように見える。
[0009] 組織的なプロセス変動に寄与し、弱い設計フィーチャを失敗に追いやる可能性のある因子は、マスクそれ自体の正確な物理特性である。関連する物理的マスクパラメータとしては、線幅バイアス、コーナー丸め、マスク書き込みまたはマスクエッチ近接効果によるピッチ依存性、異方性、位相エラー、偏光、複屈折性、または一般に、「3−Dマスク効果」(“3-D mask effects”)が挙げられる。これらのパラメータは、単一マスクの領域内、異なる時刻に製造されたマスク、異なるツール上で製造されたマスク、または異なるマスクショップからのマスクの間で異なる可能性がある。
[0010] OPCおよびマスク設計は、詳細モデルに基づいているが、マスクの実際の物理的特性は、モデルの仮定と異なることがあり、このため、プロセス窓および最適なプロセス条件が変移したり、予測されない歩留まり損失を引き起こす可能性がある。このような歩留まり損失は、すでに識別されているホットスポットで発生しうる。しかし、物理的マスクパラメータの予想されず、検出されない変動も、ホットスポットの重大性を大きく変えるか、さらには公称条件の下で「限界の」(“marginal”)(または「弱い」(“weak”))と識別されないパターンの失敗につながることすらあり得る。(「限界」(“marginal”)または「弱い」(“weak”)パターンは、例えば、製造不確定要因またはプロセス変動により容易に失敗する、あるいは歩留まり損失を生じる可能性のあるパターンである。)
[0011] 従来のマスク検査では、塵埃粒子またはピンホールなどの孤立点欠陥の検出を重視しており、そのため、マスクの系統エラー、およびプロセス窓に関係する「設計欠陥」(“design defects”)または「ホットスポット」(“hot spots”)への影響を検出することができない。図1は、このような従来のマスク検査が工程120で実行される、従来技術の製造プロセスに対する方法工程のフローチャートである。工程110で、チップに対する設計意図を示すプレOPC設計レイアウトが作成される。次いで、工程112で、プレOPC設計レイアウトは、OPCおよび他のRETを使用して処理され、これによりポストOPCマスクレイアウトが形成される。工程114で、リソグラフィプロセスのモデルおよびポストOPCマスクに適用される公称マスクエラーモデルを使用してフルチップがシミュレートされ、印刷パターンが予測される。このようなリソグラフィプロセスモデルおよびマスクモデルを使用してリソグラフィプロセスをシミュレートする一実施例は、参照によりその全体が本明細書に組み込まれている”System and Method for Lithography Simulation”という表題の米国特許第7,003,758号(’758特許)において開示されている。工程116で、プレOPC設計レイアウト、つまり、設計意図と、予測される印刷パターンとを比較し、ポストOPCマスクレイアウトが許容可能であるかどうかを判定する。許容可能であれば、この方法は工程118に進み、許容可能でなければ、この方法は工程112に戻り、そこで、工程116からの比較結果を使用して、ポストOPCマスクレイアウトをチューニングし、新しいポストOPCマスクレイアウトを形成し、次いで、工程114および116が繰り返される。予測された印刷パターンが許容可能であると判定された後、この方法は、工程118に進み、そこで、マスクが許容可能なポストOPCマスクレイアウトに従って製造される。次いで、工程120で、マスクを検査し、塵埃粒子またはピンホールなどの孤立点欠陥を識別する。工程122で、識別された点欠陥を評価し、製造されたマスクが許容可能かどうかを判定する。許容可能であれば、この方法は、工程128に進み、許容可能でなければ、この方法は、工程124に進み、そこで、マスクを評価して、修復可能かどうかを判定する。マスクが修復可能であれば、この方法は、工程126に進み、そこで、マスクを修復し、次いで、この方法は、工程120に戻り、マスクが修復可能でなければ、この方法は、工程118に戻り、そこで、新しいマスクが製造される。オプションの工程128で、工程114のシミュレーションから得られた情報を使用してリソグラフィプロセスをチューニングする。このような情報としては、対象となるウェハ検査または最適化されたプロセス条件に対するホットスポット報告がある。しかし、設計段階でのシミュレーションは、公称条件(特に、マスクエラーモデルパラメータの公称値)に基づいているため、このようなフィードフォワード情報の有効性は限られる。工程130で、製造された(場合によっては修復された)マスクを使用してウェハが印刷される。
[0012] 図1に示されているように、従来技術のデバイス製造プロセスは、デバイスの所望の機能を定義するプレOPC設計レイアウトからOPCまたは他のRETを適用することによるポストOPCマスクレイアウトに進む。プレOPC設計レイアウトをポストOPCマスクレイアウトに変換するこのプロセスは、現在、リソグラフィプロセスの数値シミュレーションに大きく依存し(例えば、モデルベースOPCおよびモデルベース設計検証)、また典型的には、設定が許容可能とみなされる前にいくつかの繰り返しを実行する必要がある。デバイスのすべての要素が、意図されているとおりウェハ上に必ず印刷されるようにするために、フルチップシミュレーションが必要である。OPC生成および設計検証のシミュレーションでは、例えば、’758特許で説明されているようにリソグラフィシミュレーションシステムを使用することができ、これは、投影プロセスの光学特性とともに生産版ウェハの上のレジスト層の特性を考慮して、マスクレイアウトから印刷レジストまたはフィーチャ輪郭を予測することができる。
[0013] マスクレイアウトが許容可能であると判定された後、マスクショップにより物理的マスクが製造され、ファブに送られる。このマスクは、既存のマスク検査ツールを使用して検査され、例えば、マスク製造時に汚染が存在することによる点欠陥を検出し、場合によっては修復することができる。その後、マスクは、生産版ウェハを印刷する露光ツール内に装填される。特に、詳細シミュレーションモデルは、マスク設計プロセスの中心部分であるが、従来、マスクがいったん作られると、リソグラフィデバイス製造プロセス全体において使用されるモデルベース情報はない。実際、この状況では、多くの場合、マスク製造プロセスは、かなりの不確実性(例えば、OPCが、実際のマスク上に適切に実装されたかどうか)の影響を受ける。新しいマスクでは、例えば設計ターゲットに十分近い印刷線幅を形成するために、経験的に−本質的には試行錯誤で−プロセスパラメータを調節する必要もありうる。その結果、系統的マスクエラーがマスク製造プロセスに入り込んでいる場合、そのようなエラーが明確に検出され是正されるまでに長い時間がかかり、また多くの印刷ウェハを必要とすることがある。
[0014] その結果、設計意図を考慮し、実線のリソグラフィマスクの物理特性、およびパターン印刷プロセスに対する影響を検証するシステムおよび方法が大いに必要である。このような方法があれば、ウェハを露光する前にマスクの予測および予防的適格性判定を行うことができ、また、与えられた物理的マスクに対する印刷デバイス歩留まりを最適化するように調節またはプロセス補正を実行することもできる。このようなプロセス補正は、正確なモデリングにより識別することができ、例えば、露光ドーズの調節、焦点オフセット、NAシグマ設定、異なる露光ツールの選択、および系統的マスクエラー修復技術が利用可能である場合には、系統的マスクエラーを補正するためのマスク製造プロセスへのフィードバックを伴うことがある。
[0015] 製造されたリソグラフィマスクを検査し、マスク検査データから物理的マスクデータを抽出し、物理的マスクデータを使用して系統的マスクエラーデータを生成し、系統的マスクエラーデータに基づく系統的マスクエラーパラメータを持つ個別マスクエラーモデルを作成し、マスクおよびOPC品質を検証し、特定のマスクおよび/または特定の投影システムを使用してリソグラフィプロセスのパターニング性能を予測し、パターニング性能を最適化するプロセス補正、したがって最終デバイス歩留まりを予測する方法およびシステムが開示される。
[0016] 一実施形態では、個別マスクエラーモデルを作成する方法は、マスクレイアウトデータを使用して製造されたマスクからマスク検査データを取得することと、マスク検査データとマスクレイアウトデータとの差を判定することと、マスク検査データとマスクレイアウトデータとの差に基づいて系統的マスクエラーデータを生成することと、系統的マスクエラーデータに基づいて個別マスクエラーモデルに対する系統的マスクエラーパラメータを生成することとを含む。
[0017] 一実施形態では、個別マスクエラーモデルを作成するシステムは、マスク検査データを生成するマスク検査ツールと、マスク検査データから物理的マスクデータを抽出し、抽出された物理的マスクデータとマスクレイアウトデータとの差に基づいて系統的マスクエラーデータを判定し、また、系統的マスクエラーデータを使用して個別マスクエラーモデルに対する系統的マスクエラーパラメータを生成するモデルベースマスクデータ分析システムとを含む。
[0018] 一実施形態では、方法は、露光ツールの光学モデルおよびレジストモデルを含むリソグラフィプロセスのモデルを選択することと、マスクレイアウトデータを使用して製造されたマスクを表す個別マスクエラーモデルを作成することと、リソグラフィプロセスのモデルおよび個別マスクエラーモデルを使用してシミュレートパターンを生成するリソグラフィプロセスをシミュレートすることと、シミュレートパターンと設計ターゲットとの差を決定することと、シミュレートパターンと設計ターゲットとの差に基づいて露光ツールの設定を最適化することとを含む。
[0019] 一実施形態では、方法は、それぞれの光学モデルが個別露光ツールを表す複数の露光ツールに対する複数の光学モデルを選択することと、複数の光学モデルのそれぞれについて、シミュレート結果を生成するためにマスクに対する光学モデルおよび個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートすることと、複数の光学モデルのそれぞれについてシミュレート結果を評価して、そのマスクに対し複数の露光ツールのうちのどれが最も高い性能を示すかを決定することと、ウェハ生産にベストマッチの露光ツールとマスクを選択することとを含む。
[0020]従来技術のリソグラフィ設計および製造プロセスのフローチャートである。 [0021]本発明の一実施形態による、個別マスクエラーモデルを使用するマスク検証の方法工程のフローチャートである。 [0022]本発明の他の実施形態による、個別マスクエラーモデルを使用するマスク検証の方法工程のフローチャートである。 [0023]本発明の他の実施形態による、個別マスクエラーモデルを使用するマスク検証の方法工程のフローチャートである。 [0024]本発明の一実施形態による、個別マスクエラーモデルを使用するリソグラフィプロセスをシミュレートする方法工程のフローチャートである。 [0025]本発明の一実施形態による、個別マスクエラーモデルを作成する方法工程のフローチャートである。 [0026]本発明の他の実施形態による、複数のサンプリング位置でマスク検査データを使用する個別マスクエラーモデルを作成する方法工程のフローチャートである。 [0027]本発明の一実施形態による、マスクショップで個別マスクエラーモデルを作成するモデルベースマスクデータ分析システムの図である。 [0028]本発明の一実施形態による、ファブで個別マスクエラーモデルを作成するモデルベースマスクデータ分析システムの図である。 [0029]本発明の一実施形態による、マスクを検査するサンプリング計画を生成する方法工程のフローチャートである。 [0030]本発明の一実施形態による、個別マスクエラーモデルを作成し、マスククリティカルディメンション(CD)を使用してマスクを検証する方法工程のフローチャートである。 [0031]本発明の一実施形態による、個別マスクエラーモデルを作成し、空間イメージ既定結果を使用してマスクを検証する方法工程のフローチャートである。 [0032]本発明の一実施形態による、個別マスクエラーモデルを作成し、光マスク検査ツールを使用してマスクを検証する方法工程のフローチャートである。 [0033]本発明の一実施形態による、マスクを検証する方法工程のフローチャートである。 [0034]本発明の一実施形態による、マスク製造プロセスの公称マスクエラーモデルを作成する方法工程のフローチャートである。 [0035]本発明の他の実施形態による、マスク製造プロセスの公称マスクエラーモデルを作成する方法工程のフローチャートである。 [0036]本発明の他の実施形態による、マスク製造プロセスの公称マスクエラーモデルを作成する方法工程のフローチャートである。
[0037] 実際、エラー(または欠陥、これ以降入れ換えて使用される)は、マスク製造ツールの欠陥およびマスク製造プロセスの変動により、ポストOPCマスクレイアウトからマスク上の実際のパターンまでのパターン転写時に最終製造マスクに常に入り込む。マスクエラーは、製造マスクパターンとマスク上に形成されることが意図されている理想的ポストOPCマスクレイアウトとの差である。マスクエラーは、一般に、ランダムマスクエラーと系統的マスクエラーという2つのカテゴリに分類される。ランダムマスクエラーは、モデルにより記述できないが、製造マスク内にランダムに、また統計的に出現するエラーであり、例えば、過剰な粒子およびピンホールである。統計的マスクエラーは、モデルにより記述できるエラーであり、モデルは、パターン環境(例えば、局所的パターン密度、パターンサイズ、パターン間隔、およびパターン配向)および/またはマスク上のパターン配置に依存する。パターン環境への依存は、例えば、マスクライター電子ビーム近接効果(mask writer e-beam proximity effects)、電子ビームかぶり効果(e-beam fogging effects)、エッチングローディング効果(etching loading effects)、および電子ビーム誘導基板加熱効果(e-beam induced substrate heating effects)により引き起こされる。パターン配置への依存は、例えば、マスク書き込みプロセスでのマスクライターの低速ドリフトおよび露光後ベーキング温度非一様性により引き起こされる。系統的マスクエラーを記述するモデルは、「マスクエラーモデル」(“mask error model”)またはときには単純に「マスクモデル」(“mask model”)と呼ばれる。マスクエラーモデルは、入力として、マスク上のパターン環境およびパターン配置を受け取り、マスクエラー値、例えば、CDエラー、線エッジ粗さ、配置エラー、エッチ深さエラー、および位相シフトマスクの側壁角度エラーなどを出力する。CDエラーは、製造マスクパターンと理想的ポストOPCマスクレイアウトとの線幅差である。配置エラーは、製造マスクパターンと理想的ポストOPCマスクレイアウトパターンとのパターン中心配置差である。エッチ深さエラー
は、製造位相シフトマスクパターンと光線がマスクを通過するときに必要な位相シフトを行うマスク基板の中への設計意図トレンチ深さとの間のトレンチ深さエラーである。ラインエッジ粗さは、滑らかな理想的形状からのラインエッジの偏差である。側壁角度エラーは、製造マスクのラインエッジプロファイルと理想的垂直ラインエッジプロファイルとの角度差である。マスク製造プロセスは、プロセス由来の平均マスクエラー、例えば、コーナー丸めおよびパターンバイアスを記述する公称マスクエラーモデルを有する。それぞれの個別物理的マスクの系統的エラーは、公称マスクエラーモデルからずれている。個別マスクの系統的エラーは、本明細書で開示されているように、個別マスクエラーモデルにより記述される。一実施形態では、個別マスクエラーモデルは、経験的に、例えば、パターン環境およびパターン配置と比較して実験により測定されたコーナー丸めおよびバイアスを当てはめることにより作成される。他の実施形態では、個別マスクエラーモデルは、マスク製造プロセスの第一原理物理的プロセスシミュレーションを使用して、例えば、マスク基板の内側の電子トレースをシミュレートし、レジスト電子間相互作用をシミュレートし、レジスト現像時にレジストの化学プロセスをシミュレートすることで作成される。
[0038] マスクエラーを決定し、個別マスクエラーモデルを作成するために、検査ツールまたはメトロロジツールにより、それぞれの製造されたマスクを測定し、マスク検査データを取得する。しかし、マスク検査データは、必ずしも、マスクエラーを決定するために必要な製造物理的マスクデータを完全に表すわけではない。ツールの測定結果転送機能が非理想的であるため、検査ツールまたはメトロロジツールにより、エラーおよび歪みがマスク検査データに入り込む。したがって、製造されたマスクに関する物理的マスクデータを正確に予測または測定するために、一実施形態では、検査またはメトロロジツールの較正済みモデル、例えば、光学検査ツールの光学モデルが、検査またはメトロロジツール出力から製造マスクの物理的マスクデータを抽出するために適用される。マスク検査データから抽出された物理的マスクデータとポストOPCマスクレイアウトデータとの差は、系統的マスクエラーデータとして指定される。一実施形態では、次いで、系統的マスクエラーデータをマスク上のパターン環境およびパターン配置などのマスクエラーモデルの入力変数に当てはめることにより系統的マスクエラーパラメータが生成される。系統的マスクエラーパラメータをマスクエラーモデルに適用することにより、最終的に個別マスクエラーモデルを作成する。
[0039] 図2Aは、本発明の一実施形態による、個別マスクエラーモデルを使用するマスク検証の方法工程のフローチャートである。工程210で、許容可能なポストOPCマスクレイアウトに従ってマスクが製造される。工程212で、以下でさらに詳しく説明されるように、多くの利用可能なメトロロジツールのどれかを使用してマスクを検査し、マスク検査データを生成する。マスク検査データを分析し、そのマスクに対する系統的マスクエラーデータを抽出し、次いで、系統的マスクエラーパラメータを系統的マスクエラーデータから決定する。工程214で、検査された特定のマスクについて個別マスクエラーモデルが作成される。個別マスクエラーモデルは、抽出された系統的マスクエラーデータに基づく系統的マスクエラーパラメータを含む。個別マスクエラーモデルを作成する方法の一実施形態は、図3Bとともに以下で説明される。工程216で、個別マスクエラーモデルおよびリソグラフィプロセスの事前に較正されたモデル(例えば、光学モデルおよびレジストモデルを含む)を使用して、完全なデバイス設計を得るためにリソグラフィプロセスをシミュレートし、シミュレートパターンを生成する。一実施形態では、リソグラフィプロセスのすでに較正されているモデルは、参照によりその全体が本明細書に組み込まれている”System and Method for Creating a Focus-Exposure Model of a Lithography Process”という表題の米国特許出願第11/461,994号で開示されている焦点露光モデルである。工程218では、プレOPC設計レイアウトが得られる。プレOPC設計レイアウトは、マスクを製造するために使用されたポストOPCレイアウトを形成するために使用された。工程220で、シミュレートパターンをプレOPC設計レイアウトと比較し、製造されたマスクが、ウェハの露光前に、つまり、露光およびウェハメトロロジツールに長い時間をかけずに、また製品ウェハが後から系統的マスクエラーのせいで破棄されうる結果にならず、所望のパターニング性能を発揮するかどうかを判定する。工程222で、製造されたマスクが、所望のパターニング性能を発揮できると判定された場合、この方法は工程228に進み、そうでなければ、この方法は、工程224に進み、そこで、マスクを評価して、修復可能または作り直し可能かどうかを判定する。マスクが、修復可能または作り直し可能である場合、この方法は、工程226に進み、そこで、マスクは、個別マスクエラーモデルを使用して生成されたシミュレートパターンに基づいて修復されるか、または作り直しされ、次いで、この方法は、工程212に戻り、修復された、または作り直しされたマスクが検査される。個別マスクエラーモデルを使用して生成されたシミュレートパターンは、マスク修復ツールに重要な情報を送る。例えば、インフィールドCD偏差は、個別マスクエラーモデルを使用して生成されたシミュレートパターンから導き出されるインフィールドCD一様性マップを使用する高速パルスレーザー技術を使用することにより補正することができる。(”CD Variations Correction by Local Transmission control of Photomasks Done with a Novel Laser Based Process”, E. Zait, et al., Metrology, Inspection, and Process Control for Microlithography XX, Chas N. Archie, Editor, Proc. SPIE, Vol.6152, (2006)を参照)。マスクが修復可能または作り直し可能でなければ、この方法は、工程210に戻り、そこで、新しいマスクが製造される。
[0040] シミュレートパターンからの特定のフィードフォワード情報をウェハ生産プロセスに送ることが可能である。図2Aでは、このフィードフォワードの可能性は、オプションの工程228として示されており、露光ツールのプロセス条件パラメータは、シミュレートパターンからの情報を使用してチューニングされる。工程230で、マスクを使用してウェハが印刷される。
[0041] 図2Aの一部(工程210〜226)は、マスクをファブに送る前にマスクショップで実行することができるが、図2Aの方法の他の部分(工程212〜222)は、入庫マスクを適格なものとするためにファブで実行することができる。個別マスクエラーモデルを使用して生成されたシミュレートパターンを使用してマスク検証を行うと、実際のウェハの露光、現像、およびメトロロジを必要としないため時間とコストの著しい節約となる。これは、マスクおよびOPCの品質管理の定量化可能な基礎となるだけでなく、使用される特定のマスクに対するデバイス設計の共通プロセス窓を最適化するプロセス補正を前向きに予測する能力も持つ。例えば、個別マスクエラーモデルを使用して生成されたシミュレートパターンは、どの露光ツールの光学モデルがマスクの個別マスクエラーモデルと組み合わせたときに最適なシミュレートパターンを作成するのかを調べることにより、この特定のマスクに関して、いくつかの利用可能な露光ツールのうちから最適な露光ツールを選択するために使用することができる。他の実施例では、露光ツールの設定は、マスクの個別マスクエラーモデルと組み合わせたときにどのような設定が最適なシミュレートパターンを生成するかを調べることにより最適化することができる。
[0042] 図2Bは、本発明の他の実施形態による、個別マスクエラーモデルを使用するマスク検証の方法工程のフローチャートである。工程240で、許容可能なポストOPCマスクレイアウトに従ってマスクが製造される。工程242で、以下でさらに詳しく説明されるように、多くの利用可能なメトロロジツールのどれかを使用してマスクを検査し、マスク検査データを生成する。マスク検査データを分析し、そのマスクに対する系統的マスクエラーデータを抽出し、次いで、系統的マスクエラーパラメータを系統的マスクエラーデータから決定する。工程244で、検査された特定のマスクについて個別マスクエラーモデルが作成される。個別マスクエラーモデルは、抽出された系統的マスクエラーデータに基づく系統的マスクエラーパラメータを含む。個別マスクエラーモデルを作成する方法の一実施形態は、図3Bとともに以下で説明される。工程246で、個別マスクエラーモデルおよびリソグラフィプロセスの事前に較正されたモデル(例えば、光学モデルおよびレジストモデルを含む)を使用して、完全なデバイス設計を得るためにリソグラフィプロセスをシミュレートし、シミュレートパターンを生成する。一実施形態では、リソグラフィプロセスのすでに較正されているモデルは、参照によりその全体が本明細書に組み込まれている”System and Method for Creating a Focus-Exposure Model of a Lithography Process”という表題の米国特許出願第11/461,994号で開示されている焦点露光モデルである。工程248で、公称マスクエラーモデル、および公称シミュレートパターンを生成するリソグラフィプロセスのモデルを使用して、リソグラフィプロセスがシミュレートされる。公称マスクエラーモデルは、プロセス由来の平均マスクエラーを表すモデルパラメータを含み、これはさらに図9〜10Bとともに以下で説明される。公称マスクエラーモデルは、この個別マスクのマスク検査データに基づいていないが、テストマスクを使用して別々に較正するか、または多くの事前に検査されているマスクの個別マスクエラーモデルを平均化することにより得ることができる。公称マスクエラーモデルは、図1に関して上で説明され、また米国特許出願第11/461,994号で説明されているように、この個別マスクに対するOPC補正で使用されるリソグラフィプロセスモデルの一部として含まれていた可能性がある。工程250で、シミュレートパターンを公称シミュレートパターンと比較し、製造されたマスクが、ウェハの露光前に、つまり、露光およびウェハメトロロジツールに長い時間をかけずに、また製品ウェハが後から系統的マスクエラーのせいで破棄されうる結果にならず、所望のパターニング性能を発揮するかどうかを判定する。工程252で、製造されたマスクが、所望のパターニング性能を発揮できると判定された場合、この方法は工程258に進み、そうでなければ、この方法は、工程254に進み、そこで、マスクを評価して、修復可能または作り直し可能かどうかを判定する。マスクが、修復可能または作り直し可能である場合、この方法は、工程256に進み、そこで、マスクは、個別マスクエラーモデルを使用して生成されたシミュレートパターンに基づいて修復されるか、または作り直しされ、次いで、この方法は、工程242に戻り、修復された、または作り直しされたマスクが検査される。マスクが修復可能または作り直し可能でなければ、この方法は、工程240に戻り、そこで、新しいマスクが製造される。
[0043] シミュレートパターンからの特定のフィードフォワード情報をウェハ生産プロセスに送ることが可能である。図2Bでは、このフィードフォワードの可能性は、オプションの工程258として示されており、露光ツールのプロセス条件パラメータは、シミュレートパターンからの情報を使用してチューニングされる。工程260で、マスクを使用してウェハが印刷される。
[0044] 図2Cは、本発明の他の実施形態による、個別マスクエラーモデルを使用するマスク検証の方法工程のフローチャートである。工程270で、許容可能なポストOPCマスクレイアウトに従ってマスクが製造される。工程272で、以下でさらに詳しく説明されるように、多くの利用可能なメトロロジツールのどれかを使用してマスクを検査し、マスク検査データを生成する。マスク検査データを分析し、そのマスクに対する系統的マスクエラーデータを抽出し、次いで、系統的マスクエラーパラメータを系統的マスクエラーデータから生成する。工程274で、検査された特定のマスクについて個別マスクエラーモデルが作成される。個別マスクエラーモデルは、抽出された系統的マスクエラーデータを使用して作成された系統的マスクエラーパラメータを含む。個別マスクエラーモデルを作成する方法の一実施形態は、図3Bとともに以下で説明される。工程276で、個別マスクエラーモデルおよびリソグラフィプロセスの事前に較正されたモデル(例えば、光学モデルおよびレジストモデルを含む)を使用して、完全なデバイス設計を得るためにリソグラフィプロセスをシミュレートし、シミュレートパターンを生成する。一実施形態では、リソグラフィプロセスのすでに較正されているモデルは、参照によりその全体が本明細書に組み込まれている”System and Method for Creating a Focus-Exposure Model of a Lithography Process”という表題の米国特許出願第11/461,994号で開示されている焦点露光モデルである。工程278で、ポストOPCマスクレイアウトおよび理想シミュレートパターンを生成するリソグラフィプロセスのモデルを使用して、リソグラフィプロセスが直接的にシミュレートされる。ポストOPCマスクレイアウトは、エラーのない「完全な」(“perfect”)マスクを表す。工程280で、シミュレートパターンを理想シミュレートパターンと比較し、製造されたマスクが、ウェハの露光前に、つまり、露光およびウェハメトロロジツールに長い時間をかけずに、また製品ウェハが後から系統的マスクエラーのせいで破棄されうる結果にならず、所望のパターニング性能を発揮するかどうかを判定する。工程282で、製造されたマスクが、所望のパターニング性能を発揮できると判定された場合、この方法は工程288に進み、そうでなければ、この方法は、工程284に進み、そこで、マスクを評価して、修復可能または作り直し可能かどうかを判定する。マスクが、修復可能または作り直し可能である場合、この方法は、工程286に進み、そこで、マスクは、個別マスクエラーモデルを使用して生成されたシミュレートパターンに基づいて修復されるか、または作り直しされ、次いで、この方法は、工程272に戻り、修復された、または作り直しされたマスクが検査される。マスクが修復可能または作り直し可能でなければ、この方法は、工程270に戻り、そこで、新しいマスクが製造される。
[0045] シミュレートパターンからの特定のフィードフォワード情報をウェハ生産プロセスに送ることが可能である。図2Cでは、このフィードフォワードの可能性は、オプションの工程288として示されており、露光ツールのプロセス条件パラメータは、シミュレートパターンからの情報を使用してチューニングされる。工程290で、マスクを使用してウェハが印刷される。
[0046] マスクを検査するためにさまざまな異なる形にするツールを使用することができ、これにより、マスク検査データを生成し、このデータを分析して、個別マスクエラーモデルに対する系統的マスクエラーパラメータを生成するために使用される系統的マスクエラーデータを抽出する。これらのメトロロジツールは、限定はしないが、従来の光マスク検査ツール、クリティカルディメンション走査型電子顕微鏡(CD−SEM)または撮像SEM、原子間力顕微鏡(AFM)または散乱メトロロジシステム、または空間イメージ測定システム(AIMS)ツールを含む。一実施形態では、イメージセンサアレイを含むセンサウェハは、マスクから投影された空間イメージを、現位置で、つまり、正しい露光波長の実際の照射および投影条件の下で露光ツールのウェハ平面において測定し、マスクの性能だけでなく、ウェハ生産で使用されるマスク露光ツールの組み合わせの性能を検証し、場合によっては最適化する。露光ツールにより生成される空間イメージを測定するイメージセンサアレイの一実施形態は、参照によりその全体が本明細書に組み込まれている”System and Method for Lithography Process Monitoring and Control”という表題の米国特許第6,803,554号で開示されている。
[0047] 系統的マスクエラーパラメータを生成するためマスクの系統的マスクエラーデータを抽出するマスクメトロロジ技術は、一般的には、マスクの完全な照射野検査を必要としないが、限られた数のサンプルに基づくことができる。完全な照射野検査で点欠陥を識別する必要がある、従来のマスク検査からのこのような定性的な差があることが、系統的マスクエラーデータを抽出し、系統的マスクエラーデータから系統的マスクエラーパラメータを生成するためにさまざまな検査ツールをかなり自由自在に使用できることの理由となっている。本発明の一実施形態では、照射野内の好適なサンプリング位置は、検査されるマスクのポストOPCレイアウト情報に基づくリソグラフィシミュレーションシステムと統合できるソフトウェアツールにより自動的に識別される。
[0048] また、好ましくは、系統的マスクエラーパラメータは、リソグラフィプロセスシミュレーションで使用される個別マスクエラーモデルに対し明示的に生成されるが、マスク品質も、リソグラフィシミュレーションシステムを使用してそれぞれのメトロロジツール上での予想マスク測定結果を「順方向に」(forward”)計算し(例えば、スキャナ内のイメージセンサアレイにより測定されるイメージ)、シミュレーションと実際の測定結果との一致のメトリクスを定量化し、および/またはこのメトリクスに関する好適な境界を合否基準として指定することによりテストし、検証することができる。
[0049] それに加えて、個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートすることは、個別の製造されたマスクを特徴付けるのに適しているが、公称マスクプロセスモデルを使用してリソグラフィプロセスをシミュレートすることは、個別のマスクではなく特定のマスク製造プロセスまたは特定のマスク製造ツールを特徴付けるためにも使用できる。好ましい一実施形態では、系統的マスクエラーパラメータの関連パラメータ空間を完全にカバーする明確に定められたパターン変動を有する特定のテストマスクを検査し、生産マスクの代わりに、マスク製造プロセス用の公称マスクプロセスモデルを作成する。マスク製造プロセスに対する公称マスクプロセスモデルについては、さらに、図9〜10Bに関して以下で説明する。
[0050] マスク製造プロセスに対する公称マスクプロセスモデルの系統的マスクエラーパラメータは、マスク製造プロセスの経験的または第一原理モデルから決定される。マスク製造プロセスでは、一般に、類似の技術をウェハ印刷プロセス、つまり、マスク基板の書き込みツール、レジスト現像、およびその後エッチングによるレジストの露光として使用する。リソグラフィプロセスシミュレーションに使用されるものに類似するシミュレーションシステムを使用して、系統的マスクエラーデータを抽出し、マスク製造プロセスパラメータの適当な較正の後に、系統的マスクエラーパラメータを生成することができる。
[0051] 以下では、本発明のいくつかの態様及び特定の実施形態に関する詳細を述べる。これらの特定の実施形態の説明は、本発明をそれらの特定の実施形態に制限することなく一般原理を説明するいくつかの実施例であることを意図している。
[0052] リソグラフィプロセスのモデルは、マスク特性(個別マスクエラーモデルなどのマスクモデル)、開口数、部分干渉性、照射プロファイル、および収差(光学モデル)などの照射および投影システムの光学特性、およびレジスト特性(レジストモデル)を表す分離モジュールを備えることに留意することが重要である。モデル分離性(“model separability”)の重要性については、他のところで詳しく説明されているが、簡単に言うと、簡素化された「集中型モデル」(“lumped models”)が存在し、リソグラフィプロセスの性能をある程度予測できるということである。しかし、リソグラフィプロセスの分離可能モデルは、物理的実在性をより詳しく反映し、その結果、特にプロセスパラメータの変動の影響の下で、例えば、プロセス窓上で、正確で、確実な予測が行われる。このような正確な予測を行うシステムおよび方法は、”System and Method for Creating a Focus-Exposure Model of a Lithography Process”という表題の米国特許出願第11/461,994号で開示されている。特に、米国特許出願第11/461,994号では、リソグラフィプロセス用に焦点露光モデルを作成するシステムおよび方法が開示されている。このシステムおよび方法では、特に露光焦点ぼかしプロセス窓空間内でパラメータ変動の複数の次元にそって較正データを利用する。このシステムおよび方法は、結果として公称プロセス条件でシミュレーションの正確さとロバスト性を高めるとともに、異なる設定で再較正を行わなくても完全なプロセス窓領域全体において連続的に任意の点でリソグラフィ性能を予測できるようにするモデルパラメータ値の統一セットを与える。焦点露光モデルとともに個別マスクエラーモデルを使用するシミュレーションは、ウェハ印刷プロセスの性能を正確に予測するために使用できる現実性の高いシミュレートパターンを形成する。
[0053] 図3Aは、本発明の一実施形態による、個別マスクエラーモデルを使用するリソグラフィプロセスをシミュレートする方法工程のフローチャートである。工程310で、デバイスに対する設計意図を示すプレOPC設計レイアウトが得られる。次いで、工程312では、ポストOPCマスクレイアウトが得られる。ポストOPCマスクレイアウトは、OPCおよび他のRETをプレOPC設計レイアウトに適用することにより作成される。工程314で、ポストOPCマスクレイアウトを使用して製造されたマスクの個別マスクエラーモデルが作成される。個別マスクエラーモデルを作成する方法の一実施形態は、図3Bとともに以下で説明される。次いで、工程316で、露光プロセスは、個別マスクエラーモデルおよび露光ツールの光学モデル(米国特許第11/461,994号で開示されている焦点露光モデルの光学モデルなど)を使用してシミュレートされ、シミュレートされた空間イメージ、つまり、レジストコーティングされたウェハ上に投影されるシミュレートパターンを生成する。工程318で、シミュレートされた空間イメージおよびレジストモデルを使用してレジスト層内のパターンの形成がシミュレートされ、シミュレートパターンを生成する。次いで、工程320で、シミュレートパターンが分析され、レジスト内の印刷されたパターンの予測クリティカルディメンションおよび輪郭を決定する。
[0054] モデル分離性は、さらに、モデルコンポーネントにより記述された物理的実体の特性または変化を反映するように、リソグラフィプロセスモデルの分離モジュールを独立して較正、チューニング、または調節することができることを暗示している。例えば、知られているマスクが、異なる露光ツール上で、またはNAもしくはシグマなどの異なる光学設定で使用される場合、同じ個別マスクエラーモデルとともに異なる光学モデルを使用することができる。同じ個別マスクエラーモデルを異なる露光ツールの異なる光学モデルとともに使用するリソグラフィシミュレーションは、個別マスクエラーモデルにより表されるマスクを使用して最高の性能を発揮する露光ツールを識別するために使用することができる。明らかに、個別マスクエラーモデルは、マスクの物理的タイプ、つまり、減衰および位相シフトレベルを正しく記述するために必要である。個別マスクエラーモデルは、さらに、マスク製造プロセスに関係する系統的変動または欠陥を明示的に考慮する。これらの変動または不完全さは、実際のマスク線幅と設計線幅との全体的バイアス、マスク領域上のそのようなバイアスの空間的変動、ピッチ、パターン密度、または配向を伴うそのようなバイアスの変動を含みうる。バイアスに加えて、個別マスクエラーモデルにより記述される系統的マスクエラーは、さらに、コーナー丸め半径またはラインエッジ粗さも含みうる。個別マスクエラーモデルは、さらに、光とマスク構造との間の相互作用の詳細な三次元モデリングを使用することができる。
[0055] 図3Bは、本発明の一実施形態による、個別マスクエラーモデルを作成する方法工程のフローチャートである。工程330で、ポストOPCマスクレイアウトは、典型的には、GDSII形式のCADファイルに収められ、ポストOPCマスクレイアウトに対する公称マスクエラーモデルが作成される。工程332で、ポストOPCマスクレイアウトを使用して製造されたマスクが得られる。工程334で、好適な検査ツールを使用しマスクが検査され、マスク検査データが出力される。工程336で、マスク検査データが分析されて、グローバル線幅バイアスが識別され、グローバル線幅バイアスが、公称マスクエラーモデルに適用され、更新されたマスクエラーモデルが生成される。グローバル線幅バイアスは、マスク上に形成された線の幅がすべての線幅に対する設計値と系統的に異なる場合に発生する。オプションの工程338で、マスク検査データが分析されて、グローバル位相バイアスが識別され、グローバル位相バイアスが、更新されたマスクエラーモデルに適用される。位相シフトマスクでは、設計位相ステップと製造位相ステップとの間にグローバルオフセットが生じうる。オプションの工程338は、製造されたマスクが、位相シフトマスクである場合のみ適用される。工程340で、マスク検査データが分析されて、パターン依存線幅またはバイアス変動が識別され、これらの変動は、更新されたマスクエラーモデルに適用される。これらの変動は、パターン配向依存変動、パターン密度依存変動(密集した線パターンに対するピッチ依存関係など)、またはマスクの領域上のクリティカルディメンションの系統的変動を含みうる。工程342で、マスク検査データが分析されて、コーナー丸め効果が識別され、コーナー丸め効果は、更新されたマスクエラーモデルに適用される。コーナー丸め効果は、画像処理の分野でよく知られているモルフォロジー演算により、または例えば、二次元ガウス関数によるローパスフィルタ処理または畳み込みによりモデル化することができる。工程344で、マスク検査データが分析されて、他の系統的マスクエラーパラメータが識別され、これによりマスクの特性が正確に記述され、これらの系統的マスクエラーパラメータは、更新されたマスクエラーモデルに適用される。工程346で、系統的マスクエラーパラメータすべてを使用して更新されたマスクエラーモデルは、個別マスクエラーモデルとして指定される。個別マスクエラーモデルは、元のポストOPC設計レイアウトを修正する演算およびこれらの演算の特定のパラメータの集合を反映する。
[0056] 個別マスクエラーモデルを作成するため系統的マスクエラーパラメータを抽出する場合、一般的に、フィールド全体にわたってマスク検査する必要はない。このような抽出は、マスク内の代表的な位置の適当に選択されたサンプリングから得られるマスク検査データに基づくことができる。図4は、本発明の他の実施形態による、複数のサンプリング位置からのマスク検査データを使用する個別マスクエラーモデルを作成する方法工程のフローチャートである。工程410で、デバイスに対する設計意図を示すプレOPC設計レイアウトが作成される。工程412で、プレOPC設計レイアウトは、OPCおよび他のRETを使用して処理され、これによりポストOPCマスクレイアウトが生成され、そのポストOPCマスクレイアウトに対する公称マスクエラーモデルが作成される。工程414で、リソグラフィプロセスのモデル(例えば、光学モデルおよびレジストモデルを含む)と公称マスクエラーモデルを使用して、リソグラフィプロセスがシミュレートされ、これにより、初期シミュレートパターンが生成される。工程416で、ポストOPCマスクレイアウトを使用して製造されたマスクを検査するためのサンプリング計画が生成される。サンプリング計画は、マスクがメトロロジツールおよび検査レシピにより検査されるサンプリング位置を記述する。一実施形態では、サンプリング位置は、リソグラフィシミュレーションシステムと統合されているソフトウェアにより初期シミュレートパターンから識別される。ポストOPCマスクレイアウトがリソグラフィシミュレーションシステムから利用できるようになり、したがって、特定のマスクに対しサンプリング位置および測定オプションを識別することができる。サンプリング位置は、系統的マスクエラーに影響を及ぼすパラメータ空間の可能な限り広い範囲、例えば、パターン密度、パターン配向、パターンピッチ、パターンサイズ、パターン極性、異なる配向および極性のコーナー、間隔が変動する線端、およびマスク領域上のさまざまな位置(例えば、3×3位置マトリックス)などをカバーするように選択されなければならない。サンプリング計画を作成することについては、図6に関して以下で説明される。
[0057] 工程418で、マスクは、ポストOPCマスクレイアウトに従って製造される。工程420で、サンプリング計画に従って検査ツールで製造されたマスクが検査され、マスク検査データが出力される。工程422で、データ当てはめルーチンは、系統的マスクエラーデータをマスク上のパターン環境およびパターン位置などのマスクエラーモデルの入力変数に当てはめることにより個別マスクエラーモデルに対する系統的マスクエラーパラメータの最適な値を決定するが、ただし、系統的マスクエラーデータは、マスク検査データから抽出された物理的マスクデータとポストOPCマスクレイアウトデータとの差に基づく。データ当てはめルーチンでは、系統的マスクエラーパラメータを最適化される当てはめパラメータとして使用し、マスク検査データとポストOPCマスクレイアウトとの間の最良一致を得る。データ当てはめルーチンは、設計検証に使用されるのと同じリソグラフィシミュレーションシステムの統合された一部であるか、またはサンプリング情報および系統的マスクエラーパラメータを伝達するため上述のリソグラフィシミュレーションシステムとのデータインターフェイスを備えることができるスタンドアロンのリソグラフィシミュレーションおよびデータ分析システムの一部とすることができる。最後に、工程424で、系統的マスクエラーパラメータの最適な値を使用して、個別マスクエラーモデルが作成される。
[0058] 一実施形態では、個別マスクエラーモデルを作成するスタンドアロンのリソグラフィシミュレーションおよびデータ分析システムは、マスク検査データから系統的マスクエラーデータを抽出し、抽出された系統的マスクエラーデータから系統的マスクエラーパラメータを生成するためのコンピューティングプラットフォームおよびソフトウェアプログラムを備える。このシステムは、さらに、サンプリング情報または設計情報を下流に送り、更新された系統的マスクエラーパラメータを上流の設定シミュレーションシステムに戻すことができるように、例えば、ローカルエリアネットワークまたはワイドエリアネットワークを介した設計シミュレーションシステムとのデータインターフェイスを備える。他の実施形態では、リソグラフィシミュレーションおよびデータ分析システムは、独立したコンピューティングプラットフォーム上に実装されるのではなく、マスクメトロロジツール内に組み込まれる。
[0059] 図5Aは、本発明の一実施形態による、マスクショップで個別マスクエラーモデルを作成するモデルベースマスクデータ分析システムの図である。モデルベースマスクデータ分析システム522は、マスクの系統的マスクエラーデータを識別するようにマスクショップ520に配置される。設計センタ510は、マスク検査ツール用のポストOPCマスクレイアウト512およびサンプリング計画514をモデルベースマスクデータ分析システム522に送る。モデルベースマスクデータ分析システム522は、サンプリング計画514に基づくメトロロジレシピ528をメトロロジツール530に送る。メトロロジツール530は、CD−SEMなど、マスクショップに典型的に見られるメトロロジツールのうちのどれか1つとすることができる。メトロロジツール530は、メトロロジレシピ528に従ってマスク(図に示されていない)を検査し、その結果得られたメトロロジデータ532(例えば、イメージおよびクリティカルディメンション)をモデルベースマスクデータ分析システム522に送る。モデルベースマスクデータ分析システム522は、メトロロジデータ532を分析して、系統的マスクエラーデータを抽出し、抽出された系統的マスクエラーデータから系統的マスクエラーパラメータ524を生成し、個別マスクエラーモデル526を作成する。モデルベースマスクデータ分析システム522は、さらに、既存の個別マスクエラーモデルを更新し、ポストOPC設計レイアウト検証データを決定することもてきる。モデルベースマスクデータ分析システム522は、個別マスクエラーモデル526を設計センタ510に送り、そこで、個別マスクエラーモデル526を使用して、OPCおよびRET設計検証、ならびにプロセス窓およびホットスポット分析用の設計シミュレーション516を生成する。モデルベースマスクデータ分析システム522は、さらに、系統的マスクエラーパラメータ524をファブ540内に配置されているファブプロセス制御542に送る。
[0060] ファブでは、メトロロジツール以外のツールをマスク検査に使用することができる。図5Bは、本発明の一実施形態による、ファブ550で個別マスクエラーモデルを作成するモデルベースマスクデータ分析システム560の図である。モデルベースマスクデータ分析システム560は、マスク検査データを分析して、系統的マスクエラーデータを抽出し、抽出された系統的マスクエラーデータから系統的マスクエラーパラメータ564を生成し、個別マスクエラーモデル562を作成する。設計センタ510は、ポストOPCマスクレイアウト512およびサンプリング計画514をモデルベースマスクデータ分析システム560に送る。モデルベースマスクデータ分析システム560は、サンプリング計画514に基づくメトロロジレシピ566を、CD−SEMなどのメトロロジツール570に送り、メトロロジツール570は、メトロロジレシピ566に従ってマスク(図に示されていない)を測定し、その結果得られるメトロロジデータ(例えば、イメージおよびクリティカルディメンション)572をモデルベースマスクデータ分析システム560に送る。モデルベースマスクデータ分析システム560は、さらに、サンプリング計画514に基づく露光レシピ568をスキャナなどの露光ツール580に送ることもできる。露光ツール580では、イメージセンサアレイ582(例えば、米国特許第6,803,554号のイメージセンサアレイ)が、露光レシピ568に従ってマスクを使用して生成された空間イメージを測定し、空間イメージデータ(図に示されていない)をモデルベースマスクデータ分析システム560に送る。モデルベースマスクデータ分析システム560は、メトロロジデータ572および/または空間イメージデータを分析して、系統的マスクエラーデータを抽出し、抽出された系統的マスクエラーデータから系統的マスクエラーパラメータ564を生成し、個別マスクエラーモデル562を作成する。モデルベースマスクデータ分析システム560は、さらに、更新された個別マスクエラーモデルおよびポストOPC設計レイアウト検証データを設計センタ510に送り、設計センタ510は、この情報を使用して、OPCおよびRET設計検証、ならびにプロセス窓およびホットスポット分析用の設計シミュレーション516を生成する。モデルベースマスクデータ分析システム560は、さらに、系統的マスクエラーパラメータ564をファブプロセス制御552に送る。
[0061] マスク検査データから系統的マスクエラーパラメータを正確に生成するためのサンプリング計画を生成する主要目的は、選択されたサンプリング位置により系統的マスクエラーパラメータ空間を十分にカバーすることである。図6は、本発明の一実施形態による、マスクを検査するサンプリング計画を生成する方法工程のフローチャートである。工程610で、個別マスクエラーモデルを使用してマスク検査データとシミュレートパターンとのデータ当てはめの際に変化しうる多数の系統的マスクエラーパラメータは、光学モデル、レジストモデル、および個別マスクエラーモデルを含むリソグラフィプロセスモデルの背景状況において定義される。系統的マスクエラーパラメータは、最も著しく変化するマスクエラーパラメータまたはパターニング性能(感度分析に基づくことができる)に対し最大の影響を及ぼすマスクエラーパラメータを含むか、または広範囲の系統的マスクエラーパラメータを含むことができる。次いで、工程612で、一般に、多次元マスクエラーパラメータ空間内の一領域を定義する、系統的マスクエラーパラメータのそれぞれについて、ある範囲の関連する値が決定される。単純な一実施例では、マスクエラーパラメータ空間は、公称線幅が変化するパターン線を含み、ある範囲のピッチまたは局所的パターン密度をカバーすることができる。工程614で、すでに定義されているパラメータ空間内に代表値を有する特性パターンフィーチャを識別する検索アルゴリズムによりポストOPCマスクレイアウト内の適当なサンプリング位置が識別される。サンプリング位置は、系統的マスクエラーに影響を及ぼすパラメータ空間の可能な限り広い範囲、例えば、パターン密度、パターン配向、パターンピッチ、パターンサイズ、パターン極性、異なる配向および極性のコーナー、間隔が変動する線端、およびマスク領域上のさまざまな位置(例えば、3×3位置マトリックス)などをカバーするようにポストOPCマスクレイアウトから選択することもできる。工程616で、識別されたサンプリング位置によりパラメータ空間をカバーする密度および範囲が評価され、これにより、パラメータ空間のカバー率が十分かどうかが判定される。十分であれば、この方法は、工程618に進み、十分でなければ、この方法は工程614に戻り、そこで、パラメータ空間のカバーが十分になるまで検索アルゴリズムが繰り返される。工程618で、選択されたサンプリング位置に基づくサンプリング計画が生成される。次いで、工程620で、サンプリング計画がマスク検査またはメトロロジツールに送られる。
[0062] 図7Aは、本発明の一実施形態による、個別マスクエラーモデルを作成し、マスククリティカルディメンション(CD)を使用してマスクを検証する方法工程のフローチャートである。図7Aの実施形態では、説明を簡単にするため、個別マスクエラーモデルは、マスクの領域上のマスククリティカルディメンション線幅の系統的変動でのみ特徴付けられる。コーナー丸めまたはラインエッジ粗さなどの他のまたは追加の系統的マスクエラーパラメータも使用できる。工程710で、デバイスのポストOPCマスクレイアウトが得られる。工程712で、ポストOPCマスクレイアウトにおけるサンプリング位置が識別される。工程714で、ポストOPCマスクレイアウトを使用して製造されたマスクを検査するためのサンプリング計画が生成される。一実施形態では、サンプリング計画は、図6の方法を使用して生成される。次いで、工程716で、ポストOPCマスクレイアウトにより製造されたマスクが得られ、サンプリング計画に従ってマスク上の線幅を直接測定するためにCD−SEMツールが使用される。他の実施形態では、撮像SEMも、コーナー丸めなどの二次元イメージベースマスクエラーパラメータを決定するために使用される。工程718で、測定された線幅(マスクCD)がポストOPCマスクレイアウトの設計線幅値(設計CD)と突き合わせて比較される(CD−SEMツールは較正済みであると仮定する)。例えば、マスク線幅バイアス(つまり、実際のクリティカルディメンションと設計クリティカルディメンションとの偏差)と線幅サイズとの当てはめにより、考察対象の特定のマスクのパターンサイズ依存バイアスの非線形性を特徴付ける。工程720で、マスクCDエラーデータを使用して、個別マスクエラーモデルに対し系統的マスクエラーパラメータが生成されるが、その場合、マスクCDエラーデータは、マスクCD値と設計CD値との差に基づく。系統的マスクエラーパラメータは、パラメータ当てはめまたはルックアップテーブルを使用して生成することができる。工程722で、リソグラフィプロセスのモデル(例えば、光学モデルおよびレジストモデルを含む)と個別マスクエラーモデルを使用して、リソグラフィプロセスがシミュレートされ、これにより、シミュレートパターンが生成される。次いで、工程724で、シミュレートパターンが分析され、マスク特有のホットスポットおよび他の設計欠陥、プロセス補正、およびツール整合性情報が決定される。プロセス補正は、焦点オフセットならびに露光ツールのNAおよびシグマ設定の変更を含むことができる。シミュレートパターンは、さらに、図2A〜2Cに関して上で説明されている方法を使用してマスクおよびOPC品質を検証し、リソグラフィプロセスのプロセス窓を決定するために使用することができる。
[0063] 図7Bは、本発明の他の実施形態による、個別マスクエラーモデルを作成し、空間イメージ既定結果を使用してマスクを検証する方法工程のフローチャートである。図7Bの方法は、図7Aの方法に類似しているが、ただし、工程736では、実際の生産露光ツール内のマスクから投影イメージを測定するために、イメージセンサアレイを含む、センサウェハなどの空間イメージセンサが使用される。一実施形態では、イメージセンサアレイを使用して空間イメージを測定することは、米国特許第6,803,554号の開示に従って実行される。’554特許のイメージセンサアレイを使用して空間イメージを測定すると、露光ツールの照射野上の数百万のサンプリング位置において高解像度イメージパッチの形の膨大な量のデータが得られる。測定された空間イメージ(または、より正確にはセンサイメージ)は、マスク上の線の幅および他のメトリクスを直接的には示さない場合があるが、露光ツール内の空間イメージ形成プロセスをシミュレートすることにより測定された空間イメージデータの好適な分析および較正を定義することができる。例えば、マスク線幅が少し変化しても、主に信号強度全体が変化することが知られており、これは、例えば測定された空間イメージ内の線の断面について積分された信号レベルにより測定されうる。同様に、マスク上の接点または他の小さなフィーチャの総面積は、アシストバーまたは他の解像度以下のアシストフィーチャなど、小さすぎて印刷できないフィーチャについても、イメージ上では直接測定することができる。このような測定結果から、パターン密度を持つ、フィールド上のフィーチャサイズおよびフィーチャサイズの変動は、系統的マスクエラーパラメータとして明示的に導き出すことができる。それとは別に、個別マスクエラーモデルの特定の部分を、接触エネルギーまたは相対的信号レベルなどのより直接的に測定可能なパラメータに関して表すことができる。いくつかの統計的マスクエラーパラメータの抽出は、複数のNA−シグマ設定または異なる光源偏光を使用してさまざまな条件(例えば、焦点を通る)の下でデータを取得することによりさらに容易に行えるようにできる。例えば、位相シフトマスクのパラメータは、複数の焦点設定で測定された空間イメージデータにより実際のマスクを特性の特徴付けをうまく行えるように特徴的な焦点を通る挙動を持つことができる。最後に、図7Aの場合のように、パターニング性能、マスク検証、およびプロセス補正のシミュレーションに、実際の生産マスクの物理的構造を反映する個別マスクエラーモデルが使用される。
[0064] 図7Bの実施形態では、説明を簡単にするため、個別マスクエラーモデルは、マスクの領域上のマスククリティカルディメンション線幅の系統的変動でのみ特徴付けられる。コーナー丸めまたはラインエッジ粗さなどの他のまたは追加のマスクエラーパラメータも使用できる。工程730で、製品のポストOPCマスクレイアウトが得られる。工程732で、ポストOPCマスクレイアウトにおけるサンプリング位置が識別される。工程734で、ポストOPCマスクレイアウトを使用して製造されたマスクを検査するためのサンプリング計画が生成される。一実施形態では、サンプリング計画は、図6の方法を使用して生成される。工程736で、ポストOPCマスクレイアウトに従って製造されたマスクが得られ、サンプリング計画に従ってイメージセンサアレイを使用して露光ツールで使用されるマスクにより形成された空間イメージが測定される。工程738で、空間イメージ測定データおよび露光ツールとイメージセンサアレイの光学モデルからマスクCD値が抽出される。工程740で、マスクCD値とポストOPCマスクレイアウト内の設計CD値とが比較され、マスクCD値と設計CD値との差に基づいてマスクCDエラーデータを生成する。工程742で、マスクCDエラーデータから個別マスクエラーモデルに対し系統的マスクエラーパラメータが生成される。系統的マスクエラーパラメータは、パラメータ当てはめまたはルックアップテーブルを使用して生成することができる。次いで、工程744で、リソグラフィプロセスのモデル(例えば、光学モデルおよびレジストモデルを含む)と個別マスクエラーモデルを使用して、リソグラフィプロセスがシミュレートされ、これにより、シミュレートパターンが生成される。工程746で、シミュレートパターンが分析され、マスク特有のホットスポットおよび他の設計欠陥、プロセス補正、およびツール整合性情報が決定される。プロセス補正は、焦点オフセットならびに露光ツールのNAおよびシグマ設定の変更を含むことができる。シミュレートパターンは、さらに、図2A〜2Cに関して上で説明されている方法を使用してマスクおよびOPC品質を検証し、リソグラフィプロセスのプロセス窓を決定するために使用することができる。
[0065] 図7Cは、本発明の他の実施形態による、個別マスクエラーモデルを作成し、光マスク検査ツールを使用してマスクを検証する方法工程のフローチャートである。図7Cの方法は、図7Aの方法に類似しているが、ただし、工程756では、光マスク検査ツールは、マスク汚染検査または点欠陥検出に一般的に使用されているように、マスクを検査するために使用される。光マスク検査ツールは、典型的には、完全なマスク領域を完全にカバーするように設計されており、したがって、ポストOPCマスクレイアウトからサンプリング位置を識別し、サンプリング計画を生成する工程は、オプションである。グローバルまたはゆっくりと変化する系統的マスクエラーパラメータは、例えば参照によりその全体が本明細書に組み込まれている”Apparatus and Methods for Collecting Global Data during a Mask Inspection”という表題の米国特許第6,516,085号で説明されているような光マスク検査ツールから決定することができる。
[0066] 図7Cの実施形態では、説明を簡単にするため、個別マスクエラーモデルは、マスクの領域上のマスククリティカルディメンション線幅の系統的変動でのみ特徴付けられる。コーナー丸めまたはラインエッジ粗さなどの他のまたは追加のマスクエラーパラメータも使用できる。工程750で、製品のポストOPCマスクレイアウトが得られる。次いで、工程752で、ポストOPCマスクレイアウトにおけるサンプリング位置が識別される。オプションの工程754で、ポストOPCマスクレイアウトを使用して製造されたマスクを検査するためのサンプリング計画が生成される。工程756で、ポストOPCマスクレイアウトに従って製造されたマスクが得られ、マスクは、光マスク検査ツールを使用して検査される。該当する場合には、このような検査は、工程754で適宜生成されるサンプリング計画に従って行われる。工程758で、マスク検査データと光マスク検査システムの光学モデルからマスクCD値が抽出される。工程760で、マスクCD値とポストOPCマスクレイアウト内の設計CD値とが比較され、マスクCD値と設計CD値との差に基づいてマスクCDエラーデータを生成する。工程762で、マスクCDエラーデータから個別マスクエラーモデルに対し系統的マスクエラーパラメータが生成される。系統的マスクエラーパラメータは、パラメータ当てはめまたはルックアップテーブルを使用して生成することができる。次いで、工程764で、シミュレートパターンが分析され、マスク特有のホットスポットおよび他の設計欠陥、プロセス補正、およびツール整合性情報が決定される。プロセス補正は、焦点オフセットならびに露光ツールのNAおよびシグマ設定の変更を含むことができる。シミュレートパターンは、さらに、図2A〜2Cに関して上で説明されている方法を使用してマスクおよびOPC品質を検証し、リソグラフィプロセスのプロセス窓を決定するために使用することができる。
[0067] 個別マスクエラーモデルを作成する方法の他の実施形態では、原子間力顕微鏡法、干渉メトロロジ位相メトロロジ法(”Optical Considerations of High-Resolution Photomask Phase Metrology”, A.J.Merriam, J.J.Jacob, Proc. SPIE, 5752, 1392 (2005)で説明されているような)、空間イメージメトロロジ法(例えば、AIMSツールを使用する)、散乱メトロロジ法、および偏光解析法などの代替えマスク検査技術から系統的マスクエラーパラメータを生成することができる。
[0068] リソグラフィプロセスの較正された焦点露光モデルのフレームワークの範囲内(米国特許出願第11/461,994号に開示されているような)で、印刷されたテストウェハ上のSEM測定結果から個別マスクエラーモデルに対する系統的マスクエラーパラメータを誘導または検証することも可能である。一実施形態では、このような導出または検証は、他の(分離可能)モデルコンポーネントを固定され、独立に決定された値に保ちつつ系統的マスクエラーパラメータの値の変動を許す当てはめルーチンを使用し、またその後、較正された個別マスクエラーモデルを使用してマスク特有のホットスポットまたはプロセス補正を予測することにより、行われる。
[0069] 個別マスクエラーモデルは、OPC検証またはマスク適格性判定を超える広範なアプリケーションで使用できる。例えば、個別マスクエラーモデルは、マスク特有のOPCホットスポットまたは弱い領域を予測するために使用することができ、これらをウェハ検査プロセスにフィードフォワードし、最も重要なデバイス領域を選択的に監視することができる。それとは別に、個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートすることで、デバイス処理にフィードフォワードで送ることができるプロセス補正を識別することができる。これらのプロセス補正は、NA、シグマ、照射プロファイル、焦点中心、露光量、およびレンズ調節などの露光ツール上の光学設定の広範囲にわたる調節を含むことができる。複数の露光ツールが、生産に利用できる場合、印刷性能のツールに依存する違いが特定のマスクについて予測できるように、また最良一致を見つけて、その好ましいツール上でデバイス生産をスケジュールすることによりプロセスを歩留まりを最大化できるように、ツール特有の光学モデルをツール較正測定結果から知ることができる。
[0070] 図8は、本発明の一実施形態による、マスクを検証する方法工程のフローチャートである。図8の方法において、マスク検査データから系統的マスクエラーパラメータデータを抽出するのではなく、生産露光ツールおよびデバイス設計の予想される撮像性能は、ポストOPCマスクレイアウトおよびリソグラフィシミュレーションモデルにより定められる。マスクを使用して露光ツールで生成される空間イメージは、特定の重要なフィーチャ位置で、または統計的に照射野上でイメージセンサアレイを使用して測定することができる。そこで、マスク適格性判定または合否決定は、好適なイメージ処理メトリクスにより定められた、測定されたイメージとシミュレートされたイメージとの間の一致の推定結果に基づくことができる。図8の方法は、公称マスクエラーモデルが特定のマスク製造プロセスについて確立されている場合(図9〜10Bに関して以下で説明されているように)、またマスク製造プロセスの一貫性が問題になる場合に、特に適していると思われる。それとは別に、この方法は、エージングプロセスまたはかすみなどの進行性の欠陥を監視する必要がある場合に、時間の経過とともにマスク品質を検証するために使用できる。
[0071] 工程810で、製品のポストOPCマスクレイアウトが得られる。工程812で、ポストOPCマスクレイアウトにおけるサンプリング位置が識別される。工程814で、イメージセンサアレイを使用して露光ツール内の空間イメージを測定するのに、サンプリング計画が生成される。工程816で、ポストOPCマスクレイアウトに従って製造されたマスクが得られ、マスクを使用して生成される空間イメージの代表的イメージパッチは、サンプリング計画に従いイメージセンサアレイを使用して測定される。工程818で、イメージセンサアレイにより測定されるような予想空間イメージは、公称マスクエラーモデルならびに露光ツールおよびイメージセンサアレイの光学モデルを使用して、シミュレートされた空間イメージを生成することで、シミュレートされる。次いで、工程820で、測定された空間イメージが、シミュレートされた空間イメージと比較される。工程822で、マスクが許容可能かどうかの判定は、測定された空間イメージとシミュレートされた空間イメージとの差に基づく。
[0072] 前の実施形態では、マスクのそれぞれの単一インスタンスが検査され、その特定のマスクに対する個別マスクエラーモデルが生成される。しかし、場合によっては、特定のマスクの代わりに、特定のマスクメーカーまたは特定のマスク製造ツールもしくはプロセスに特徴的な公称マスクエラーモデルを生成するだけで十分である。このような公称マスクエラーモデルは、好ましくは、テストマスク上にテストパターンを定めることにより作成され、そして較正されるが、これは、製品ウェハを印刷するのには使用されず、マスク製造プロセスを特徴付けるためだけに使用される。しかし、公称マスクエラーモデルは、マスクレイアウトデータを使用して作成することもできる。この方法は、リソグラフィシミュレーションモデルを較正するために一般的に使用されるプロセスモデル較正に類似しているか、またはいくぶん似ている。
[0073] テストパターンを使用してマスク製造プロセスの公称マスクエラーモデルを作成する明確な利点は、系統的マスクエラーパラメータ空間を完全に、また高い密度でカバーすることを保証することができる好適なテストパターン構造を柔軟に定義できるということにある。このようなテストパターンは、例えば、リソグラフィシミュレーションシステムにより自動的に生成され、次いで、マスク製造プロセスに送られ、これにより、テストマスクを作成することができる。テストパターンを使用して公称マスクエラーモデルを作成する方法は、製品マスクの場合と非常によく似ているが、簡素化され、パターンのタイプおよび位置がテストマスクレイアウト内に固定され、定義済みであるため、サンプリング位置を識別するアルゴリズムが不要になっている。
[0074] 図9は、本発明の一実施形態による、マスク製造プロセスの公称マスクエラーモデルを作成する方法工程のフローチャートである。オプションの工程910で、リソグラフィシミュレーションシステムにより、テストマスクのテストパターンが生成される。工程912で、テストパターンは、OPCおよび他のRETを使用して処理され、ポストOPCテストマスクレイアウトが作成される。オプションの工程914で、テストパターンに従ってサンプリング位置が決定され、サンプリング計画が生成される。工程916で、ポストOPCテストマスクレイアウトに従って製造されたテストマスクが得られ、テストマスクが、利用可能であればサンプリング計画に従ってマスク検査ツールで検査され、マスク検査データが出力される。工程918で、マスク検査データから物理的マスクデータが抽出され、抽出された物理的マスクデータとポストOPCマスクレイアウトとの差に基づいて、系統的マスクエラーデータが決定される。データ当てはめルーチンにより、系統的マスクエラーデータから公称マスクエラーモデルに対する系統的マスクエラーパラメータの最適な値が決定される。データ当てはめルーチンでは、系統的マスクエラーパラメータを最適化される当てはめパラメータとして使用し、マスク検査データとポストOPCマスクレイアウトとの間の最良一致を得る。工程920で、公称マスクエラーモデルが生成され、そこでは、公称マスクエラーモデルの系統的マスクエラーパラメータが、テストマスクを製造するために使用されるマスク製造プロセスを特徴付ける。
[0075] 図10Aは、本発明の他の実施形態による、マスク製造プロセスの公称マスクエラーモデルを作成する方法工程のフローチャートである。工程1010で、さまざまな線幅、ピッチ、および配向を有するテストマスクレイアウトが生成される。工程1012で、マスク技術および特徴付けられるマスクショップが選択される。一実施形態では、特定のマスク製造ツールも選択される。工程1014で、選択されたマスク技術およびマスクショップを使用し、テストマスクレイアウトに従って製造されるテストマスクを得る。工程1016で、テストマスク上の線幅(CD)が、CD−SEMツールを使用して直接測定される。工程1018で、測定されたマスクCDが、照射野上のピッチを通じて設計CD値と比較される。工程1020で、測定されたマスクCD値と設計CD値との差に基づく系統的マスクエラーデータを使用して、公称マスクエラーモデルの系統的マスクエラーパラメータが生成される。公称マスクエラーモデルは、選択されたマスクショックおよびマスク技術を特徴付けるために使用できるモデルである。
[0076] 図10Bは、本発明の他の実施形態による、マスク製造プロセスの公称マスクエラーモデルを作成する方法工程のフローチャートである。工程1030で、さまざまな線幅、ピッチ、および配向を有するテストマスクレイアウトが生成される。工程1032で、マスク技術および特徴付けられるマスクショップが選択される。一実施形態では、特定のマスク製造ツールも選択される。工程1034で、選択されたマスク技術およびマスクショップを使用し、テストマスクレイアウトに従って製造されるテストマスクを得る。次いで、工程1036で、露光ツールでテストマスクにより生成される空間イメージは、イメージセンサアレイを使用して測定される。工程1038で、露光ツールの光学モデルを使用して、測定された空間イメージからテストマスク線幅(CD)が抽出される。工程1040で、導出されたテストマスクCD値は、照射野上のピッチを通じて設計CD値と比較される。工程1042で、導出されたテストマスクCD値と設計CD値との差に基づく系統的マスクエラーデータを使用して、公称マスクエラーモデルの系統的マスクエラーパラメータが生成される。公称マスクエラーモデルは、選択されたマスクショックおよびマスク技術を特徴付けるために使用できるモデルである。
[0077] 本発明は、特定の実施形態を参照しつつ上で説明されている。しかし、付属の請求項で定められているように、本発明の広い精神と範囲を逸脱することなく本発明にさまざまな修正および変更を加えられることは明白であろう。したがって、前記の説明および図面は、制限ではなく、例示することを目的としているものとみなすべきである。

Claims (49)

  1. エラー リソグラフィマスクの検査から決定された系統的マスクエラーパラメータを有する前記リソグラフィマスクのモデルを含む、個別マスクエラーモデル。
  2. 前記系統的マスクエラーパラメータは、線幅バイアス、位相バイアス、コーナー丸め半径、ラインエッジ粗さ、エッチ深さ、および側壁角度からなる群から選択される、請求項1に記載の個別マスクエラーモデル。
  3. マスクレイアウトデータを使用して製造されたマスクからマスク検査データを取得し、
    前記マスク検査データと前記マスクレイアウトデータとの差を決定し、
    前記マスク検査データと前記マスクレイアウトデータとの差に基づいて系統的マスクエラーデータを生成し、
    前記系統的マスクエラーデータに基づいて個別マスクエラーモデルに対する系統的マスクエラーパラメータを生成する
    ことを含む方法。
  4. 前記系統的マスクエラーパラメータを有する前記個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、シミュレート結果を出力し、
    前記シミュレート結果を分析して、前記リソグラフィプロセスのプロセス窓を評価する
    ことをさらに含む、請求項3に記載の方法。
  5. 前記系統的マスクエラーパラメータを有する前記個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、シミュレート結果を出力し、
    前記シミュレート結果を分析して前記マスクを検証する
    ことをさらに含む、請求項3に記載の方法。
  6. 前記系統的マスクエラーパラメータを有する前記個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、シミュレート結果を出力し、
    前記シミュレート結果を設計ターゲットと比較する
    ことをさらに含む、請求項3に記載の方法。
  7. 前記系統的マスクエラーパラメータを有する前記個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、シミュレート結果を出力し、
    公称マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、公称シミュレート結果を出力し、
    前記シミュレート結果と前記公称シミュレート結果とを比較して前記マスクを検証する
    ことをさらに含む、請求項3に記載の方法。
  8. 前記系統的マスクエラーパラメータを有する前記個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、シミュレート結果を出力し、
    ポストOPCマスクレイアウトを使用してリソグラフィプロセスをシミュレートして、理想シミュレート結果を出力し、
    前記シミュレート結果と前記理想シミュレート結果とを比較して前記マスクを検証する
    ことをさらに含む、請求項3に記載の方法。
  9. 前記系統的マスクエラーパラメータは、線幅バイアス、位相バイアス、コーナー丸め半径、ラインエッジ粗さ、エッチ深さ、および側壁角度からなる群から選択される、請求項3に記載の方法。
  10. 前記マスク検査データは、CD−SEMツール、撮像SEMツール、AFMツール、OCDツール、光学顕微鏡、位相シフト干渉計、およびエリプソメータからなる群から選択されたメトロロジツールを使用して前記マスクのパターンメトリクスを直接測定することにより得られる請求項3に記載の方法。
  11. 前記マスク検査データは、露光ツール内に配置されているイメージセンサアレイを使用して露光ツールおよび前記マスクにより生成された空間イメージのパターンメトリクスを決定することにより得られる、請求項3に記載の方法。
  12. 前記マスク検査データは、光マスク検査ツール、電子ビームマスク検査ツール、および空間イメージ測定システムからなる群から選択された検査ツールを使用して前記マスクのパターンメトリクスを決定することにより得られる、請求項3に記載の方法。
  13. 前記マスクレイアウトデータは、回路設計データである、請求項3に記載の方法。
  14. 前記マスクレイアウトデータは、テストパターンデータである、請求項3に記載の方法。
  15. マスクレイアウトデータを取得し、
    前記マスクレイアウトデータに基づいてマスク検査計画を作成し、
    前記マスク検査計画に従って前記マスクレイアウトデータを使用して製造されたマスクを検査して、マスク検査データを出力し、
    前記マスク検査データから物理的マスクデータを抽出し、
    前記抽出された物理的マスクデータと前記マスクレイアウトデータとの差を決定し、
    前記抽出された物理的マスクデータと前記マスクレイアウトデータとの差に基づいて系統的マスクエラーデータを決定し、
    前記系統的マスクエラーデータをマスクエラーモデルの入力変数に当てはめることにより系統的マスクエラーパラメータを生成し、
    前記系統的マスクエラーパラメータを前記マスクエラーモデルに適用して、個別マスクエラーモデルを作成する
    ことを含む方法。
  16. 前記マスク検査計画の作成は、パラメータ空間をカバーする前記マスクレイアウトデータ内のサンプリング位置を選択することを含む、請求項15に記載の方法。
  17. 前記パラメータ空間は、パターン密度、パターン配向、パターンピッチ、パターンサイズ、パターン極性、異なる配向および極性を有するコーナー、およびさまざまな間隔を有する線端からなる群から選択されたパラメータを含む、請求項16に記載の方法。
  18. 前記リソグラフィプロセスの前記モデルおよび前記個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、シミュレーション結果を出力し、
    前記シミュレーション結果を分析して、前記リソグラフィプロセスのプロセス窓を評価する
    ことをさらに含む、請求項15に記載の方法。
  19. 前記リソグラフィプロセスの前記モデルおよび前記個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、シミュレーション結果を出力し、
    前記シミュレーション結果を分析して、前記マスクを検証する
    ことをさらに含む、請求項15に記載の方法。
  20. 前記リソグラフィプロセスの前記モデルおよび前記個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、シミュレーション結果を出力し、
    前記シミュレーション結果を設計ターゲットと比較する
    ことをさらに含む、請求項15に記載の方法。
  21. 前記系統的マスクエラーパラメータは、線幅バイアス、位相バイアス、コーナー丸め半径、ラインエッジ粗さ、エッチ深さ、および側壁角度からなる群から選択される、請求項15に記載の方法。
  22. 前記マスクエラーモデルの前記入力変数は、前記マスク上のパターン環境およびパターン位置である、請求項15に記載の方法。
  23. マスク製造プロセスにより作られた複数のマスクに対し複数の個別マスクエラーモデルを作成し、
    前記複数の個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、複数のシミュレート結果を出力し、
    前記複数のシミュレート結果を分析して、前記マスク製造プロセスを特徴付ける
    ことをさらに含む、請求項15に記載の方法。
  24. マスク製造プロセスにより作られた複数のマスクに対し複数の個別マスクエラーモデルを作成し、
    前記複数の個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、複数のシミュレート結果を出力し、
    前記複数のシミュレート結果を分析して前記マスク製造プロセスのプロセス補正を識別する
    ことをさらに含む、請求項15に記載の方法。
  25. マスク検査データを出力するマスク検査ツールと、
    前記マスク検査ツールに結合されたモデルベースマスクデータ分析システムであって、前記マスク検査データから物理的マスクデータを抽出し、前記抽出された物理的マスクデータとマスクレイアウトデータとの差に基づいて系統的マスクエラーデータを決定し、また、前記系統的マスクエラーデータに基づいて個別マスクエラーモデルに対する系統的マスクエラーパラメータを生成するモデルベースマスクデータ分析システムと
    を備えるシステム。
  26. 前記系統的マスクエラーパラメータは、線幅バイアス、位相バイアス、コーナー丸め半径、ラインエッジ粗さ、エッチ深さ、および側壁角度からなる群から選択される、請求項25に記載のシステム。
  27. 前記マスク検査ツールは、CD−SEM、撮像SEMツール、AFMツール、OCDツール、光学顕微鏡、位相シフト干渉計、およびエリプソメータからなる群から選択される、請求項25に記載のシステム。
  28. 前記マスク検査ツールは、露光ツール内に配置されているイメージセンサアレイである、請求項25に記載のシステム。
  29. 前記マスク検査ツールは、光マスク検査ツール、電子ビームマスク検査ツール、および空間イメージ測定システムからなる群から選択される、請求項25に記載のシステム。
  30. マスクレイアウトデータを取得し、
    マスクモデルパラメータを有するマスクモデルを選択し、
    前記マスクレイアウトデータを使用して製造されたマスクからマスク検査データを取得し、
    前記マスク検査データから物理的マスクデータを抽出し、
    前記物理的マスクデータと前記マスクレイアウトデータとの差を決定し、
    前記物理的マスクデータと前記マスクレイアウトデータとの差に基づいて系統的マスクエラーデータを生成し、
    前記系統的マスクエラーデータに基づいて前記マスクモデルパラメータの値を修正して、系統的マスクエラーパラメータを生成する
    ことを含む方法。
  31. 前記系統的マスクエラーパラメータは、線幅バイアス、位相バイアス、コーナー丸め半径、ラインエッジ粗さ、エッチ深さ、および側壁角度からなる群から選択される、請求項30に記載の方法。
  32. 前記マスク検査データは、CD−SEMツール、撮像SEMツール、AFMツール、OCDツール、光学顕微鏡、位相シフト干渉計、およびエリプソメータからなる群から選択されたメトロロジツールを使用して前記マスクのパターンメトリクスを直接測定することにより得られる、請求項30に記載の方法。
  33. 前記マスク検査データは、露光ツール内に配置されているイメージセンサアレイを使用して露光ツールおよび前記マスクにより生成された空間イメージのパターンメトリクスを決定することにより得られる、請求項30に記載の方法。
  34. 前記マスク検査データは、光マスク検査ツール、電子ビームマスク検査ツール、および空間イメージ測定システムからなる群から選択された検査ツールを使用して前記マスクのパターンメトリクスを決定することにより得られる、請求項30に記載の方法。
  35. 前記マスク検査データは、マスク検査計画に従って得られる、請求項30に記載の方法。
  36. 露光ツールに対する光学モデルを選択し、
    第2の露光ツールに対する第2の光学モデルを選択し、
    前記光学モデルおよびマスクの個別マスクエラーモデルを使用して前記露光ツールの動作をシミュレートして、第1のシミュレート結果を出力し、
    前記第2の光学モデルおよび前記個別マスクエラーモデルを使用して前記第2の露光ツールの動作をシミュレートして、第2のシミュレート結果を出力し、
    前記第1のシミュレート結果と設計ターゲットとの比較を実行し、
    前記第2のシミュレート結果と前記設計ターゲットとの比較を実行し、
    前記比較結果に基づいて前記露光ツールと前記第2の露光ツールの前記実行を比較する
    ことを含む方法。
  37. 前記個別マスクエラーモデルは、前記マスクの検査から決定された系統的マスクエラーパラメータを含む、請求項36に記載の方法。
  38. 前記マスクを使用して製品ウェハを露光するために前記露光ツールまたは前記第2の露光ツールのうちの1つを選択することをさらに含む、請求項36に記載の方法。
  39. 前記個別マスクエラーモデルは、
    マスクレイアウトデータを使用して製造された前記マスクからマスク検査データを取得し、
    前記マスク検査データと前記マスクレイアウトデータとの差を決定し、
    前記マスク検査データと前記マスクレイアウトデータとの差に基づいて系統的マスクエラーデータを生成し、
    前記系統的マスクエラーデータに基づいて前記個別マスクエラーモデルに対する系統的マスクエラーパラメータを生成する
    ことにより作成されれる、請求項36に記載の方法。
  40. 露光ツールの光学モデルおよびレジストモデルを含む、リソグラフィプロセスのモデルを選択し、
    マスクレイアウトデータを使用して製造されたマスクに対する個別マスクエラーモデルを作成し、
    前記リソグラフィプロセスの前記モデルおよび前記個別マスクエラーモデルを使用して前記リソグラフィプロセスをシミュレートして、シミュレートパターンを出力し、
    前記シミュレートパターンと設計ターゲットとの差を決定し、
    前記シミュレートパターンと前記設計ターゲットとの差に基づいて前記露光ツールの設定を修正する
    ことを含む方法。
  41. 前記個別マスクエラーモデルは、前記マスクの検査から決定された系統的マスクエラーパラメータを含む、請求項40に記載の方法。
  42. 前記露光ツールの前記設定は、焦点、露光ドーズ、開口数、シグマ、干渉、レンズ収差、および照明シフトからなる群から選択される、請求項40に記載の方法。
  43. 前記シミュレートパターンと前記設計ターゲットとの差は、クリティカルディメンション、線端引き戻し、およびコーナー丸めからなる群から選択される、請求項40に記載の方法。
  44. 個別マスクエラーモデルの作成は、
    前記マスクからマスク検査データを取得し、
    前記マスク検査データと前記マスクレイアウトデータとの差を決定し、
    前記マスク検査データと前記マスクレイアウトデータとの差に基づいて系統的マスクエラーデータを生成し、
    前記系統的マスクエラーデータに基づいて前記個別マスクエラーモデルに対する系統的マスクエラーパラメータを生成する
    ことを含む、請求項40に記載の方法。
  45. 複数の露光ツールに対して、それぞれ個別露光ツールを表す複数の光学モデルを選択し、
    前記複数の光学モデル毎に、前記光学モデルおよびマスクの個別マスクエラーモデルを使用してリソグラフィプロセスをシミュレートして、シミュレート結果を出力し、
    前記複数の光学モデルのそれぞれについて前記シミュレート結果を評価して、前記複数の露光ツールのうちのどれが前記マスクで最良の性能を発揮するかを判定する
    ことを含む方法。
  46. 前記個別マスクエラーモデルは、前記マスクの検査から決定された系統的マスクエラーパラメータを含む、請求項45に記載の方法。
  47. 前記複数の光学モデルのそれぞれについて前記シミュレート結果を評価することは、前記シミュレート結果と設計ターゲットとを比較することを含む、請求項45に記載の方法。
  48. ウェハ生産のため前記最良一致の露光ツールおよび前記マスクを選択することを含む、請求項45に記載の方法。
  49. 前記個別マスクエラーモデルは、
    マスクレイアウトデータを使用して製造された前記マスクからマスク検査データを取得し、
    前記マスク検査データと前記マスクレイアウトデータとの差を決定し、
    前記マスク検査データと前記マスクレイアウトデータとの差に基づいて系統的マスクエラーデータを生成し、
    前記系統的マスクエラーデータに基づいて前記個別マスクエラーモデルに対する系統的マスクエラーパラメータを生成する
    ことによって作成される、請求項45に記載の方法。
JP2010290500A 2005-09-09 2010-12-27 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法 Active JP5334956B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US71983705P 2005-09-09 2005-09-09
US60/719,837 2005-09-09

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008530222A Division JP4954211B2 (ja) 2005-09-09 2006-09-08 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法

Publications (2)

Publication Number Publication Date
JP2011100149A true JP2011100149A (ja) 2011-05-19
JP5334956B2 JP5334956B2 (ja) 2013-11-06

Family

ID=37836510

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008530222A Active JP4954211B2 (ja) 2005-09-09 2006-09-08 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
JP2010290500A Active JP5334956B2 (ja) 2005-09-09 2010-12-27 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008530222A Active JP4954211B2 (ja) 2005-09-09 2006-09-08 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法

Country Status (6)

Country Link
US (2) US7587704B2 (ja)
EP (1) EP1941321A2 (ja)
JP (2) JP4954211B2 (ja)
KR (1) KR100982135B1 (ja)
CN (2) CN102662309B (ja)
WO (1) WO2007030704A2 (ja)

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
WO2006118098A1 (ja) * 2005-04-26 2006-11-09 Renesas Technology Corp. 半導体装置およびその製造方法ならびに半導体製造用マスク、光近接処理方法
KR100642417B1 (ko) * 2005-09-20 2006-11-03 주식회사 하이닉스반도체 레이어 대 레이어 검사방법을 이용한 광학근접보정검증방법
KR100725170B1 (ko) * 2005-11-15 2007-06-04 삼성전자주식회사 포토마스크의 제작을 위한 시스템 및 방법
US7631286B2 (en) * 2005-12-30 2009-12-08 Wafertech Llc Automated metrology recipe generation
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
KR100706813B1 (ko) * 2006-02-13 2007-04-12 삼성전자주식회사 반도체 장치의 패턴 배치 방법
US7735056B2 (en) * 2006-03-30 2010-06-08 Texas Instruments Incorporated Automated circuit design dimension change responsive to low contrast condition determination in photomask phase pattern
WO2007133755A2 (en) * 2006-05-15 2007-11-22 Rudolph Technologies, Inc. Structure model description and use for scatterometry-based semiconductor manufacturing process metrology
US8041440B2 (en) * 2006-07-13 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for providing a selection of golden tools for better defect density and product yield
US8111901B2 (en) * 2006-08-14 2012-02-07 Asml Masktools B.V. Apparatus and method for separating a circuit pattern into multiple circuit patterns
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
KR100818999B1 (ko) * 2006-10-09 2008-04-02 삼성전자주식회사 마스크 제작 방법
US7937179B2 (en) * 2007-05-24 2011-05-03 Applied Materials, Inc. Dynamic inline yield analysis and prediction of a defect limited yield using inline inspection defects
US8799831B2 (en) * 2007-05-24 2014-08-05 Applied Materials, Inc. Inline defect analysis for sampling and SPC
US8924904B2 (en) * 2007-05-24 2014-12-30 Applied Materials, Inc. Method and apparatus for determining factors for design consideration in yield analysis
US7962864B2 (en) * 2007-05-24 2011-06-14 Applied Materials, Inc. Stage yield prediction
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
US7669171B2 (en) * 2007-09-05 2010-02-23 United Miceoelectronics Corp. Prediction model and prediction method for exposure dose
US7617478B2 (en) * 2007-09-25 2009-11-10 Synopsys, Inc. Flash-based anti-aliasing techniques for high-accuracy high efficiency mask synthesis
US7707539B2 (en) * 2007-09-28 2010-04-27 Synopsys, Inc. Facilitating process model accuracy by modeling mask corner rounding effects
JP4511582B2 (ja) * 2007-11-07 2010-07-28 シャープ株式会社 マスクパターンの補正方法、フォトマスク、および半導体装置の製造方法
US8059884B2 (en) * 2007-11-08 2011-11-15 International Business Machines Corporation Method and system for obtaining bounds on process parameters for OPC-verification
US8572524B2 (en) * 2007-11-21 2013-10-29 Globalfoundries Singapore Pte. Ltd. Statistical optical proximity correction
JP4991499B2 (ja) * 2007-11-28 2012-08-01 株式会社日立ハイテクノロジーズ レチクル検査装置及びレチクル検査方法
US20090144692A1 (en) * 2007-11-30 2009-06-04 Cain Jason P Method and apparatus for monitoring optical proximity correction performance
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP2009139632A (ja) * 2007-12-06 2009-06-25 Elpida Memory Inc マスクパターン補正方法及び露光用マスク
NL1036335A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Device manufacturing method, lithographic system, lithographic apparatus and design for manufacturing system.
JP5252932B2 (ja) * 2008-01-18 2013-07-31 株式会社東芝 半導体装置の製造方法
US20090204936A1 (en) * 2008-02-11 2009-08-13 Werner Jacobs Method of Performing Proximity Correction
JP2009251500A (ja) * 2008-04-10 2009-10-29 Toshiba Corp パターンの検証方法、パターンの形成方法、半導体装置の製造方法及びプログラム
US7916281B2 (en) * 2008-04-18 2011-03-29 Coinsecure, Inc. Apparatus for producing optical signatures from coinage
US20090295912A1 (en) * 2008-05-12 2009-12-03 Coinsecure, Inc. Coin edge imaging device
US7974819B2 (en) * 2008-05-13 2011-07-05 Aptina Imaging Corporation Methods and systems for intensity modeling including polarization
JP2009282319A (ja) * 2008-05-22 2009-12-03 Toshiba Corp パターン検証方法、パターン検証システム、パターン検証プログラム、マスク製造方法、および半導体装置の製造方法
US8000922B2 (en) * 2008-05-29 2011-08-16 Kla-Tencor Corp. Methods and systems for generating information to be used for selecting values for one or more parameters of a detection algorithm
US8571845B2 (en) 2008-06-03 2013-10-29 Asml Netherlands B.V. Model-based scanner tuning systems and methods
US8570485B2 (en) 2008-06-03 2013-10-29 Asml Netherlands B.V. Lens heating compensation systems and methods
US8806396B2 (en) * 2008-06-24 2014-08-12 Cadence Design Systems, Inc. Method and system performing circuit design predictions
NL2003143A1 (nl) 2008-07-07 2010-01-11 Brion Tech Inc Illumination optimization.
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
JP2010034402A (ja) * 2008-07-30 2010-02-12 Toshiba Corp パターン形状予測方法
DE102008049880A1 (de) * 2008-09-30 2010-04-08 Carl Zeiss Sms Gmbh Verfahren zur Verbesserung des Abbildungsverhaltens von Masken für die Photolithographie
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
NL2003718A (en) 2008-11-10 2010-05-11 Brion Tech Inc Methods and system for model-based generic matching and tuning.
US8092122B2 (en) 2008-11-10 2012-01-10 Reynolds Consumer Products, Inc. Connection device for fastening expanded cell confinement structures and methods for doing the same
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US8463419B2 (en) 2009-01-22 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improved automated semiconductor wafer manufacturing
US8136054B2 (en) * 2009-01-29 2012-03-13 Synopsys, Inc. Compact abbe's kernel generation using principal component analysis
US8103984B1 (en) * 2009-02-23 2012-01-24 Cadence Design Systems, Inc. System and method for compressed design phase contour data
US8191016B2 (en) 2009-02-23 2012-05-29 Cadence Design Systems, Inc. System and method for compressed post-OPC data
JP2010211046A (ja) * 2009-03-11 2010-09-24 Toshiba Corp パターン検証方法およびパターン検証プログラム
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
KR101113325B1 (ko) * 2009-05-29 2012-03-14 주식회사 하이닉스반도체 광근접 보정 검증 방법
CN102033423B (zh) * 2009-09-28 2013-05-29 中芯国际集成电路制造(上海)有限公司 用于校准光刻工具的装置及方法
CN102043326B (zh) * 2009-10-20 2012-07-11 中芯国际集成电路制造(上海)有限公司 掩模版图形校正方法
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
CN102096309B (zh) * 2009-12-15 2012-07-11 中芯国际集成电路制造(上海)有限公司 光学邻近校正方法
JP2011129756A (ja) * 2009-12-18 2011-06-30 Toshiba Corp マスクパターンの生成方法、マスクパターン生成プログラム及び半導体装置の製造方法
JP5248540B2 (ja) * 2010-02-12 2013-07-31 株式会社東芝 マスク検証方法、半導体装置の製造方法およびマスク検証プログラム
CN104317159A (zh) * 2010-03-03 2015-01-28 中芯国际集成电路制造(上海)有限公司 一种掩膜图形缺陷的检测方法及系统
CN102193302A (zh) * 2010-03-03 2011-09-21 中芯国际集成电路制造(上海)有限公司 一种掩膜图形缺陷的检测方法及系统
DE102011078927B4 (de) * 2010-07-12 2019-01-31 Carl Zeiss Sms Ltd. Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske
DE102010045135B4 (de) 2010-09-10 2021-03-18 Carl Zeiss Meditec Ag Verfahren zur Ermittlung eines Platzierungsfehlers eines Strukturelements auf einer Maske, Verfahren zur Simulation eines Luftbildes aus Struktur-Vorgaben einer Maske und Positionsmessvorrichtung
US8429570B2 (en) * 2010-10-28 2013-04-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8495527B2 (en) * 2010-10-28 2013-07-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
FR2968780A1 (fr) * 2010-12-10 2012-06-15 St Microelectronics Crolles 2 Procede de determination d'un masque de gravure en niveaux de gris
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
CN102566291B (zh) * 2010-12-29 2015-04-29 中芯国际集成电路制造(上海)有限公司 投影掩膜版的测试系统
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
NL2008041A (en) * 2011-01-28 2012-07-31 Asml Netherlands Bv Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus.
KR20120101197A (ko) * 2011-02-08 2012-09-13 삼성전자주식회사 포토 마스크의 제조 방법
KR101866448B1 (ko) * 2011-02-10 2018-06-11 삼성전자주식회사 포토마스크 형성 방법, 이를 수행하는 프로그래밍된 명령을 저장하는 컴퓨터에서 판독 가능한 저장 매체 및 마스크 이미징 시스템
KR20130006740A (ko) * 2011-03-15 2013-01-18 삼성전자주식회사 패턴 cd 예측 방법 및 포토 마스크 형성 방법
JP2012252055A (ja) * 2011-05-31 2012-12-20 Toshiba Corp マスク検査方法、マスク作製方法および半導体装置の製造方法
US8572518B2 (en) * 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
DE102011078999A1 (de) * 2011-07-12 2013-01-17 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Positionsbestimmung von Strukturen auf einer Maske für die Mikrolithographie
KR101940843B1 (ko) * 2011-07-20 2019-01-21 칼 짜이스 에스엠에스 엘티디 포토리소그래픽 마스크의 임계 치수 변동을 결정하기 위한 방법 및 장치
CN102902154A (zh) * 2011-07-29 2013-01-30 上海华虹Nec电子有限公司 光学临近效应修正工艺模型的建模方法
NL2009056A (en) * 2011-08-09 2013-02-12 Asml Netherlands Bv A lithographic model for 3d topographic wafers.
CN102540773B (zh) * 2011-08-29 2014-06-04 上海华力微电子有限公司 一种新型的利用曝光后烘烤的opc模型检验光刻工艺的方法
US20130080981A1 (en) * 2011-09-22 2013-03-28 Teng-Yen Huang Method for improving optical proximity simulation from exposure result
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US8607169B2 (en) * 2011-12-28 2013-12-10 Elitetech Technology Co., Ltd. Intelligent defect diagnosis method
CN103186032B (zh) * 2011-12-31 2016-01-13 无锡华润上华科技有限公司 光学邻近效应修正方法及相应的掩膜图形形成方法
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
NL2010162A (en) 2012-02-03 2013-08-06 Asml Netherlands Bv A lithography model for 3d resist profile simulations.
NL2010163A (en) 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
NL2010196A (en) 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
US9489479B2 (en) 2012-05-04 2016-11-08 Asml Netherlands B.V. Rule and lithographic process co-optimization
KR101757780B1 (ko) 2012-05-31 2017-07-14 에이에스엠엘 네델란즈 비.브이. 구배-기반 패턴 및 평가 지점 선택
US9240360B2 (en) 2012-07-25 2016-01-19 International Business Machines Corporation Run-to-run control utilizing virtual metrology in semiconductor manufacturing
CN103676490B (zh) * 2012-09-20 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种监控弱点形成原因的方法
NL2011592A (en) 2012-10-31 2014-05-06 Asml Netherlands Bv Compensation for patterning device deformation.
US8924896B2 (en) * 2013-01-31 2014-12-30 Globalfoundries Inc. Automated design layout pattern correction based on context-aware patterns
JP6140844B2 (ja) 2013-02-22 2017-05-31 エーエスエムエル ネザーランズ ビー.ブイ. 三次元パターニングデバイス用リソグラフィモデル
US10191384B2 (en) 2013-02-25 2019-01-29 Asml Netherlands B.V. Discrete source mask optimization
US9250535B2 (en) * 2013-03-15 2016-02-02 International Business Machines Corporation Source, target and mask optimization by incorporating countour based assessments and integration over process variations
CN104516192B (zh) * 2013-09-30 2018-10-16 中芯国际集成电路制造(上海)有限公司 建立opc模型的方法、布局图形的检查方法
WO2015049099A1 (en) 2013-10-01 2015-04-09 Asml Netherlands B.V. Profile aware source-mask optimization
KR102267475B1 (ko) 2013-10-10 2021-06-21 삼성전자주식회사 전자빔 노광 장치 및 이의 에러 검출 방법
CN105849643B (zh) 2013-12-17 2019-07-19 Asml荷兰有限公司 良品率估计和控制
JP6291581B2 (ja) 2013-12-30 2018-03-14 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
WO2015101458A1 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
KR20190057429A (ko) 2013-12-30 2019-05-28 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
CN104749899B (zh) * 2013-12-30 2017-02-01 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法
WO2015101461A2 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
CN103744265B (zh) * 2014-01-29 2016-09-07 上海华力微电子有限公司 改善工艺窗口的光学临近修正方法
CN105992975B (zh) 2014-02-11 2018-06-01 Asml荷兰有限公司 用于计算任意图案的随机变化的模型
US10073357B2 (en) 2014-02-21 2018-09-11 Asml Netherlands B.V. Measuring a process parameter for a manufacturing process involving lithography
KR102467186B1 (ko) 2014-03-10 2022-11-14 노바 엘티디. 패턴화된 구조물에서 측정을 위한 테스트 구조물을 사용하는 테스트 구조물 및 계측 기술
US10386727B2 (en) 2014-03-18 2019-08-20 Asml Netherlands B.V. Pattern placement error aware optimization
CN103885282B (zh) * 2014-03-20 2017-11-03 上海华力微电子有限公司 一种减少opc修正后验证误报错的方法
KR102006321B1 (ko) 2014-04-14 2019-08-01 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정들에 대한 최적화의 흐름들
KR101901729B1 (ko) 2014-05-02 2018-09-28 에이에스엠엘 네델란즈 비.브이. 조밀 피처들의 핫스폿들의 감소
WO2015189026A2 (en) * 2014-06-10 2015-12-17 Asml Netherlands B.V. Computational wafer inspection
US9552964B2 (en) 2014-06-20 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with a pattern density-outlier-treatment for optimized pattern density uniformity
US10191366B2 (en) 2014-06-25 2019-01-29 Asml Netherlands B.V. Etch variation tolerant optimization
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
KR101986258B1 (ko) 2014-08-29 2019-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 타겟 및 기판
US9678435B1 (en) * 2014-09-22 2017-06-13 Mentor Graphics, A Siemens Business Horizontal development bias in negative tone development of photoresist
US10331039B2 (en) 2014-10-02 2019-06-25 Asml Netherlands B.V. Rule-based deployment of assist features
KR102355347B1 (ko) 2014-11-26 2022-01-24 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
WO2016096309A1 (en) 2014-12-15 2016-06-23 Asml Netherlands B.V. Optimization based on machine learning
CN107111244A (zh) 2014-12-17 2017-08-29 Asml荷兰有限公司 使用图案形成装置形貌引入的相位的方法和设备
US10372043B2 (en) 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
CN107111240A (zh) 2014-12-17 2017-08-29 Asml荷兰有限公司 使用图案形成装置形貌引入的相位的方法和设备
CN107111243A (zh) 2014-12-17 2017-08-29 Asml荷兰有限公司 使用图案形成装置形貌引入的相位的方法和设备
WO2016096333A1 (en) 2014-12-18 2016-06-23 Asml Netherlands B.V. A lithography model for 3d features
TWI620980B (zh) 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
WO2016146355A1 (en) 2015-03-16 2016-09-22 Asml Netherlands B.V. Methods for determining resist deformation
US11506984B2 (en) 2015-05-29 2022-11-22 Asml Netherlands B.V. Simulation of lithography using multiple-sampling of angular distribution of source radiation
WO2016202546A1 (en) 2015-06-16 2016-12-22 Asml Netherlands B.V. Methods for defect validation
KR102441582B1 (ko) 2015-07-23 2022-09-07 삼성전자주식회사 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
CN104977816B (zh) * 2015-08-05 2018-01-23 哈尔滨工业大学 基于Compact Particle Swarm Optimization算法的光刻机掩模台微动台的机械参数软测量方法
CN105068383B (zh) * 2015-08-05 2017-04-05 哈尔滨工业大学 一种微动台机械参数误差辨识方法
NL2017466A (en) 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
DE102015218917B4 (de) * 2015-09-30 2020-06-25 Carl Zeiss Smt Gmbh Verfahren zur Ermittlung einer Position eines Strukturelements auf einer Maske und Mikroskop zur Durchführung des Verfahrens
US10754256B2 (en) 2015-10-08 2020-08-25 Asml Netherlands B.V. Method and apparatus for pattern correction and verification
WO2017067756A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
KR102059018B1 (ko) 2015-10-19 2019-12-24 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 오차를 보정하는 장치 및 방법
WO2017067752A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US11036146B2 (en) * 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
WO2017067765A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
CN105446883B (zh) * 2015-12-08 2018-05-08 中国航空工业集团公司西安航空计算技术研究所 一种基于数据配置工具的模型验证分析方法
WO2017102321A1 (en) 2015-12-14 2017-06-22 Cymer, Llc Optimization of source and bandwidth for new and existing patterning devices
WO2017102336A1 (en) 2015-12-18 2017-06-22 Asml Netherlands B.V. Improvements in gauge pattern selection
KR102444706B1 (ko) 2015-12-22 2022-09-19 에이에스엠엘 네델란즈 비.브이. 프로세스-윈도우 특성화를 위한 장치 및 방법
NL2017949A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology method, target and substrate
WO2017108444A1 (en) 2015-12-24 2017-06-29 Asml Netherlands B.V. Method and apparatus for inspection
KR102190292B1 (ko) 2015-12-31 2020-12-14 에이에스엠엘 네델란즈 비.브이. 패터닝 공정들을 위한 측정 위치들의 선택
US20170199511A1 (en) * 2016-01-12 2017-07-13 Globalfoundries Inc. Signal detection metholodogy for fabrication control
US9792393B2 (en) * 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US11112700B2 (en) 2016-03-24 2021-09-07 Asml Netherlands B.V. Optimization of a lithographic projection apparatus accounting for an interlayer characteristic
WO2017178276A1 (en) 2016-04-14 2017-10-19 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
KR102188014B1 (ko) 2016-05-12 2020-12-08 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의한 결함 또는 핫스폿의 식별
KR102189871B1 (ko) 2016-05-12 2020-12-14 에이에스엠엘 네델란즈 비.브이. 변위 기반 오버레이 또는 정렬
WO2017198422A1 (en) 2016-05-17 2017-11-23 Asml Netherlands B.V. Metrology robustness based on through-wavelength similarity
US10896282B2 (en) 2016-07-12 2021-01-19 Asml Netherlands B.V. Visualization performance metrics of computational analyses of design layouts
CN113552779A (zh) 2016-07-15 2021-10-26 Asml荷兰有限公司 用于量测目标场的设计的方法和设备
WO2018015181A1 (en) 2016-07-22 2018-01-25 Asml Netherlands B.V. Method of predicting patterning defects caused by overlay error
US9934564B2 (en) * 2016-08-04 2018-04-03 The Boeing Company Methods and systems to analyze optical images for quantification of manufacturing part quality
WO2018033363A1 (en) 2016-08-19 2018-02-22 Asml Netherlands B.V. Modeling post-exposure processes
EP3291007A1 (en) 2016-08-30 2018-03-07 ASML Netherlands B.V. Patterning stack optimization
WO2018050432A1 (en) 2016-09-13 2018-03-22 Asml Netherlands B.V. Optimization of a lithography apparatus or patterning process based on selected aberration
DE102016218977B4 (de) * 2016-09-30 2020-11-12 Carl Zeiss Smt Gmbh Verfahren zur Ermittlung eines OPC-Modells
US11681849B2 (en) 2016-10-24 2023-06-20 Asml Netherlands B.V. Method for optimizing a patterning device pattern
US10671786B2 (en) * 2016-11-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask by taking into account of mask pattern edge interaction
US11176307B2 (en) 2016-12-01 2021-11-16 Asml Netherlands B.V. Method and system for pattern configuration
CN110050230B (zh) 2016-12-02 2021-06-11 Asml荷兰有限公司 用于估计随机变量的模型
US10908515B2 (en) 2016-12-23 2021-02-02 Asml Netherlands B.V. Method and apparatus for pattern fidelity control
KR102440202B1 (ko) 2016-12-28 2022-09-05 에이에스엠엘 네델란즈 비.브이. 메트롤로지 이미지와 디자인 사이의 시뮬레이션-지원 정렬
WO2018121987A1 (en) * 2016-12-28 2018-07-05 Asml Holding N.V. Metrology tool and method of using the same
KR102370271B1 (ko) 2016-12-28 2022-03-04 에이에스엠엘 네델란즈 비.브이. 제조 공정 시 공정 모델들의 안내 및 검사 방법들
WO2018125219A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Systems, methods, and apparatuses for implementing geometric kernel based machine learning for reducing opc model error
US11614690B2 (en) 2017-01-26 2023-03-28 Asml Netherlands B.V. Methods of tuning process models
EP3358413A1 (en) * 2017-02-02 2018-08-08 ASML Netherlands B.V. Metrology method, apparatus and computer program
US10861755B2 (en) * 2017-02-08 2020-12-08 Verity Instruments, Inc. System and method for measurement of complex structures
KR102449586B1 (ko) 2017-02-24 2022-10-04 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
KR102380916B1 (ko) 2017-05-12 2022-04-01 에이에스엠엘 네델란즈 비.브이. 레지스트 현상을 평가하는 방법들
CN108931883B (zh) * 2017-05-17 2022-06-21 东方晶源微电子科技(北京)有限公司 一种优化掩模版图的方法
US10599046B2 (en) * 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
KR102349124B1 (ko) * 2017-06-06 2022-01-10 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 장치
KR102488912B1 (ko) 2017-07-12 2023-01-17 에이에스엠엘 네델란즈 비.브이. 결함 예측
EP3441819A1 (en) 2017-08-07 2019-02-13 ASML Netherlands B.V. Computational metrology
US11067902B2 (en) 2017-08-07 2021-07-20 Asml Netherlands B.V. Computational metrology
EP3462240A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
JP7438105B2 (ja) 2017-09-27 2024-02-26 エーエスエムエル ネザーランズ ビー.ブイ. デバイス製造方法の制御パラメータを決定する方法、コンピュータプログラム、および、基板にデバイスを製造するためのシステム
US10495967B2 (en) * 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
CN111164729B (zh) 2017-09-29 2023-04-11 Asml荷兰有限公司 带电粒子束检查的样品检查选配方案的动态确定的方法
US10386726B2 (en) * 2017-09-29 2019-08-20 Globalfoundries Inc. Geometry vectorization for mask process correction
WO2019072703A1 (en) 2017-10-11 2019-04-18 Asml Netherlands B.V. FLOW OF OPTIMIZATION OF PROCESS OF FORMATION OF REASONS
US10671052B2 (en) 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Synchronized parallel tile computation for large area lithography simulation
EP3492983A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Systems and methods for predicting layer deformation
WO2019110403A1 (en) 2017-12-04 2019-06-13 Asml Netherlands B.V. Systems and methods for predicting layer deformation
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
JP2021508078A (ja) 2017-12-19 2021-02-25 エーエスエムエル ネザーランズ ビー.ブイ. 計算メトロロジに基づく補正および制御
WO2019121486A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
CN116482939A (zh) 2017-12-22 2023-07-25 Asml荷兰有限公司 涉及光学像差的图案化过程改进
CN111492317B (zh) 2017-12-22 2023-01-10 Asml荷兰有限公司 用于减少抗蚀剂模型预测误差的系统和方法
WO2019145092A1 (en) 2018-01-24 2019-08-01 Asml Netherlands B.V. Computational metrology based sampling scheme
WO2019145278A1 (en) 2018-01-26 2019-08-01 Asml Netherlands B.V. Pre-scan feature determination methods and systems
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
WO2019162280A1 (en) 2018-02-23 2019-08-29 Asml Netherlands B.V. Guided patterning device inspection
EP3531206A1 (en) 2018-02-23 2019-08-28 ASML Netherlands B.V. Systems and methods for improving resist model predictions
US11379970B2 (en) 2018-02-23 2022-07-05 Asml Netherlands B.V. Deep learning for semantic segmentation of pattern
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
WO2019233711A1 (en) 2018-06-04 2019-12-12 Asml Netherlands B.V. Method for improving a process model for a patterning process
KR20210010897A (ko) 2018-06-15 2021-01-28 에이에스엠엘 네델란즈 비.브이. 기계 학습 기반 역 광 근접 보정 및 공정 모델 캘리브레이션
KR102529085B1 (ko) 2018-06-25 2023-05-08 에이에스엠엘 네델란즈 비.브이. 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
US10867112B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
CN112424694B (zh) 2018-07-12 2023-10-20 Asml荷兰有限公司 利用图案识别以自动地改良sem轮廓测量准确度和稳定性
WO2020020759A1 (en) 2018-07-26 2020-01-30 Asml Netherlands B.V. Method for determining an etch profile of a layer of a wafer for a simulation system
KR20240005965A (ko) 2018-08-15 2024-01-12 에이에스엠엘 네델란즈 비.브이. 원시 이미지들로부터 고품질 평균 sem 이미지들의 자동 선택 시 기계 학습 활용
CN108919601A (zh) * 2018-08-23 2018-11-30 中国科学院微电子研究所 一种掩模参数的优化方法及装置
EP3614194A1 (en) 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
KR102585099B1 (ko) 2018-08-31 2023-10-06 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 장치
TW202020577A (zh) 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
KR102585137B1 (ko) 2018-10-17 2023-10-06 에이에스엠엘 네델란즈 비.브이. 특성 패턴을 생성하고 기계 학습 모델을 트레이닝하는 방법들
KR102655261B1 (ko) 2018-10-19 2024-04-08 에이에스엠엘 네델란즈 비.브이. 소스 및 마스크 최적화로 이상적인 소스 스펙트럼들을 생성하는 방법
US20210405539A1 (en) 2018-11-05 2021-12-30 Asml Holding N.V. A method to manufacture nano ridges in hard ceramic coatings
WO2020094389A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
EP3650940A1 (en) 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
KR20230167453A (ko) 2018-11-30 2023-12-08 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
JP7209835B2 (ja) 2018-11-30 2023-01-20 エーエスエムエル ネザーランズ ビー.ブイ. 機械学習モデル予測における不確実性を減少させる方法
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
US20220028052A1 (en) 2018-12-14 2022-01-27 Asml Netherlands B.V. Apparatus and method for grouping image patterns to determine wafer behavior in a patterning process
WO2020135946A1 (en) 2018-12-28 2020-07-02 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
CN113227907A (zh) 2018-12-28 2021-08-06 Asml荷兰有限公司 基于来自经印刷的衬底的测量反馈确定图案分级
US11561478B2 (en) 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
CN113302557A (zh) 2018-12-31 2021-08-24 Asml荷兰有限公司 用于预测抗蚀剂变形的方法
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
US10996572B2 (en) * 2019-02-15 2021-05-04 Applied Materials, Inc. Model based dynamic positional correction for digital lithography tools
NL2024815A (en) 2019-02-19 2020-08-27 Asml Holding Nv Laser roughening: engineering the roughness of the burl top
WO2020169355A1 (en) 2019-02-20 2020-08-27 Asml Netherlands B.V. A method for characterizing a manufacturing process of semiconductor devices
WO2020169303A1 (en) 2019-02-21 2020-08-27 Asml Netherlands B.V. Method for training machine learning model to determine optical proximity correction for mask
WO2020173654A1 (en) 2019-02-25 2020-09-03 Asml Netherlands B.V. Method for determining stochastic variation of printed patterns
KR102642972B1 (ko) 2019-02-27 2024-03-04 에이에스엠엘 네델란즈 비.브이. 모델 캘리브레이션을 위한 게이지 선택의 향상
WO2020177979A1 (en) 2019-03-03 2020-09-10 Asml Netherlands B.V. Method and apparatus for imaging using narrowed bandwidth
WO2020182440A1 (en) 2019-03-08 2020-09-17 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
KR20210130784A (ko) 2019-03-25 2021-11-01 에이에스엠엘 네델란즈 비.브이. 패터닝 공정에서 패턴을 결정하는 방법
US11994848B2 (en) 2019-04-09 2024-05-28 Asml Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
US10990019B2 (en) * 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
WO2020212107A1 (en) 2019-04-15 2020-10-22 Asml Netherlands B.V. Method for determining corrections to features of a mask
WO2020216572A1 (en) 2019-04-25 2020-10-29 Asml Netherlands B.V. Method of determining characteristic of patterning process based on defect for reducing hotspot
MY197343A (en) * 2019-04-29 2023-06-14 Mi Equipment M Sdn Bhd A method for inspecting a skeleton wafer
US20220236645A1 (en) 2019-04-30 2022-07-28 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
CN118210204A (zh) 2019-05-21 2024-06-18 Asml荷兰有限公司 用于确定与期望图案相关联的随机变化的方法
CN114096917B (zh) 2019-07-10 2024-04-16 Asml荷兰有限公司 用于减小模型预测不确定性的模型校准的预测数据选择
KR20210008678A (ko) 2019-07-15 2021-01-25 삼성전자주식회사 포토 마스크의 제조 방법 및 반도체 장치의 제조 방법
WO2021023602A1 (en) 2019-08-08 2021-02-11 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
US20220284344A1 (en) 2019-08-13 2022-09-08 Asml Netherlands B.V. Method for training machine learning model for improving patterning process
WO2021032448A1 (en) 2019-08-20 2021-02-25 Asml Netherlands B.V. Methods for improving process based contour information of structure in image
CN112433443A (zh) * 2019-08-26 2021-03-02 上海凸版光掩模有限公司 适用于jbx光刻机的图案修正方法、装置、介质、及系统
KR20220038167A (ko) 2019-08-30 2022-03-25 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스 지오메트리 방법 및 시스템
WO2021043596A1 (en) 2019-09-03 2021-03-11 Asml Netherlands B.V. Method for determining aberration sensitivity of patterns
KR20220053029A (ko) 2019-09-05 2022-04-28 에이에스엠엘 네델란즈 비.브이. 현상 후 이미지에 기초하여 패턴의 결함이 있음을 결정하는 방법
EP3789826A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. Method for determining defectiveness of pattern based on after development image
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
WO2021043551A1 (en) 2019-09-06 2021-03-11 Asml Netherlands B.V. Method for increasing certainty in parameterized model predictions
WO2021052712A1 (en) 2019-09-16 2021-03-25 Asml Netherlands B.V. Methods for generating characteristic pattern and training machine learning model
EP3822703A1 (en) 2019-11-18 2021-05-19 ASML Netherlands B.V. Method for determining a field-of-view setting
US20230023153A1 (en) 2019-10-08 2023-01-26 Asml Netherlands B.V Method for determining a field-of-view setting
WO2021078460A1 (en) 2019-10-24 2021-04-29 Asml Netherlands B.V. Method for rule-based retargeting of target pattern
CN114787713A (zh) 2019-11-01 2022-07-22 Asml荷兰有限公司 用于模型基础对准的基于机器学习的图像产生
KR20220092598A (ko) 2019-11-04 2022-07-01 시놉시스, 인크. 리소그래피 마스크들의 보정에서의 마스크 제조 모델들의 사용
WO2021091838A1 (en) * 2019-11-04 2021-05-14 Synopsys, Inc. Using mask fabrication models in correction of lithographic masks
WO2021110343A1 (en) 2019-12-02 2021-06-10 Cymer Inc. Method and system for enhancing target features of a pattern imaged onto a substrate
US20230044490A1 (en) 2019-12-13 2023-02-09 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
WO2021160522A1 (en) 2020-02-12 2021-08-19 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
DE102020104167B4 (de) * 2020-02-18 2023-01-26 Carl Zeiss Smt Gmbh Verfahren zur Vermessung von Photomasken
US20230076218A1 (en) 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
WO2021175570A1 (en) 2020-03-03 2021-09-10 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
EP3910418A1 (en) 2020-05-14 2021-11-17 ASML Netherlands B.V. Method for direct decomposition of stochastic contributors
CN115605811A (zh) 2020-05-14 2023-01-13 Asml荷兰有限公司(Nl) 用于预测随机贡献方的方法
KR20230005381A (ko) 2020-06-03 2023-01-09 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 및 이에 대한 패턴을 생성하는 시스템, 제품, 및 방법
WO2021249720A1 (en) 2020-06-10 2021-12-16 Asml Netherlands B.V. Aberration impact systems, models, and manufacturing processes
TWI838628B (zh) 2020-06-24 2024-04-11 荷蘭商Asml荷蘭公司 用於判定輔助特徵之列印機率之系統、方法和產品及其應用
WO2022002599A1 (en) 2020-07-03 2022-01-06 Asml Netherlands B.V. Process window based on failure rate
WO2022017705A1 (en) 2020-07-22 2022-01-27 Asml Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3945367A1 (en) 2020-07-31 2022-02-02 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
US20230273528A1 (en) 2020-08-19 2023-08-31 Asml Netherlands B.V. Systems, products, and methods for image-based pattern selection
US20230298158A1 (en) 2020-08-19 2023-09-21 Asml Netherlands B.V. Apparatus and method for selecting high quality images from raw images automatically
US11704471B2 (en) * 2020-09-16 2023-07-18 Synopsys, Inc. Three-dimensional mask simulations based on feature images
WO2022064016A1 (en) 2020-09-25 2022-03-31 Asml Netherlands B.V. Optimization of scanner throughput and imaging quality for a patterning process
US20230401727A1 (en) 2020-11-20 2023-12-14 Asml Netherlands B.V. Simulation-assisted metrology image alignment
WO2022128500A1 (en) 2020-12-18 2022-06-23 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
US20240037897A1 (en) 2020-12-21 2024-02-01 Asml Netherlands B.V. Feature extraction method for extracting feature vectors for identifying pattern objects
US20240104284A1 (en) 2020-12-21 2024-03-28 Asml Netherlands B.V. Feature based cell extraction for pattern regions
EP4020085A1 (en) 2020-12-22 2022-06-29 ASML Netherlands B.V. Machine learning based image generation of after-development or after-etch images
WO2022135953A1 (en) 2020-12-23 2022-06-30 Asml Netherlands B.V. Optimization of lithographic process based on bandwidth and speckle
WO2022179802A1 (en) 2021-02-23 2022-09-01 Asml Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
WO2022184578A1 (en) 2021-03-03 2022-09-09 Asml Netherlands B.V. Configuration of patterning process
KR20230154852A (ko) 2021-03-08 2023-11-09 에이에스엠엘 네델란즈 비.브이. 반도체 제조 관련 프로세스의 패턴 선택 방법
WO2022248217A1 (en) 2021-05-25 2022-12-01 Asml Netherlands B.V. Determining mask rule check violations and mask design
WO2022258398A1 (en) 2021-06-07 2022-12-15 Asml Netherlands B.V. Determining rounded contours for lithography related patterns
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
WO2023280511A1 (en) 2021-07-06 2023-01-12 Asml Netherlands B.V. Determining localized image prediction errors to improve a machine learning model in predicting an image
WO2023001459A1 (en) 2021-07-21 2023-01-26 Asml Netherlands B.V. Systems and methods for thermally stable mounting of optical columns
WO2023016752A1 (en) 2021-08-10 2023-02-16 Asml Netherlands B.V. Match the aberration sensitivity of the metrology mark and the device pattern
KR20240063109A (ko) 2021-09-02 2024-05-09 에이에스엠엘 네델란즈 비.브이. 선택된 패턴 세트를 평가하는 방법
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
CN118265950A (zh) 2021-11-19 2024-06-28 Asml荷兰有限公司 模拟模型稳定性确定方法
EP4194950A1 (en) 2021-12-08 2023-06-14 ASML Netherlands B.V. Systems and methods for reducing pattern shift in a lithographic apparatus
WO2023104504A1 (en) 2021-12-09 2023-06-15 Asml Netherlands B.V. Surrounding pattern and process aware metrology
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023110347A1 (en) 2021-12-16 2023-06-22 Asml Netherlands B.V. Systems and methods for optimizing lithographic design variables using image-based failure rate model
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
US20230296880A1 (en) * 2022-03-17 2023-09-21 Applied Materials, Inc. Resist modeling method for angled gratings
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024012800A1 (en) 2022-07-11 2024-01-18 Asml Netherlands B.V. Systems and methods for predicting post-etch stochastic variation
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024013273A1 (en) 2022-07-14 2024-01-18 Asml Netherlands B.V. Determining mask rule check violations and mask design based on local feature dimension
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024022854A1 (en) 2022-07-28 2024-02-01 Asml Netherlands B.V. Training a machine learning model to generate mrc and process aware mask pattern
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024037837A1 (en) 2022-08-18 2024-02-22 Asml Netherlands B.V. Suppressing specular reflection of mask absorber and on- resolution field stitching
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes
WO2024046691A1 (en) 2022-09-02 2024-03-07 Asml Netherlands B.V. Method for configuring a field of view of an inspection apparatus
WO2024088666A1 (en) 2022-10-26 2024-05-02 Asml Netherlands B.V. Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes
WO2024094385A1 (en) 2022-10-31 2024-05-10 Asml Netherlands B.V. Source optimization for mitigating mask error impact
WO2024110141A1 (en) 2022-11-22 2024-05-30 Asml Netherlands B.V. Curvilinear polygon recovery for opc mask design

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005500671A (ja) * 2001-03-20 2005-01-06 ニューメリカル テクノロジーズ インコーポレイテッド マスク欠陥のプリンタビリティ解析を提供するシステム及び方法
US20050076322A1 (en) * 2003-10-07 2005-04-07 Jun Ye System and method for lithography simulation
JP2005123435A (ja) * 2003-10-17 2005-05-12 Toshiba Corp 露光装置補正システム、露光装置補正方法及び半導体装置製造方法
WO2005073807A1 (en) * 2004-01-29 2005-08-11 Kla-Tencor Technologies Corporation Computer-implemented methods for detecting defects in reticle design data

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6516085B1 (en) 1999-05-03 2003-02-04 Kla-Tencor Apparatus and methods for collecting global data during a reticle inspection
JP2002323749A (ja) * 2001-04-25 2002-11-08 Dainippon Printing Co Ltd フォトマスクの欠陥部ないし修正後の欠陥部の判定方法
JP2003302743A (ja) 2002-04-12 2003-10-24 Dainippon Printing Co Ltd フォトマスクの検査方法
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
JP2006502422A (ja) * 2002-07-12 2006-01-19 ケイデンス デザイン システムズ インコーポレイテッド コンテクスト特定型のマスク検査のための方法及びシステム
JP2004163472A (ja) * 2002-11-08 2004-06-10 Sony Corp フォトマスクの設計方法、フォトマスク、及び半導体装置
US20040225488A1 (en) * 2003-05-05 2004-11-11 Wen-Chuan Wang System and method for examining mask pattern fidelity
US6934930B2 (en) * 2003-07-08 2005-08-23 Texas Instruments Incorporated Generating an optical model for lens aberrations
US7914949B2 (en) 2005-02-24 2011-03-29 International Business Machines Corporation Method for testing a photomask
JP2006235327A (ja) 2005-02-25 2006-09-07 Toshiba Corp マスクパターンデータ・マスク検査データ作成方法、及びフォトマスクの製造・検査方法
KR100958714B1 (ko) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
JP2007233164A (ja) 2006-03-02 2007-09-13 Toshiba Corp フォトマスクの作成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005500671A (ja) * 2001-03-20 2005-01-06 ニューメリカル テクノロジーズ インコーポレイテッド マスク欠陥のプリンタビリティ解析を提供するシステム及び方法
US20050076322A1 (en) * 2003-10-07 2005-04-07 Jun Ye System and method for lithography simulation
JP2005123435A (ja) * 2003-10-17 2005-05-12 Toshiba Corp 露光装置補正システム、露光装置補正方法及び半導体装置製造方法
WO2005073807A1 (en) * 2004-01-29 2005-08-11 Kla-Tencor Technologies Corporation Computer-implemented methods for detecting defects in reticle design data

Also Published As

Publication number Publication date
US20070061772A1 (en) 2007-03-15
WO2007030704A3 (en) 2007-05-10
CN101305320A (zh) 2008-11-12
CN101305320B (zh) 2012-07-04
US20070061773A1 (en) 2007-03-15
CN102662309A (zh) 2012-09-12
KR100982135B1 (ko) 2010-09-14
CN102662309B (zh) 2014-10-01
JP4954211B2 (ja) 2012-06-13
EP1941321A2 (en) 2008-07-09
US7587704B2 (en) 2009-09-08
US7617477B2 (en) 2009-11-10
WO2007030704A2 (en) 2007-03-15
JP2009508167A (ja) 2009-02-26
JP5334956B2 (ja) 2013-11-06
KR20080064830A (ko) 2008-07-09

Similar Documents

Publication Publication Date Title
JP5334956B2 (ja) 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
JP7262423B2 (ja) ウエハレベル欠陥の転写性を予測する装置および方法
TWI721496B (zh) 用於處理度量衡資料之方法及電腦程式產品
US10754256B2 (en) Method and apparatus for pattern correction and verification
US7853920B2 (en) Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
JP6594876B2 (ja) フォトリソグラフィレチクル認定方法及びシステム
US5965306A (en) Method of determining the printability of photomask defects
JP7440580B2 (ja) レチクルを検査する装置および方法
JP5436487B2 (ja) フォトリソグラフィマスクの検出方法
US10663870B2 (en) Gauge pattern selection
JP2016103041A (ja) Euvフォトマスクの欠陥を解析かつ除去する方法及び装置
KR100725170B1 (ko) 포토마스크의 제작을 위한 시스템 및 방법
US7966580B2 (en) Process-model generation method, computer program product, and pattern correction method
Wu et al. Lithography process calibration with applications in defect printability analysis
Sundermann et al. Model-based mask verification on critical 45nm logic masks

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120903

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130702

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130730

R150 Certificate of patent or registration of utility model

Ref document number: 5334956

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250