DE102011078927B4 - Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske - Google Patents

Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske Download PDF

Info

Publication number
DE102011078927B4
DE102011078927B4 DE102011078927.8A DE102011078927A DE102011078927B4 DE 102011078927 B4 DE102011078927 B4 DE 102011078927B4 DE 102011078927 A DE102011078927 A DE 102011078927A DE 102011078927 B4 DE102011078927 B4 DE 102011078927B4
Authority
DE
Germany
Prior art keywords
photolithographic mask
laser beam
mask
placement
errors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102011078927.8A
Other languages
English (en)
Other versions
DE102011078927A1 (de
Inventor
Vladimir Dmitriev
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMS Ltd
Original Assignee
Carl Zeiss SMS Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMS Ltd filed Critical Carl Zeiss SMS Ltd
Publication of DE102011078927A1 publication Critical patent/DE102011078927A1/de
Application granted granted Critical
Publication of DE102011078927B4 publication Critical patent/DE102011078927B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Ein Verfahren zum Korrigieren einer Mehrzahl von Fehlern einer photolithographischen Maske (100, 200, 310), das Verfahren umfassend:a. Optimieren erster Parameter einer Abbildungstransformation der photolithographischen Maske und zweiter Parameter eines Laserstrahls (335), der lokal auf die photolithographische Maske gerichtet wird; undb. Korrigieren der Mehrzahl von Fehlern durch Anwenden einer Abbildungstransformation unter Verwendung optimierter erster Parameter und lokales Richten des Laserstrahls auf die photolithographische Maske unter Verwendung optimierter zweiter Parameter;c. wobei die ersten und die zweiten Parameter gleichzeitig in einem kombinierten Optimierungsprozess optimiert werden.

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich auf das Gebiet des Korrigierens von Fehlern einer photolithographischen Maske.
  • Hintergrund der Erfindung
  • Als Ergebnis der stetig wachsenden Integrationsdichte in der Halbleiterindustrie müssen photolithographische Masken immer kleinere Strukturen auf eine lichtempfindliche Schicht, das heißt einen Photolack, auf Wafern abbilden. Um diese Anforderung zu erfüllen, ist die Belichtungswellenlänge photolithographischer Masken von dem nahen Ultraviolett über das mittlere Ultraviolett in den fernen ultravioletten Bereich des elektromagnetischen Spektrums verschoben worden. Gegenwärtig wird normalerweise eine Wellenlänge von 193 nm für das Belichten des Photolacks auf Wafern verwendet. Als eine Folge wird das Herstellen von photolithographischen Masken mit zunehmender Auflösung immer komplexer und daher auch immer teurer. In Zukunft werden photolithographische Masken wesentlich kürzere Wellenlängen in dem extremen Ultraviolett-(EUV) Wellenlängenbereich des elektromagnetischen Spektrums benutzen (ungefähr 13,5 nm).
  • Photolithographische Masken müssen höchste Anforderungen in Bezug auf Transmissionshomogenität, Ebenheit, Reinheit und Temperaturstabilität erfüllen. Für zukünftige EUV photolithographische Masken ist die tolerierbare Abweichung ihrer Substrate von der Ebenheit nur ein Bruchteil einer Wellenlänge der Belichtungswellenlänge, damit die Phasenfront der elektromagnetischen Welle, die von einer Mehrschichtstruktur auf einer Oberfläche des Substrats reflektiert wird, nicht gestört wird. Größere Abweichungen der Ebenheit des Substrats der photolithographischen Maske können zu Variationen der optischen Intensitätsverteilung in dem Photolack infolge einer konstruktiven oder einer destruktiven Addition der Wellenfront in dem Photolack führen. Bei der weiteren Verarbeitung des Wafers können die Variationen der optischen Intensität zum Herstellen fehlerhafter Halbleiterbauelemente führen. Eine Abnahme der Belichtungswellenlänge verschärft dieses Problem. Das Substrat, wie es von dem Hersteller geliefert wird, kann selbst diese Ebenheitsbedingung für EUV photolithographische Masken nicht erfüllen und der Herstellungsprozess einer Maske, der feine Muster auf einer Oberfläche bildet, kann die Ebenheit des Substrats sogar noch verschlechtern.
  • Für transmissive photolithographische Masken ist die Homogenität der optischen Transmission über die Maskenfläche hinweg ein wichtiger Parameter. Eine Variation der optischen Transmission über die Fläche der photolithographischen Maske führt zu einer entsprechenden Variation der lokalen optischen Dosis, die auf den auf dem Wafer angeordneten Photolack angewendet wird. Die Variation der lokal angewendeten Dosis resultiert in einer Fluktuation oder einer Variation der Strukturabmessungen eines Musterelements in dem entwickelten Photolack. Die Gleichmäßigkeit eines Strukturelements über die Fläche der photolithographischen Maske hinweg wird kritische Abmessungsgleichmäßigkeit (CDU, critical dimension uniformity) genannt.
  • Darüber hinaus führt eine Krümmung des Substrats einer photolithographischen Maske auch zu Abbildungsfehlern der Maske. Die US 2007/0224522 A1 beschreibt ein Verfahren zum Verbessern der Ebenheit einer hergestellten photolithographischen Maske. Um eine Krümmung des Substrats einzustellen oder die Unebenheit des Substrats zu glätten, schlägt dieses Dokument das Bilden eines deformierten oder eines expandierten Teils in einem vorbestimmten Teil des Substrats vor, wobei das Substrat einen gekrümmten Bereich vor dem Bilden des expandierten Teils beinhaltet. Der expandierte Teil wird erzeugt, indem Femtosekunden-Laserpulse in diesen Bereich fokussiert werden, die lokal den Bindungszustand des Substrats modifizieren.
  • Die US 7 001 697 B2 liefert ein anderes Verfahren, um Intensitätsunterschiede oder optische Transmissionsfehler, die durch die photolithographische Maske in den Photolack auf einem Wafer eingeführt werden, zu eliminieren. Ein Beugungsmuster wird in die rückseitige Substratoberfläche geätzt, welches die Substratoberfläche ist, die der Oberfläche gegenüberliegt, die die Musterelemente trägt, um lokale Differenzen der optischen Intensität in dem Photolack zu kompensieren, die während einer einzelnen Belichtung der Maske induziert werden.
  • Die Dokumente US 7 241 539 B2 und US 2007/0065729 A1 offenbaren ein weiteres Verfahren, um optische Transmissions- oder Abbildungsfehler zu korrigieren, die durch eine photolithographische Maske oder durch optische Elemente eingeführt werden, die zum Belichten der Maske verwendet werden. Durch Erzeugen einer Anordnung von Abschattungselementen in dem Substrat der Maske, wiederum unter Verwendung von Femtosekunden-Laserpulsen, werden Beugungsfehler der Musterelemente ausgeglichen, sodass eine näherungsweise gleichmäßige Intensität der Muster bildenden Strahlung durch das Maskensubstrat transmittiert wird. Abstände, Größen und / oder Platzierungen der Abschattungselemente können empirisch durch Ausprobieren und / oder unter Verwendung der Simulation bestimmt werden.
  • Die Wirkung von Femtosekunden-Laserpulsen auf Quarz oder Quarzglas, die normalerwiese das Substratmaterial von photolithographischen Masken bilden, sind zum Beispiel von S. Oshemkov, V. Dmitriev, E. Zait und G. Gen-Zvi untersucht worden: „DUV attenuation structures in fused silica induced ultrashort laser radiation“, Proc. CLEOE-IQEC, Munich 2007.
  • Zusätzlich zu Fehlern, die durch Beugung an den Musterelementen eingeführt werden, können die Musterelemente, die die photolithographische Maske bilden, auch fehlerhaft sein. Die US 7 459 242 B2 offenbart ein Verfahren zum Reparieren einer photolithographischen Maske, die auch eine Fehlstelle in der Chromschicht aufweist, die die Musterelemente bildet. Durch Einführen eines beugenden optischen Elements oder eines Abschattungselements (DOE/SE, diffractive optical element/shadowing element) in das Substrat der photolithographischen Maske vor der Fehlstelle, werden die Streueigenschaften des Substrats an der Position des DOE/SE verändert, wodurch die Fehlstelle in der Chromschicht auf dem Substrat der photolithographischen Maske korrigiert wird.
  • Darüber hinaus können photolithographische Masken ferner Platzierungsfehler von Musterelementen aufweisen, das heißt einige der Musterelemente bilden die Musterparameter nicht genau an der vorbestimmten Position in dem Photolack ab. Die Auswirkungen von Platzierungsfehlern von Musterelementen in dem Photolack werden normalerweise verringert, indem eine lineare Abbildungstransformation der photolithographischen Maske bezüglich des Fokus des Abbildungsfeldes durchgeführt wird. Durch eine kleine Verschiebung der photolithographischen Maske in einer Ebene parallel zu dem Photolack kann der Gesamteffekt von Platzierungsfehlern verringert werden. Eine Rotation der Maske relativ zu dem Fokus der Abbildungsebene kann ferner die Summe von Platzierungsfehlern der Musterelemente auf dem Substrat der photolithographischen Maske verringern. Ferner ist eine weitere Möglichkeit zum Korrigieren von Platzierungsfehlern von Musterelementen das Durchführen einer Skalenkorrektur der Abbildung der Musterelemente der photolithographischen Maske in dem Photolack auf dem Wafer. Falls die Platzierungsfehler der Musterelemente nach einer linearen Abbildungstransformation der Maske noch immer zu groß sind, muss die Maske verworfen werden.
  • Das Dokument DE 10 2006 054 820 A1 geht noch einen Schritt weiter. Dieses Dokument schlägt vor, eine Anordnung von Dichtevariationen in einen Teil des Substrats der Maske in der Nähe der Platzierungsfehler einzuführen, um die entsprechenden Musterelemente zu verschieben. Diese Verschiebung der Musterelemente in eine Richtung, um Abbildungsfehler der photolithographischen Maske auf dem Photolack zu minimieren, verringert wiederum die Summe der gesamten Platzierungsfehler auf der Maske und erhöht damit die Ausbeute des Maskenherstellungsprozesses. Die lokalen Dichtevariationen in dem Maskensubstrat werden durch lokales und temporäres Aufschmelzen des Substratmaterials unter Verwendung eines Femtosekunden-Laserstrahls erzeugt. Dieser Prozess verringert lokal die Substratdichte in dem Bereich, in dem das Material temporär aufgeschmolzen worden ist. Ein Punkt, der lokal durch einen Laserstrahl geändert wurde, wird Pixel genannt. Die Form, die Dichte und die Konfiguration von Pixeln, die notwendig sind, um eine gewünschte Korrektur eines Platzierungsfehlers für ein Musterelement durchzuführen, wird experimentell durch Ausführen einer entsprechenden Belichtung von einer Vielzahl von Proben ausgeführt, auf denen Musterelemente angeordnet wurden. Die experimentellen Ergebnisse werden dann in einer Bibliothek gespeichert.
  • Die DE 10 2006 054 820 A1 beschreibt ein Verfahren, bei dem Platzierungsfehler von Musterelementen auf einem Substrat einer photolithographischen Maske in einem zweistufigen Prozess reduziert werden. In einem ersten Schritt wird eine lineare Abbildungstransformation durchgeführt. In einem zweiten Schritt werden die verbleibenden Platzierungsfehler weiter durch selektives Einführen einer Dichtevariation in das Substrat der Maske reduziert. Falls notwendig, kann diese Schleife wiederholt werden. Bei diesem Ansatz verbleibt jedoch noch immer ein Fehlerbetrag für eine photolithographische Maske, der in vielen Fällen nicht toleriert werden kann. Darüber hinaus muss für jedes Substratmaterial eine umfassende Bibliothek von korrigierenden Werkzeugen experimentell bestimmt werden, bevor Platzierungsfehler korrigiert werden können, die nicht durch eine lineare Abbildungstransformation der photolithographischen Maske korrigierbar sind.
  • Das Dokument US 2009 / 0 191 475 A1 beschreibt ein Verfahren zum Herstellen einer Fotomaske, das die Schritte umfasst. Bereitstellen einer Fotomaske; Belichten der Fotomaske zum Erhalten eines Luftbildes der Fotomaske; Bewerten der Fotomaske; und Ändern eines optischen Parameters der Fotomaske, der mit dem Luftbild gemäß dem Ergebnis der Bewertung assoziiert ist.
  • Es ist deshalb ein Ziel der vorliegenden Erfindung, ein Verfahren und eine Vorrichtung zum Korrigieren photolithographischer Masken anzugeben, sodass der Fehler, der nach der Fehlerkorrektur verbleibt, minimal ist, und dadurch die Ausbeute der hergestellten photolithographischen Masken zu vergrößern.
  • Zusammenfassung der Erfindung
  • Gemäß einem ersten Aspekt der Erfindung wird ein Verfahren nach Patentanspruch 1 bereitgestellt. In einer Ausführungsform umfasst ein Verfahren zum Korrigieren einer Mehrzahl von Fehlern einer photolithographischen Maske das Optimieren erster Parameter einer Abbildungstransformation der photolithographischen Maske und zweiter Parameter eines Laserstrahls, der lokal auf die photolithographische Maske gerichtet wird und das Korrigieren der Mehrzahl der Fehler durch Anwenden einer Abbildungstransformation unter Verwendung optimierter erster Parameter und das lokale Richten des Laserstrahls auf die photolithographische Maske unter Verwendung optimierter zweiter Parameter, wobei die ersten und die zweiten Parameter gleichzeitig in einem gemeinsamen Optimierungsprozess optimiert werden.
  • Die gleichzeitige Variation der Abbildungsparameter und der Laserstrahlparameter erweitert die Dimension des Variationsraums des Optimierungsprozesses verglichen mit der stufenweisen Optimierung gemäß dem Stand der Technik. Der erweiterte Lösungsraum in einem gemeinsamen Optimierungsprozess für die Abbildungsparameter und die Laserstrahlparameter resultiert in einer Verringerung des Gesamtfehlers photolithographischen Masken, der mit Optimierungsprozessen des Standes der Technik nicht erreichbar ist. Daher wird die Anwendung des definierten Fehlerkorrekturverfahrens die Ausbeute des Maskenherstellungsprozesses wesentlich erhöhen.
  • Gemäß einem weiteren Aspekt umfasst die vorliegende Erfindung das Aufstellen eines Zielfunktionals, das Fehlerdaten, Parameter einer ersten Abbildungstransformation und zweite Laserstrahlparameter umfasst, und das Minimieren des Zielfunktionals durch gleichzeitiges Variieren der ersten Abbildungstransformationsparameter und der zweiten Laserstrahlparameter. In noch einem weiteren Aspekt wird ein Lagrange Variationsprinzip zum Aufstellen des Zielfunktionals benutzt. In einem weiteren Aspekt minimiert das Zielfunktional eine potentielle Energieverteilung, die in die photolithographische Maske durch die ersten und die zweiten Parameter eingeführt wird. In noch einem weiteren Aspekt umfasst die Abbildungstransformation eine lineare Abbildungstransformation.
  • Es ist ein weiterer wichtiger Aspekt der vorliegenden Erfindung, eine allgemeine Beziehung zwischen den Laserstrahlparametern und dem Effekt aufzustellen, der in einem Substrat einer photolithographischen Maske mit einem Laserstrahl induziert wird, der diese Laserstrahlparameter aufweist. Das Aufstellen einer solchen Beziehung ermöglicht die Bestimmung der Laserstrahlparameter, die für die Korrektur eines speziellen Typs von Maskenfehlern notwendig ist. Darüber hinaus ermöglicht eine Beziehung zwischen den Laserstrahlparametern und den Effekten, die in einem Substrat einer photolithographischen Maske induziert werden, das Bestimmen optimierter Laserstrahlparameter, um den Laserstrahl lokal auf das Substrat einer Maske zu richten und gleichzeitig verschiedene Fehlertypen der photolithographischen Maske zu korrigieren, indem der Laserstrahl nur einmal auf die photolithographische Maske gerichtet wird oder in einem einzigen Prozess des Pixelschreibens durch den Laserstrahl.
  • In einem weiteren Aspekt umfasst die lineare Abbildungstransformation zwei Parameter für eine photolithographische Maskenverschiebung, zwei Parameter für eine photolithographische Maskenskalierung und zwei Parameter für eine verallgemeinerte Drehung der photolithographischen Maske.
  • Gemäß einem weiteren Aspekt umfassen die zweiten Laserstrahlparameter eine Energie des Laserstrahls und / oder eine numerische Apertur und / oder eine Brennpunktgröße und / oder eine Strahlpolarisation und / oder einen Astigmatismus und / oder eine Pulslänge und / oder eine Wiederholrate und / oder eine Anzahl von Pulsen, die auf eine Stelle der photolithographischen Maske gerichtet werden und / oder einen Abstand zwischen zwei Positionen, in denen der Laserstrahl auf die photolithographische Maske gerichtet wird.
  • In einem weiteren Aspekt modifiziert der Laserstrahl lokal eine Dichte und / oder eine optische Transmissionsverteilung der photolithographischen Maske. In einem Aspekt werden die lokal modifizierte Dichte und / oder die optische Transmissionsverteilung der photolithographischen Maske diskontinuierlich innerhalb einer Vielzahl von kleinen Volumina der photolithographischen Maske modifiziert, wobei jedes kleine Volumen Pixel genannt wird. In einem alternativen Aspekt werden die lokal modifizierte Dichte und / oder die optische Transmissionsverteilung der photolithographischen Maske kontinuierlich über die photolithographische Maske modifiziert.
  • Dies bedeutet, die Dichte des Substrats der photolithographischen Maske kann durch das lokale Einführen von Pixeln in das Maskensubstrat speziell modifiziert werden und die optische Transmissionsverteilung kann durch kontinuierliches Modifizieren der Maske unter Verwendung eines verschiedenen Satzes von Laserstrahlparametern getrennt modifiziert werden. Somit kann die Korrektur von Platzierungsfehlern und die Korrektur von Fehlern der optischen Transmissionsverteilung im Wesentlichen getrennt werden.
  • Gemäß einem weiteren Aspekt wird die Dichte und / oder die optische Transmissionsverteilung der photolithographischen Maske durch eine Druckverteilung modifiziert, die durch eine durch den Laserstrahl hervorgerufene Spannungsverteilung eingeführt wird, wobei die Druckverteilung und die Spannungsverteilung durch das Hookesche Gesetz verbunden sind.
  • In noch einem weiteren Aspekt ist eine Verschiebung eines Knotens eines rechteckigen Gitters, das sich über die photolithographische Maske erstreckt, eine Funktion der zweiten Laserstrahlparameter.
  • Gemäß einem weiteren Aspekt bestimmen die zweiten Laserstrahlparameter zumindest eine Schreibdichteamplitude und zumindest ein Deformationselement in einer Normalenrichtung senkrecht zu dem Laserstrahl, das durch den Laserstrahl in die photolithographische Maske eingeführt wird. In noch einem weiteren Aspekt führen die zumindest eine Schreibdichteamplitude a m α
    Figure DE102011078927B4_0001
    eines Modus m in einer Zelle α und das zumindest eine Deformationselement in zumindest einer Normalenrichtung l, t l m
    Figure DE102011078927B4_0002
    des Modus m in der Zelle α eine Verschiebung ξi des Knotens i ein, die gegeben ist durch ξ i = j k a l m ( P i j g ) 1 P j k α w a m α N k l t l m ,
    Figure DE102011078927B4_0003
    wobei der Tensor ( P i j g ) 1
    Figure DE102011078927B4_0004
    der inverse Tensor einer potentiellen Energieverteilung vor dem Korrigieren der Mehrzahl von Fehlern ist und P j k α w
    Figure DE102011078927B4_0005
    ein Element des Tensors ist, der die potentielle Energieverteilung nach dem Richten des Laserstrahls auf die photolithographische Maske ist, wobei beide Tensoren Materialparameter der photolithographischen Maske umfassen und Nkl ein Normalisierungstensor ist.
  • Diese Gleichung verbindet die zweiten Laserstrahlparameter des Laserstrahls mit ihrer Auswirkung auf die photolithographische Maske. Dies bedeutet, dass das erfindungsgemäße Verfahren Materialparameter der photolithographischen Maske und grundlegende physikalische Gesetze verwendet, um den Effekt von Laserpulsen eines Laserstrahls zu bestimmen, die in das Substratmaterial der photolithographischen Maske induziert werden.
  • In einem weiteren Aspekt ist die Schreibdichteamplitude des Laserstrahls unterhalb einer Schadensschwelle der photolithographischen Maske. Die Laserstrahlparameter müssen so ausgewählt werden, dass einerseits der Laserstrahl die Dichte und / oder die optische Transmissionsverteilung des Substrats der photolithographischen Maske lokal modifiziert, aber andererseits das Substrat der photolithographischen Maske nicht lokal schädigt.
  • Gemäß noch einem weiteren Aspekt wird die Verschiebung bei einer Position auf der photolithographischen Maske durch eine lineare Kombination der Verschiebungen aller Gitterknoten bestimmt.
  • In einem weiteren Aspekt umfassen die Fehlerdaten Daten, die die Positionen der Mehrzahl der Fehler angeben. Gemäß einem weiteren Aspekt werden die Fehlerdaten durch zumindest eine Messung der photolithographischen Maske bestimmt und / oder von zumindest einer Messung eines Wafers, der unter Verwendung der photolithographischen Maske belichtet wird, und / oder werden von dem Hersteller des Substrats der photolithographischen Maske bereitgestellt. In einem weiteren Aspekt wird ein Teil der Mehrzahl von Fehlern, die aus der Abbildungstransformation herrühren, aus zumindest einer Messung des Wafers bestimmt, der mit der photolithographischen Maske belichtet wird.
  • Gemäß einem weiteren Aspekt umfasst die photolithographische Maske eine Vielzahl von Musterelementen zumindest eines absorbierenden Materials, die auf der photolithographischen Maske angeordnet sind.
  • In einem weiteren Aspekt umfassen die Fehlerdaten Unterschiede zwischen bestimmten Positionen der Musterelemente der photolithographischen Maske und vorbestimmten Positionen der Musterelemente. Gemäß einem weiteren Aspekt umfassen die Fehlerdaten Unterschiede zwischen bestimmten Positionen von Musterelementen auf dem Wafer, der unter Verwendung der photolithographischen Maske belichtet wurde und vorbestimmten Positionen von Musterelementen auf dem Wafer. In einem alternativen Aspekt umfassen die Fehlerdaten Unterschiede zwischen einer bestimmten optischen Transmissionsverteilung der photolithographischen Maske und einer vorbestimmten optischen Transmissionsverteilung der photolithographischen Maske. In einem weiteren Aspekt umfassen die Fehlerdaten Unterschiede zwischen der bestimmten optischen Transmissionsverteilung der photolithographischen Maske und der vorbestimmten optischen Transmissionsverteilung der photolithographischen Maske und Unterschiede zwischen bestimmten Positionen von Musterelementen auf der photolithographischen Maske oder auf dem Wafer, der unter Verwendung der photolithographischen Maske belichtet wurde und vorbestimmten Positionen von Musterelementen auf der photolithographischen Maske oder auf dem Wafer, der unter Verwendung der photolithographischen Maske belichtet wurde.
  • In einem weiteren Aspekt umfassen die Unterschiede zwischen berechneten Positionen von Musterelementen auf dem Wafer, der unter Verwendung der photolithographischen Maske belichtet wurde und vorbestimmte Positionen von Musterelementen auf dem Wafer. Gemäß einem weiteren Aspekt werden die berechneten Positionen von Musterelementen aus dem lokalen Richten des Laserstrahls auf die photolithographische Maske bestimmt, um einen ersten Typ der Mehrzahl von Fehlern zu korrigieren. In noch einem weiteren Aspekt umfassen die Fehlerdaten Unterschiede zwischen einer berechneten optischen Transmissionsverteilung der photolithographischen Maske und einer vorbestimmten optischen Transmissionsverteilung der photolithographischen Maske. In einem weiteren Aspekt wird die berechnete optische Transmissionsverteilung aus dem lokalen Richten des Laserstrahls auf die photolithographische Maske bestimmt, um einen zweiten Typ der Mehrzahl von Fehlern zu korrigieren.
  • Zusätzlich zu dem Messen von Fehlern, können Fehler, die beim Korrigieren zum Beispiel von Platzierungsfehlern neu in das Substrat der photolithographischen Maske eingeführt werden, ebenfalls berechnet werden. Die berechneten Fehler können durch Richten des Laserstrahls auf das Substrat der photolithographischen Maske in einem zweiten Schreibprozess wiederum korrigiert werden.
  • In noch einem weiteren Aspekt wird das Zielfunktional als ein mittleres Quadrat verbleibender Verschiebungen unter Verwendung einer Tikhonov-Regularisation minimiert.
  • Gemäß einem weiteren Aspekt ist das Zielfunktional, welches eine Anzahl m von Schreibdichteamplituden a m α
    Figure DE102011078927B4_0006
    und die Deformationselemente in den Normalenrichtungen l, t l m
    Figure DE102011078927B4_0007
    des Modus m in der Zelle α aufweist, von der Form: min { i ( φ i + ς i + k T i k s k ) 2 + λ s k s k 2 + k λ m α a k m 2 } ,
    Figure DE102011078927B4_0008
    wobei φi Unterschiede zwischen bestimmten Positionen von Musterelementen der photolithographischen Maske und vorbestimmten Positionen der Musterelemente umfassen und wobei eine Verschiebung ςi an einer willkürlichen Position der photolithographischen Maske durch eine lineare Transformation der Verschiebungen an den Knoten ξi mit ς i = j M i j ξ j
    Figure DE102011078927B4_0009
    bestimmt wird, wobei Mij die Transformationsmatrix zwischen ςi und ξi ist und wobei ferner die Verbindung zwischen den Verschiebungen ξi an den Knoten i mit den Schreibdichteamplituden a m α
    Figure DE102011078927B4_0010
    und den Deformationselementen in den Normalenrichtunten t l m ,
    Figure DE102011078927B4_0011
    die durch den Laserstrahl eingeführt werden, auf der vorhergehenden Seite definiert sind, und wobei zusätzlich der dritte Term eine lineare Abbildungstransformation beschreibt, wobei der Vektor s = {mx,my,sx,sy,rx,ry} die linearen Abbildungskoeffizienten enthält und der Tensor Tik die linearen Abbildungskoeffizienten sk in Verschiebungen in der Ebene der Knoten ξi übersetzt und wobei schließlich die letzten zwei Summen die Terme der Tikhonov-Regularisation sind.
  • Gemäß einem günstigen Aspekt umfasst das Zielfunktional zumindest eine Gewichtsfunktion als eine Randbedingung in einer aktiven Fläche und / oder in einer nicht aktiven Fläche der photolithographischen Maske. In einem weiteren Aspekt präferiert die zumindest eine Gewichtsfunktion das lokale Richten des Laserstrahls in der Nähe des Zentrums der aktiven Fläche der photolithographischen Maske. In einem weiteren Aspekt begrenzt die zumindest eine Gewichtsfunktion das Richten des Lasers auf die Flächen mit Musterelementen. In noch einem weiteren Aspekt begrenzt die zumindest eine Gewichtsfunktion das Richten des Laserstrahls um die Flächen der Musterelemente. Gemäß noch einem weiteren Aspekt nimmt die Dichte von Pixeln mit zunehmendem Abstand von den Musterelementen ab. In einem weiteren Aspekt gleicht die zumindest eine Gewichtsfunktion die Korrektur von verschiedenen Fehlertypen aus, wenn der Laserstrahl lokal auf die photolithographische Maske gerichtet wird. Gemäß einem weiteren günstigen Effekt gleicht die zumindest eine Gewichtsfunktion Fehler aus, die durch das lokale Richten des Laserstrahls auf eine erste Fläche der photolithographischen Maske eingeführt wurden, durch lokales Richten der Laserstrahlparameter auf eine zweite Fläche der photolithographischen Maske.
  • In einem weiteren Aspekt umfasst die Mehrzahl von Fehlern verschiedene Typen der Mehrzahl von Fehlern. Gemäß einem weiteren Aspekt umfassen die verschiedenen Typen der Mehrzahl von Fehlern Platzierungsfehler und / oder optische Transmissionsverteilungsfehler und / oder Ebenheitsfehler. In einem weiteren Aspekt werden die Pixel und / oder die kontinuierlich modifizierte Dichte in zumindest einer einzigen Schicht in der Dicke des Substrats der photolithographischen Maske angeordnet. Gemäß einem günstigen Aspekt werden die Pixel und / oder die kontinuierlich modifizierte Dichte in zumindest zwei Schichten in der Dicke des Substrats der photolithographischen Maske angeordnet. In noch einem weiteren Aspekt werden die Pixel, die in den zumindest zwei Schichten angeordnet werden, mit zwei verschiedenen Laserstrahlparametern erzeugt. In einem weiteren Aspekt werden Fehler, die, beim lokalen Richten des Laserstrahls auf das Substrat der photolithographischen Maske, das Pixel in einer ersten Schicht des Substrats der photolithographischen Maske zum Korrigieren der Mehrzahl von Fehlern erzeugt, nicht korrigiert werden und / oder eingeführt werden, beim erneuten Richten des Laserstrahls auf das Substrat der photolithographischen Maske und das Pixel in einer zweiten Schicht des Substrats der photolithographischen Maske erzeugt, korrigiert.
  • In einem weiteren günstigen Aspekt umfasst die photolithographische Maske eine transmissive photolithographische Maske. In einem alternativen Aspekt umfasst die photolithographische Maske eine reflektive photolithographische Maske. In einem weiteren alternativen Aspekt umfasst die photolithographische Maske ein Template für die Nano-Imprint-Lithographie.
  • Gemäß einem anderen Aspekt ist die Gewichtsfunktion konstruiert, um gleichzeitig Platzierungsfehler und Ebenheitsfehler der Mehrzahl von Fehlern zu korrigieren.
  • In einem weiteren Aspekt wird der Laserstrahl nicht auf die aktive Fläche der photolithographischen Maske gerichtet.
  • Indem der Laserstrahl nicht auf die aktive Fläche der photolithographischen Maske gerichtet wird, wird sichergestellt, dass keine neuen oder zusätzlichen Fehler in die aktive Fläche der photolithographischen Maske während des Korrigierens identifizierter Fehler eingeführt werden. Andererseits ist es klar, dass das Begrenzen der Fläche für die Fehlerkorrektur, die zudem weit entfernt von der fehlerhaften Fläche sein kann, zu einem weniger effektiven Fehlerkorrekturprozess führt. Dieser Nachteil kann zumindest teilweise kompensiert werden, indem der Laserstrahl auf die nicht aktive Fläche der Maske unter Verwendung höherer Pulsenergien gerichtet wird.
  • Ein weiterer Aspekt umfasst das Einführen von zumindest zwei Gewichtsfunktionen in das Zielfunktional zum Kontrollieren von Versetzungen in zwei verschiedenen Richtungen, insbesondere in eine x-Richtung und eine y-Richtung und das Lösen des Zielfunktionals durch Variieren der Gewichtsfunktion in einem iterativen Prozess. In noch einem weiteren Aspekt, weist das Zielfunktional für eine einzige Schreibdichteamplitude aa, das die Gewichtsfunktion wi hat, die Form auf: m i n { i w i ( φ i + j k a l n M i n ( P n j g ) 1 P j k α w a α N k l t l + k T i k s k ) 2 + λ s k s k 2 + λ k a k 2 } ,
    Figure DE102011078927B4_0012
    wobei die Gewichtsfunktionen für den Iterationsprozess mit dem Index k sind: w x k = 1 w k 2  und w y k = 1 + w k 2  mit  w 2 i k = w x k  und  w 2 i + 1 k = w y k
    Figure DE102011078927B4_0013
    und wobei mit w0 = 0 und Variationsgrenzen von w min 0 = 1  und  w max 0 = + 1
    Figure DE102011078927B4_0014
    und wobei aa = 0 innerhalb der aktiven Fläche der photolithographischen Maske ist. In noch einem weiteren Aspekt umfasst der iterative Prozess das Stoppen der Iteration, wenn die induzierten Verschiebungen eine vorbestimmte Schwelle überschreiten, das Bestimmen einer Richtung, die die größte Differenz zu der vorbestimmten Schwelle aufweist, wenn die induzierten Verschiebungen in der bestimmten Richtung die Schwelle überschreiten, Stoppen der Iteration; wenn die x-Richtung die größte Differenz zu der vorbestimmten Stelle aufweist, Auswählen w k + 1 = w k + w min k 2
    Figure DE102011078927B4_0015
    und Modifizieren einer Variationsgrenze zu w max k + 1 = w k ,   w min k + 1 = w min k ;
    Figure DE102011078927B4_0016
    wenn die y-Richtung die größte Differenz zu der vorbestimmten Schwelle aufweist, Wählen w k + 1 = w k + w max k 2
    Figure DE102011078927B4_0017
    und Modifizieren einer Variationsgrenze zu w max k + 1 = w k ,   w min k + 1 = w k ;
    Figure DE102011078927B4_0018
    und Wiederholen des Iterationsprozesses und Übernehmen der letzten Gewichtsfunktion als die Lösung für die entsprechende Richtung.
  • Gemäß einem weiteren Aspekt wird der Laserstrahl und / oder die photolithographische Maske um einen Winkel um eine Achse, die parallel zu dem Laserstrahl ist, für einen Teil der Zeitspanne gedreht, in der der Laser auf die photolithographische Maske gerichtet ist.
  • Die Pixel eines einzigen Satzes von Laserstrahlparametern induzieren eine Asymmetrie in der Ebene senkrecht zum Laserstrahl, da der Laserstrahl normalerweise nicht perfekt symmetrisch in der Ebene senkrecht zur Strahlrichtung ist. Die Anwendung von zwei Laserstrahlen, die relativ zueinander um die Strahlrichtung gedreht werden, liefert ein einfaches Mittel, um zumindest teilweise die Asymmetrie, die durch den Laserstrahl eingeführt wird, zu korrigieren.
  • In einem anderen Aspekt ist der Drehwinkel des Laserstrahls und / oder der photolithographischen Maske 90°. In noch einem weiteren Aspekt ist die Zeitspanne, in der der Laserstrahl auf die photolithographische Maske ohne Drehung der photolithographischen Maske gerichtet wird 50 % der Gesamtzeitspanne, in der der Laserstrahl auf die photolithographische Maske gerichtet wird.
  • In einem weiteren Aspekt führt das Richten des Laserstrahls auf die photolithographische Maske keine Variation der optischen Transmissionsverteilung für einen Laserstrahl bei der Belichtungswellenlänge der photolithographischen Maske ein.
  • Dieser Aspekt des erfindungsgemäßen Verfahrens stellt sicher, dass das Korrigieren von identifizierten Fehlern nicht neue Fehler in die aktive Fläche der Maske einführt.
  • Gemäß einem weiteren Aspekt führt das Richten des Laserstrahls auf die photolithographische Maske eine konstante Modifikation der optischen Transmission über die photolithographische Maske für den Laserstrahl bei der Belichtungswellenlänge ein. In noch einem anderen Aspekt wird das Richten des Laserstrahls auf die photolithographische Maske mit zumindest einem ersten Satz von zweiten Laserstrahlparametern, der eine erste Schreibdichteamplitude a 1 α
    Figure DE102011078927B4_0019
    aufweist, durchgeführt und mit zumindest einem zweiten Satz von zweiten Laserstrahlparametern, der eine zweite Schreibdichteamplitude a 2 α
    Figure DE102011078927B4_0020
    aufweist, durchgeführt, um nicht eine Variation der optischen Transmissionsverteilung über die photolithographische Maske für den Laserstrahl bei der Belichtungswellenlänge einzuführen. In noch einem weiteren Aspekt weist das Zielfunktional zum Optimieren der Aufteilung zwischen der ersten und der zweiten Schreibdichteamplitude die Form auf: min { i w i ( φ i + α Φ i α 1 a 1 α + α Φ i α 2 a 2 α + k T i k s k ) 2 + λ s k s k 2 + λ 1 a k a k 1 2 + λ 2 a k a k 2 2 }
    Figure DE102011078927B4_0021
    wobei die Bedingung konstanter optischer Transmission in der Zelle α erfordert, dass a 1 α + a 2 α = c
    Figure DE102011078927B4_0022
    ist, wobei c eine willkürliche Konstante ist.
  • Gemäß einem weiteren Aspekt führt das Richten des Laserstrahls auf die photolithographische Maske zusätzlich eine vorbestimmte Variation der optischen Transmissionsverteilung über die photolithographische Maske für den Laserstrahl bei der Belichtungswellenlänge der photolithographischen Maske aus.
  • Durch das lokale Richten eines Laserstrahls auf das Substrat der photolithographischen Maske, der Parameter aufweist, die diese Bedingung erfüllen, können sowohl Platzierungsfehler und optische Transmissionsverteilungsfehler oder CDU-Fehler gleichzeitig in einem einzigen Prozess des Pixel-Schreibens in das Maskensubstrat korrigiert werden.
  • In einem weiteren Aspekt wird das Richten des Laserstrahls auf die photolithographische Maske mit zumindest einem ersten Satz von zweiten Laserstrahlparametern durchgeführt und mit zumindest einem zweiten Satz von zweiten Laserstrahlparametern, um zusätzlich eine vorbestimmte Variation der optischen Transmission über die photolithographische Maske für den Laserstrahl bei der Belichtungswellenlänge einzuführen. In noch einem weiteren Aspekt wird das oben definierte Zielfunktional verwendet zum Optimieren der Aufteilung zwischen der ersten a 1 α
    Figure DE102011078927B4_0023
    und der zweiten Schreibdichteamplitude a 2 α
    Figure DE102011078927B4_0024
    mit der zusätzlichen Bedingung für jede Zelle α: a 1 α + a 2 α = a α  mit  a α = α T M o d ( x , y ) d x d y / α d x d y
    Figure DE102011078927B4_0025
    innerhalb der Zellen in der aktiven Fläche und mit αa = const in Zellen außerhalb der aktiven Fläche der photolithographischen Maske, wobei Tmod eine vorbestimmte Variation der optischen Transmissionsverteilung in der aktiven Fläche ist.
  • In einem weiteren Aspekt umfasst das erfindungsgemäße Verfahren das Richten des Laserstrahls auf die photolithographische Maske, um Fehler, die neu in die photolithographische Maske beim Korrigieren der optischen Transmissionsverteilung in der aktiven Fläche eingeführt wurden, zu korrigieren.
  • Es ist bereits bekannt, dass die Korrektur von optischen Transmissionsverteilungsfehlern oder CDU-Fehlern durch das Richten eines Laserstrahls auf das Maskensubstrat oder das Schreiben von Pixeln in das Substrat der photolithographischen Maske Platzierungsfehler einführen kann, die vor dem CDU-Korrekturprozess nicht beobachtet worden sind. Durch das Aufnehmen einer entsprechenden Bedingung in das Zielfunktional beim Minimieren des Zielfunktionals ermöglicht das erfindungsgemäße Verfahren das Korrigieren dieser Platzierungsfehler.
  • In einem anderen Aspekt sind die Fehler, die neu eingeführt werden, Platzierungsfehler und wobei die Platzierungsfehler durch das Richten des Laserstrahls mit einem ersten Satz von Laserstrahlparametern auf die aktive Fläche und mit einem zweiten Satz von Laserstrahlparametern auf die nicht aktive Fläche der photolithographischen Maske korrigiert werden. In noch einem weiteren Aspekt werden die Platzierungsfehler durch Richten des Laserstrahls auf die nicht aktive Fläche der photolithographischen Maske korrigiert. Noch ein weiterer Aspekt des erfindungsgemäßen Verfahrens umfasst das Richten des Laserstrahls auf die nicht aktive Fläche der photolithographischen Maske das Verwenden eines einzigen Satzes von zweiten Laserstrahlparametern über die nicht aktive Fläche. In einem weiteren Aspekt umfasst das Richten des Laserstrahls auf die nicht aktive Fläche der photolithographischen Maske zumindest zwei Rahmen, die die aktive Fläche der photolithographischen Maske umgeben, wobei jeder Rahmen einen Satz von zweiten Laserstrahlparametern umfasst und die eingeführten Dichtemodifikationen abnehmen, wenn der Laserstrahl auf Rahmen gerichtet wird, die gegen den Rand der photolithographischen Maske abnehmen. In noch einem weiteren Aspekt weist das Zielfunktional Schreibdichteamplituden a j c d c
    Figure DE102011078927B4_0026
    auf, um optische Transmissionsfehler in der aktiven Schicht zu korrigieren und weist Schreibdichteamplituden a j a p o
    Figure DE102011078927B4_0027
    in der nicht aktiven Fläche zum Korrigieren von Platzierungsfehlern in der Form auf: min { i ( j Φ i j c d c a j c d c + j Φ i j a p o a j a p o + j T i j s j ) 2 + λ s j s j 2 + λ a j a j a p o 2 } ,
    Figure DE102011078927B4_0028
    wobei der erste Term die Verschiebungen berücksichtigt, die durch die optische Transmissionsverteilungskorrektur in der aktiven Fläche der photolithographischen Maske eingeführt werden, wobei der zweite Term die Verschiebungen definiert, die durch den Laserstrahl eingeführt werden, wenn der Laserstrahl auf die nicht aktive Fläche gerichtet wird, und wobei die Schreibdichteamplituden a j a p o
    Figure DE102011078927B4_0029
    nur in der nicht aktiven Fläche der photolithographischen Maske definiert sind.
  • In noch einem weiteren Aspekt des erfindungsgemäßen Verfahrens umfasst das Richten des Laserstrahls auf die photolithographische Maske zumindest zwei Sätze von Laserstrahlparametern, so dass das Korrigieren der optischen Transmissionsverteilungsfehler über die photolithographische Maske keine Platzierungsfehler in die photolithographische Maske einführt.
  • Durch lokales Richten des Laserstrahls auf das Substrat der photolithographischen Maske, der zumindest zwei verschiedene Sätze von Laserstrahlparametern oder Schreibmodi aufweist, die verschiedene Effekte in das Maskensubstrat induzieren, können optische Transmissionsverteilungsfehler oder CDU-Fehler korrigiert werden, ohne das Einführen irgendwelcher neuer Platzierungsfehler.
  • Gemäß einem weiteren Aspekt umfasst der erste Satz von zweiten Laserstrahlparametern einen ersten Laserstrahl und der zweite Satz von zweiten Laserstrahlparametern umfasst einen zweiten Laserstrahl, wobei der zweite Laserstrahl relativ zu dem ersten Laserstrahl um die Strahlrichtung gedreht ist. In einem weiteren Aspekt ist die photolithographische Maske um die Achse parallel zum Laserstrahl gedreht. In noch einem weiteren Aspekt ist der Laserstrahl und / oder die photolithographische Maske um 90° gedreht. Gemäß einem weiteren Aspekt entfernt eine zeitliche und / oder eine räumliche Verteilung des Richtens der ersten und der zweiten Laserstrahlen über die photolithographische Maske eine Variation der optischen Transmission über die photolithographische Maske und minimiert das Einführen von neuen Fehlern in die photolithographische Maske. In einem weiteren Aspekt des erfindungsgemäßen Verfahrens zum Optimieren der Aufteilung zwischen Schreibdichteamplituden a j c d c 00
    Figure DE102011078927B4_0030
    für den ersten nicht gedrehten Laserstrahl und Schreibdichteamplituden a j c d c 90
    Figure DE102011078927B4_0031
    für den zweiten gedrehten Laserstrahl weist das Zielfunktional die Form auf: mit { i ( j ( Φ i j c d c 00 Φ i j c d c 90 ) a j c d c 00 + j Φ i j c d c 90 a j c d c + j T i j s j ) 2 + + λ s j s j 2 + λ α ( j ( a j c d c 00 2 + ( a j c d c a j c d c 00 2 ) 2 ) ) } ,
    Figure DE102011078927B4_0032
    mit der zusätzlichen Bedingung für die Zelle j innerhalb der aktiven Fläche der photolithographischen Maske: a j c d c 00 + a j c d c 90 = a j c d c .
    Figure DE102011078927B4_0033
  • Gemäß einem weiteren Aspekt umfassen der erste Satz zweiter Laserstrahlparameter Laserpulse, die eine Energie aufweisen, die um ein Mehrfaches größer als der zweite Satz zweiter Laserstrahlparameter ist und / oder wobei der erste Satz zweiter Laserstrahlparameter, der eine Pulsdichte auf der photolithographischen Maske aufweist, die um ein Mehrfaches geringer als für den zweiten Satz zweiter Laserstrahlparameter ist.
  • Die Anwendung eines Laserstrahls, der verschiedene Pulsenergien an verschiedenen Stellen des Maskensubstrats aufweist, erlaubt das Korrigieren von optischen Transmissionsfehlern ohne das Erzeugen von neuen Fehlern in der aktiven Fläche der photolithographischen Maske, insbesondere von Platzierungsfehlern.
  • In einem weiteren Aspekt umfasst ein Verhältnis zwischen der Energie des ersten Satzes und des zweiten Satzes von zweiten Laserstrahlparametern einen Bereich von 1,5 - 10, vorzugsweise von 1,8 - 7 und am meisten bevorzugt von 2 - 4. In noch einem weiteren Aspekt wird das optimale Aufteilen zwischen dem ersten Satz oder des Standardenergiemodus (Std) und des zweiten Satzes oder des Niedrigenergiemodus (le) von Laserstrahlparametern aus einem Zielfunktional bestimmt, das die Form aufweist: min { i ( j ( Φ i j s t d Φ i j l e ) a j s t d + j Φ i j l e a j c d c + j T i j s j ) 2 + + λ s j s j 2 + λ a ( j ( a j s t d 2 + ( a j c d c a j s t d ) 2 ) ) } ,
    Figure DE102011078927B4_0034
    mit der zusätzlichen Bedingung für die Standardenergiedichte-Schreibamplitude a j s t d
    Figure DE102011078927B4_0035
    des ersten Satzes von zweiten Laserstrahlparametern und der Niedrigenergiedichte-Schreibamplitude a j l e
    Figure DE102011078927B4_0036
    für den zweiten Laserstrahl für die Zelle j innerhalb der aktiven Fläche der photolithographischen Maske: a j s t d + a j l e = a j c d c
    Figure DE102011078927B4_0037
    und wobei die Niedrigenergiedichte-Schreibamplitude des ersten Satzes der zweiten Laserstrahlparameter durch eine höhere Pulsdichte kompensiert werden.
  • In einem weiteren Aspekt ist die Pulsenergie 0,05 µJ bis 5 µJ, die Pulslänge ist 0,05 ps bis 100 ps, die Wiederholrate ist 1 kHz bis 10 MHz, eine Pulsdichte ist 1.000 Pulse pro mm2 bis 10.000.000 Pulse pro mm2, die NA des Objektivs ist 0,1 bis 0,9 und die Verstärkung des Objektivs ist 5x bis 40x. Gemäß einem weiteren Aspekt umfasst der erste Satz von zweiten Laserstrahlparametern eine Pulsenergie von 0,45 µJ - 0,55 µJ, eine Pulsdauer von 5-10 ps, eine Wiederholrate von 10 kHz - 100 kHz, eine NA des Objektivs von 0,3 - 0,4, eine Verstärkung des Objektivs von 10x - 20x und eine Pulsdichte 1.000 - 100.000 Pulsen von pro mm2 und der erste Satz von zweiten Laserstrahlparametern weist eine Pulsenergie von 0,27 µJ - 0,37 µJ und eine Pulsdichte von 5.000 - 500.000 Pulsen pro mm2 auf.
  • In noch einem weiteren Aspekt umfasst das Richten des Laserstrahls auf die photolithographische Maske zumindest drei Sätze von zweiten Laserstrahlparametern, einen ersten Satz und einen zweiten Satz zum Richten des Laserstrahls auf die aktive Fläche, die verschiedene Pulsenergien aufweisen und einen dritten Satz zum Richten des Laserstrahls auf die nicht aktive Fläche der photolithographischen Maske.
  • Das lokale Richten eines Laserstrahls auf das Substrat der photolithographischen Maske, der drei verschiedene Sätze von Laserstrahlparametern oder Schreibmodi aufweist, vergrößert die Flexibilität der Korrektur von verschiedene Fehlertypen oder für die Betrachtung von grundlegenden oder Randbedingungen. Daher erleichtert dieser Aspekt des erfindungsgemäßen Verfahrens das gleichzeitige Korrigieren von Platzierungsfehlern und optischen Transmissionsfehlern, da das Richten des Laserstrahls mit verschiedenen Sätzen von zweiten Laserstrahlparametern auf die photolithographische Maske die Flexibilität zum lokalen Modifizieren des Substrats der photolithographischen Maske erhöht.
  • Gemäß einem Aspekt wird die optimale Aufteilung zwischen dem ersten Satz oder dem Standardenergiemodus (std) und dem zweiten Satz oder dem Niedrigenergiemodus (le) und dem dritten Satz (apo) von Laserstrahlparametern aus dem Zielfunktional bestimmt: min { i ( j ( Φ i j s t d Φ i j l e ) a j s t d + j Φ i j l e a j c d c + j Φ i j a p o a j a p o + j T i j s j ) 2 + + λ s j s j 2 + λ a ( j ( a j s t d 2 + ( a j c d c a j s t d ) 2 + a j a p o 2 ) ) } .
    Figure DE102011078927B4_0038
  • In einem weiteren Aspekt wird das Zielfunktional verwendet, um einen Überlappungsfehler (overlay error) zwischen der zumindest ersten photolithographischen Maske und zumindest einer zweiten photolithographischen Maske zu minimieren.
  • Für die Herstellung eines Halbleiterbaueelements werden normalerweise eine Reihe photolithographischer Masken nacheinander auf demselben Wafer während des Herstellungsprozesses angewendet, um die komplexen Strukturen auf dem Wafer zu erzeugen. In vielen Anwendungen ist die absolute Position des Maskenmusters mit Bezug auf die optische Achse nicht so wichtig wie die Orientierung von zwei oder mehr Masken relativ zueinander, sodass überlappungskritische Strukturen auf dem Wafer gedruckt werden können. Es ist somit nicht das Ziel, eine photolithographische Maske zur besten Übereinstimmung mit einem vorbestimmten Design zu bringen, sondern eine bestmögliche Übereinstimmung mit einer zweiten Maske zu erhalten. Das erfindungsgemäße Verfahren kann ferner dazu benutzt werden, solche Überlappungsfehler von zwei oder mehreren photolithographischen Masken zu korrigieren. Insbesondere wenn die ersten und die zweiten Parameter beider Masken gleichzeitig variiert werden können, kann die relative Orientierung der beiden Masken mit einem geringen verbleibenden Platzierungsfehler optimiert werden.
  • In einem weiteren Aspekt umfasst das Zielfunktional eine Mehrzahl von Platzierungsunterschieden zwischen zumindest einer ersten und zumindest einer zweiten photolithographischen Maske und die Überlappung wird durch Minimieren des Zielfunktionals maximiert. In noch einem weiteren Aspekt wird die Platzierung der ersten photolithographischen Maske festgehalten und die Platzierung der zweiten photolithographischen Maske wird durch das Zielfunktional variiert. Gemäß einem weiteren Aspekt wird die Platzierung der ersten und der zweiten photolithographischen Maske durch das Zielfunktional variiert.
  • Gemäß einem anderen Aspekt beschreiben die Parameter φi des Zielfunktionals, die auf der Seite 11 gegeben sind, die Platzierung der ersten photolithographischen Maske und die Parameter ςi des oben erwähnten Zielfunktionals beschreiben die Platzierung der zweiten photolithographischen Maske und das oben erwähnte Zielfunktional wird mit einer Bedingung benutzt: a < a j m < a ,
    Figure DE102011078927B4_0039
    wobei ein positiver Wert der Schreibdichteamplituden a j m
    Figure DE102011078927B4_0040
    auf der ersten photolithographischen Maske korrigiert wird und der negative Teil auf der zweiten photolithographischen Maske korrigiert wird.
  • Weitere Aspekte der Erfindung werden in weiteren abhängigen Ansprüchen beschrieben.
  • Figurenliste
  • Um die vorliegende Erfindung besser zu verstehen und um ihre praktischen Anwendungen zu erkennen, werden die folgenden Figuren bereitgestellt und darauf wird später Bezug genommen. Es sollte beachtet werden, dass die Figuren nur Beispiele angeben und in keiner Weise den Geltungsbereich der Erfindung beschränken.
    • 1 zeigt im Querschnitt einen schematischen Blick auf eine transmissive photolithographische Maske;
    • 2a zeigt im Querschnitt einen schematischen Blick auf eine reflektive photolithographische Maske;
    • 2b stellt schematisch eine Querschnittsansicht eines Templates dar, das in der Nanoimprint-Lithographie verwendet wird;
    • 3 stellt schematisch ein Blockschaubild einer Vorrichtung für die Modifikation des Substrats der photolithographischen Masken der 1 und 2 dar;
    • 4 präsentiert graphisch ein initiales Platzierungsproblem einer photolithographischen Maske;
    • 5 zeigt schematisch das Platzierungsproblem der 4 nach dem Durchführen einer linearen Abbildungstransformation;
    • 6 stellt eine berechnete Korrekturkarte des Platzierungsproblems, das in der 5 angezeigt ist, dar;
    • 7 zeigt eine Vorhersage der verbleibenden Platzierungsfehler der Maske der 4 nach dem Optimieren des entsprechenden Zielfunktionals;
    • 8 stellt die gemessenen verbleibenden Platzierungsfehler der photolithographischen Maske der 4 nach dem Durchführen einer Fehlerkorrektur unter Verwendung der Laserparameter, die aus dem Minimieren des entsprechenden Zielfunktionals erhalten werden, dar;
    • 9 zeigt einen Vergleich der gemessenen und der simulierten Fehlerkorrektur nach dem Korrigieren der Platzierungsfehler der 4 und dem Durchführen einer linearen Abbildungstransformation;
    • 10 zeigt ein Ablaufdiagramm eines Verfahrens zum Korrigieren von Platzierungsfehlern ohne das Richten des Laserstrahls auf die aktive Fläche der photolitographischen Maske;
    • 11a zeigt schematisch das Platzierungsproblem, das ohne das Schreiben von Pixeln in die aktive Fläche der photolitographischen Maske korrigiert werden soll;
    • 11b zeigt schematisch das Platzierungsproblem der 11a nach dem Durchführen einer linearen Abbildungstransformation;
    • 12 stellt die Korrekturschreibkarte, die mit der Optimierungsschleife der 10 nach dem einmaligen Durchlauf durch die Optimierungsschleife erhalten wird, dar;
    • 13 zeigt das simulierte verbleibende Platzierungsproblem von 11 nach dem Verwenden der Korrekturschreibkarte der 12 und nach dem Durchführen einer linearen Abbildungstransformation;
    • 14 stellt die Korrekturschreibkarte nach dem mehrmaligen Durchlaufen des Optimierungsablaufdiagramms der 10 dar;
    • 15 zeigt das simulierte verbleibende Platzierungsproblem der 11 nach Verwenden der Korrekturschreibkarte der 14 und nach dem Durchführen einer linearen Abbildungstransformation;
    • 16 stellt das gemessene verbleibende Platzierungsproblem von 11 nach Anwenden der Korrekturschreibkarte der 14 auf das Substrat der photolitographischen Maske von 11 und nach dem Durchführen einer linearen Abbildungstransformation dar;
    • 17 zeigt schematisch die 0°-Schreibmodussignatur (links) und die 90°-Schreibmodussignatur (rechts) des Laserstrahls der 3;
    • 18a zeigt schematisch das Platzierungsproblem, das unter Verwendung von zwei Schreibmodi des Laserstrahls der 3 korrigiert werden soll;
    • 18b zeigt schematisch das Platzierungsproblem der 18a nach dem Durchführen einer linearen Abbildungstransformation;
    • 19a stellt die Korrekturschreibkarte für die 0°-Schreibmodussignatur dar;
    • 19b stellt die Korrekturschreibkarte für die 90°-Schreibmodussignatur dar;
    • 20 zeigt die kombinierte Korrekturschreibkarte der 19a und 19b;
    • 21a zeigt schematisch die Platzierungsänderung, die durch die Korrekturschreibkarte der 19a induziert wird;
    • 21b zeigt schematisch die Platzierungsänderung, die durch die Korrekturschreibkarte der 19b induziert wird;
    • 21c zeigt schematisch die Summe der Platzierungsänderung, die durch die Korrekturschreibkarten der 19a und 19b induziert wird;
    • 22a gibt das vorhergesagte Ergebnis der Platzierungskorrektur aus 18a unter Verwendung der Schreibkorrekturkarte von 20 an;
    • 22b stellt die Platzierungskorrektur von 22a nach dem Durchführen einer linearen Abbildungstransformation dar;
    • 23 veranschaulicht schematisch die gemessene Platzierungskorrektur unter Verwendung der Schreibkorrekturkarte von 20;
    • 24 zeigt schematisch die Signaturen eines regulären Schreibmodus (links) und eines Niedrigplatzierungs-Schreibmodus (LowReg) (rechts) des Laserstrahls aus 3;
    • 25a stellt die Schreibkorrekturkarte für einen ersten Schreibmodus dar;
    • 25b stellt die Schreibkorrekturkarte für einen zweiten Schreibmodus dar;
    • 26 veranschaulicht schematisch das verbleibende Platzierungsproblem der 4 nach dem Durchführen einer CD-Korrektur;
    • 27a zeigt eine gemessene CD-Gleichmäßigkeitskarte;
    • 27b stellt die Korrekturschreibkarte dar, die zum Korrigieren des CD-Gleichmäßigkeitsproblems von 27a notwendig ist;
    • 28a gibt die optimierte Schreibkorrekturkarte für das Platzierungs- und CD-Gleichmäßigkeitsproblem von 27a an;
    • 28b zeigt die optimierte Korrekturschreibkarte für den zweiten Schreibmodus für das Platzierungs- und CD-Gleichmäßigkeitsproblem von 27a;
    • 28c stellt die optimierte Schreibkorrekturkarte, die aus dem ersten (28a) und dem zweiten Schreibmodus (28b) des Platzierungs- und CD-Gleichmäßigkeitsproblems von 27a gebildet wurde, dar;
    • 29 veranschaulicht schematisch das verbleibende Platzierungsproblem nach dem Durchführen des Schreibens von Pixeln gemäß der Korrekturschreibkarte der 28c und nach dem Durchführen einer linearen Abbildungstransformation;
    • 30 stellt eine Standard-CDC-Testschreibkarte für eine konstante Schreibdichte von 2 % dar;
    • 31a zeigt schematisch die gemessene Platzierungsänderung, die durch die Schreibkarte der 30 induziert wird;
    • 31b zeigt schematisch die gemessene Platzierungsänderung, die durch die Schreibkarte der 30 nach dem Durchführen einer linearen Abbildungstransformation induziert wird;
    • 32a gibt schematisch die simulierte Platzierungsänderung an, die durch die Schreibkarte der 30 induziert wird;
    • 32b gibt schematisch die Differenz zwischen der simulierten Platzierungsänderung und der gemessenen Platzierungsänderung für das CDC-Testschreiben (kritische Abmessungskorrektur, critical dimension correction) von 30 an;
    • 33a zeigt schematisch die simulierte Platzierungsänderung, die durch die Schreibkarte von 30 induziert wird;
    • 33b gibt schematisch die Platzierungsänderung von 33a nach dem Durchführen einer linearen Abbildungstransformation an;
    • 34a zeigt die berechnete Apodisations-Schreibkarte zum Korrigieren von Platzierungsfehlern, die durch das CDC-Testschreiben nach 30 induziert werden;
    • 34b stellt schematisch die Platzierungsänderung dar, die durch die Apodisations-Schreibkarte von 34a induziert wird;
    • 35a zeigt die Platzierungsänderung nach dem Schreiben der CDC- und der APO- (Apodisations-) Korrekturen der 30 und 34a;
    • 35b stellt die Platzierungsänderung von 35a nach dem Durchführen einer linearen Abbildungstransformation dar;
    • 36a stellt eine Abkling- (Fading-) Apodisations-Schreibkarte dar;
    • 36b stellt schematisch die Platzierungsänderung dar, die durch die Fading-Apodisations-Schreibkarte der 36a induziert wird;
    • 37a stellt eine Schreibkarte dar, die die Test-CD-Korrektur aus 30 und die Fading-Aprodisations-Schreibkarte aus 36a kombiniert;
    • 37b stellt schematisch die Platzierungsänderung dar, die durch die Test-CD-Korrektur und die Abkling-Apodisations-Schreibkarte der 37a induziert wird;
    • 37c zeigt schematisch die Registrierungsänderung von 37b nach dem Durchführen einer linearen Abbildungstransformation;
    • 38a stellt eine Schreibkarte zur CD-Korrektur dar;
    • 38b zeigt schematisch die Platzierungsänderung, die durch die Test-CD-Korrektur und die Abkling-Apodisations-Schreibkarte von 38a induziert wird;
    • 38c zeigt schematisch die Platzierungsänderung der 38b nach dem Durchführen einer linearen Abbildungstransformation;
    • 39a stellt eine Apodisations-Schhreibkarte dar;
    • 39b stellt schematisch die Platzierungsänderung dar, die durch die Apodisations-Schreibkarte der 39a induziert wird;
    • 39c zeigt schematisch die Platzierungsänderung der 38b nach dem Durchführen einer linearen Abbildungstransformation;
    • 40a stellt eine weitere Schreibkarte zur CD-Korrektur dar;
    • 40b stellt schematisch die Platzierungsänderung dar, die durch die CD-Korrekturkarte der 40a induziert wird;
    • 40c zeigt schematisch die Platzierungsänderung der 40b nach dem Durchführen einer linearen Abbildungstransformation;
    • 41a stellt eine Apodisations-Schreibkarte dar, die mit Bezug auf die CDC-Aufgabe der 40a berechnet wurde;
    • 41b stellt schematisch die Platzierungsänderung dar, die durch die Apodisations-Schreibkarte der 41a in der aktiven Fläche der photolithographischen Maske induziert wird;
    • 42a stellt die Schreibkarte dar, die die CD-Korrektur der 40a und die berechnete Apodisation der 41a kombiniert;
    • 42b stellt schematisch die Platzierungsänderung dar, die durch die CDC- und die APO-Schreibkarte der 42a induziert wird;
    • 42c zeigt schematisch die verbleibende Platzierungsänderung nach 42b nach dem Durchführen einer linearen Abbildungstransformation;
    • 43a stellt die Korrekturschreibkarte der 42a dar, die an der photolithographischen Maske der 40 gemessen wird;
    • 43b stellt schematisch die Platzierungsänderung dar, die durch die Korrekturkarte der 43a induziert wird;
    • 43c zeigt schematisch die Platzierungsänderung der 42b nach dem Durchführen einer linearen Abbildungstransformation;
    • 44a stellt schematisch die Platzierungsänderung dar, die durch die Test-CD-Korrekturkarte der 30 induziert wird, wobei eine erste Hälfte der Pixel unter Verwendung einer 0°-Modussignatur geschrieben wird;
    • 44b stellt schematisch die Platzierungsänderung dar, die durch die Test-CD-Korrekturkarte der 30 induziert wird, wobei eine zweite Hälfte der Pixel unter Verwendung einer 90°-Modussignatur geschrieben wird;
    • 45a zeigt schematisch die Platzierungsänderung, die durch die Test-CD-Korrekturkarte der 30 induziert wird, wobei eine erste Hälfte der Pixel unter Verwendung einer 0°-Modussignatur und eine zweite Hälfte der Pixel unter Verwendung einer 90°-Modussignatur geschrieben sind;
    • 45b gibt schematisch die verbleibende Platzierungsänderung der Test-CD-Korrekturkarte der 45a nach dem Durchführen einer linearen Abbildungstransformation an;
    • 46a zeigt die CD-Korrekturschreibkarte für die Test-CDC-Schreibkarte der 30 für den Schreibmodus, der eine 0°-Modussignatur aufweist;
    • 46b repräsentiert die CD-Korrekturschreibkarte für die Test-CD-Schreibkarte der 30 für den Schreibmoduds, die eine 90°-Modussignatur aufweist;
    • 47a zeigt schematisch die Platzierungsänderung an, die durch die CD-Korrekturschreibkarte der 46a induziert wird;
    • 47b zeigt schematisch die Platzierungsänderung an, die durch die CD-Korrekturschreibkarte der 46b induziert wird;
    • 48a zeigt schematisch die Platzierungsänderung, die durch die kombinierten CD-Korrekturschreibkarten der 46a und 46b induziert werden;
    • 48b zeigt schematisch die verbleibende Platzierungsänderung der 48a nach dem Durchführen einer linearen Abbildungstransformation;
    • 49a repräsentiert die CD-Korrekturschreibkarte für das CDC-Problem der 38 für den Schreibmodus mit der 0°-Modussignatur;
    • 49b repräsentiert die CD-Korrekturschreibkarte für das CDC-Problem der 38a für die Schreibmode mit der90°-Modussignatur;
    • 50a zeigt schematisch die Platzierungsänderung, die durch die kombinierten CD-Korrekturschreibkarten der 49a und 49b induziert werden;
    • 50b zeigt schematisch die verbleibende Platzierungsänderung der 50a nach dem Durchführen einer linearen Abbildungstransformation;
    • 51a zeigt schematisch die Platzierungsänderung, die unter Verwendung eines Low-Reg-(Niedrigplatzierungs-) Schreibmodus induziert wird;
    • 51b zeigt schematisch die verbleibende Platzierungsänderung der 51a nach dem Durchführen einer linearen Abbildungstransformation;
    • 52a stellt die CD-Korrekturschreibkarte für das CDC-Problem der 38a für einen Standard- oder einen regulären Schreibmodus dar;
    • 52b zeigt die CD-Korrekturschreibkarte für das CD-Problem der 38a für einen LowReg-Schreibmodus;
    • 53a stellt die CD-Korrekturschreibkarte für das CDC-Problem der 38a für einen Standard- oder einen regulären Schreibmodus dar;
    • 53b stellt CD-Korrekturschreibkarte für das CDC-Problem die der 38a für den LowReg-Schreibmodus dar;
    • 54a stellt schematisch das anfängliche Platzierungsproblem der Maske B dar;
    • 54b stellt schematisch das verbleibende Platzierungsproblem der Maske B der 54a nach dem Durchführen einer linearen Abbildungstransformation dar;
    • 55a stellt schematisch das anfängliche Platzierungsproblem der Maske C dar;
    • 55b stellt schematisch das verbleibende Platzierungsproblem der Maske C der 55a nach dem Durchführen einer linearen Abbildungstransformation dar;
    • 56 zeigt schematisch das anfängliche Überlagerungsproblem der Masken B und C der 54a und 55a;
    • 57a zeigt die berechnete Korrekturschreibkarte für die Maske B;
    • 57b zeigt die berechnete Korrekturschreibkarte für die Maske C;
    • 58 zeigt schematisch die resultierenden Überlagerungsfehler der Maske B und Maske C nach dem Durchführen einer linearen Abbildungstransformation;
    • 59 stellt die berechnete Korrekturschreibkarte zum Verbessern der Überlappung zwischen der Maske B und der Maske C dar;
    • 60 stellt schematisch den verbleibenden Überlappungsfehler, nachdem die Maske B bezüglich der Maske C optimiert worden ist, dar;
    • 61a zeigt die berechnete Überlappungskorrekturschreibkarte für die Maske B;
    • 61b zeigt die berechnete Überlappungskorrekturschreibkarte für die Maske C und;
    • 62 stellt schematisch die simulierten verbleibenden Überlappungsfehler der Masken B und C nach dem Durchführen einer linearen Abbildungstransformation dar.
  • Detaillierte Beschreibung bevorzugter Ausführungsbeispiele
  • Dieser Teil der Beschreibung ist wie folgt organisiert: Er beginnt mit der Einführung von einigen technischen Ausdrücken und einigen Problemen mit Bezug auf photolithographischen Masken und beschreibt dann die Vorrichtung, die zum Korrigieren dieser Probleme benutzt wird („photolithographische Masken und Lasersystem“). In dem zweiten Teil, der mit „Platzierungsprobleme“ überschrieben ist, wird dann das erfindungsgemäße Verfahren angewendet, um vorwiegend Platzierungsfehler einer photolithographischen Maske zu korrigieren. Ein dritter Teil, der mit „CDU-Probleme“ überschrieben ist, diskutiert die Anwendung des erfindungsgemäßen Problems, um vorwiegend kritische Abmessungsgleichmäßigkeitsprobleme (CDU, critical dimension uniformity) zu diskutieren. Darüber hinaus wird in dem vierten Teil, der mit „Überlagerungsprobleme“ überschrieben ist, das erfindungsgemäße Verfahren benutzt, um Überlagerungsprobleme zwischen verschiedenen photolithographischen Masken eines Stapels von Masken zu minimieren. Schließlich wird der theoretische und / oder mathematische Hintergrund des erfindungsgemäßen Verfahrens, der für die Diskussion der verschiedenen Beispiele dieses Abschnitts notwendig ist, in einem eigenen Abschnitt dargestellt, der mit „theoretischer Hintergrund“ überschrieben ist.
  • Photolithographische Masken und Lasersysteme
  • Im Folgenden wird die folgende Erfindung vollständiger mit Bezug auf die begleitenden Figuren beschrieben, in denen beispielhafte Ausführungsformen der Erfindung veranschaulicht sind. Die vorliegende Erfindung kann jedoch in verschiedenen Formen verkörpert werden und sollte nicht als durch die hierin angegebene Ausführungsform begrenzt interpretiert werden. Viel eher werden diese Ausführungsformen bereitgestellt, so dass diese Offenbarung gründlich ist und den Geltungsbereich der Erfindung Fachleuten vermittelt.
  • Die 1 stellt schematisch eine Querschnittsansicht einer transmissiven photolithographischen Maske 100 dar. Die Maske 100 umfasst ein Substrat 110, das eine erste oder vorderseitige Oberfläche 130 und eine zweite oder rückseitige Oberfläche 140 aufweist. Das Substrat 110 muss für die Wellenlänge, die für die Belichtung des Photolacks auf dem Wafer verwendet wird, transparent sein. Die Belichtungswellenlänge kann in dem tiefen ultravioletten (DUV, deep ultraviolet) Spektralbereich des elektromagnetischen Spektrums, insbesondere um 193 nm sein. Das Substratmaterial umfasst normalerweise Quarz. Das Substrat weist typischerweise laterale Abmessungen von 152 mm × 152 mm und eine Dicke oder Höhe von im Wesentlichen 6,35 mm auf. Das Substrat 110 der photolithographischen Maske 100 hat auf seiner Frontseite 130 Musterelemente (pattern elements) 120, die normalerweise aus Chrom hergestellt werden, die auf dem Photolack die vorbestimmten Strukturelemente, aus denen die Halbleiterbauelemente produziert werden, bilden. Der Teil des Substrats 110 der photolithographischen Maske 100, der die Musterelemente 120 trägt, wird aktive Fläche 150 der Maske genannt, wohingegen der Randteil, der keine Musterelemente 120 aufweist, nicht aktive Fläche 160 genannt wird. Ein Laserstrahl beleuchtet bei der Belichtungswellenlänge das Substrat 110 der Maske 100 durch die zweite oder rückseitige Oberfläche 140 des Substrats 110.
  • Die 2a zeigt eine schematische Querschnittsansicht einer reflektiven photolithographischen Maske 200 für einen zukünftigen Einsatz in dem extremen ultravioletten (EUV, extreme ultraviolet) Spektralbereich des elektromagnetischen Spektrums, insbesondere für eine Belichtungswellenlänge von ungefähr 13,5 nm. In Gegensatz zu der photolithographischen Maske 100 der 1 ist die Maske 200 ein reflektives optisches Element auf der Grundlage einer Mehrschichtspiegelstruktur. Die Mehrschichtspiegelstruktur der photolithographischen Maske 200 wird auf einer frontseitigen Substratoberfläche 230 eines geeigneten Substrats 210 wie etwa eines Quarzglas-Substrats abgeschieden. Andere transparente Dielektrika, Glasmaterialien oder halbleitende Materialien können ebenfalls als Substrate für EUV photolithographische Masken verwendet werden wie etwa ZERODUR®, ULE® oder CLEARCERAM®.
  • Das Mehrschichtspiegelsystem umfasst 40 Paare alternierender Molybdän (Mo) 250 und Silizium (Si) Schichten 260. Die Dicke jeder Mo-Schicht 250 beträgt 4,15 nm und die der Si-Schicht 260 beträgt 2,80 nm. Um die Mehrschichtstruktur zu schützen, wird eine Deckschicht 270 aus Silizium mit einem nativen Oxid von 7 nm Dicke auf der Struktur angeordnet. In der Mehrschichtspiegelstruktur wirken die Mo-Schichten 250 als streuende Schichten, wohingegen die Siliziumschichten 260 als Trennungsschichten fungieren. Die photolithographische Maske 200 weist auf dem Mehrschichtsystem eine Deckschicht 270 aus Silizium, eine Pufferstruktur 280 und eine absorbierende Struktur 290 als Musterelemente auf.
  • Die 2b veranschaulicht schematisch ein Template 295, das in der Nanoimprint-Lithographie benutzt wird, um Musterelemente auf den Wafer zu transferieren. Das Template 295 umfasst ein Material, das in dem UV- und DUV-Spektralbereich transparent ist, häufig wird Quarzglas als ein Template-Material verwendet. Die Musterelemente auf der frontseitigen Template-Seite 296 werden in einem Prozess hergestellt, der sehr ähnlich der Herstellung der Musterelemente 120 der photolithographischen Maske 100 der 1 ist. Demzufolge kann das erfindungsgemäße Prinzip auch angewendet werden, um verschiedene Fehlerarten eines Templates, das in der Nanoimprint-Lithographie benutzt wird, zu korrigieren. Das Template 295 wird durch elektromagnetische Strahlung 298 durch die Template-Rückseite 297 beleuchtet.
  • Die 3 zeigt ein schematisches Blockdiagramm einer Vorrichtung 300, die benutzt werden kann, um Fehler der photolithographischen Masken 100 und 200 der 1 und 2a ebenso wie eines Templates 295 der 2b zu korrigieren. Die Vorrichtung 300 umfasst eine Spannvorrichtung 320, die in drei Dimensionen beweglich sein kann. Die photolithographische Maske 310 kann auf die Spannvorrichtung 320 unter Verwendung verschiedener Techniken, wie zum Beispiel durch Klemmen, befestigt werden. Die photolithographische Maske 310 kann die photolithographische Maske 100 oder 200 sein, die kopfüber montiert ist (mounted upside down), so dass ihre rückseitige Substratoberfläche 140 oder 240 gegen das Objektiv 340 weist oder es kann das Template 295 der 2b sein.
  • Die Vorrichtung 300 umfasst eine Pulslaserquelle 330, die einen Strahl oder einen Lichtstrahl 335 von Pulsen oder Lichtpulsen erzeugt. Die Laserquelle 330 erzeugt Lichtpulse variabler Dauer. Die Pulsdauer kann so gering wie etwa 10 fs sein, aber kann auch kontinuierlich bis auf 100 ps vergrößert werden. Die Pulsenergie der Lichtpulse, die durch die gepulste Laserquelle 330 erzeugt werden, kann ferner über einen riesigen Bereich abgestimmt werden, der von 0,01 µJ pro Puls bis zu 10 mJ pro Puls reicht. Ferner kann die Wiederholrate der Lichtpulse einen Bereich von 1 Hz bis 100 MHz umfassen. In einer bevorzugten Ausführungsform können die Lichtpulse durch einen Ti:Saphir-Laser erzeugt werden, der bei einer Wellenlänge von 800 nm arbeitet. Die im folgenden beschriebenen Verfahren sind jedoch nicht auf diesen Lasertyp begrenzt, prinzipiell können alle Lasertypen verwendet werden, die eine Photonenenergie aufweisen, die kleiner als die Bandlücke des Substrats der photolithographischen Maske 310 ist und die in der Lage sind, Pulse mit Dauern im Femtosekunden-Bereich zu erzeugen. Deshalb können beispielsweise oder Nd-YAG-Lasersysteme Farbstoff-Lasersysteme ebenfalls verwendet werden.
  • Die Vorrichtung 300 kann ferner mehr als eine Pulslaserquelle 330 umfassen (in der 3 nicht gezeigt).
  • Die folgende Tabelle stellt einen Überblick über Laserstrahlparameter eines frequenzverdoppelten Nd-YAG-Lasersystems dar, das in einer Ausführungsform des erfindungsgemäßen Verfahrens verwendet wird. Tabelle 1: Zahlenwerte ausgewählter Laserstrahlparameter für ein Nd-YAG-Lasersystem
    Überblick
    Parameter Zahlenwert Einheit
    Pulsenergie 0,05-5 µJ
    Pulslänge 0,05 -100 ps
    Wiederholrate 1-10 000 kHz
    Pulsdichte 1 000-10 000 000 mm-2
    NA 0,1-0,9
    Wellenlänge 532 nm
  • Die folgenden Tabellen geben Parameter an, die die Dichte und / oder die optische Transmissionsverteilung des Substrats 110 der photolithographischen Maske 100 unterschiedlich beeinflussen. Die Tabelle 2 präsentiert Parameter einer Ausführungsform, die ein frequenzverdoppeltes Nd-YAG-Lasersystem für einen Modus zum Einführen oder Schreiben von Pixeln verwendet, der Standard-Prozessfenster (std PW, standard process window) genannt wird. Tabelle 2: Zahlenwerte ausgewählter Laserstrahlparameter für ein für ein Standard-Prozessfenster
    Std PW (Standard-Prozessfenster)
    Parameter Zahlen wert Einheit
    Pulsenergie 0,45 µJ
    Pulslänge 8 ps
    Wiederholungsrate 50 000 kHz
    Pulsdichte 1 000-100 000 mm-2
    NA 0,3
    Wellenlänge 532 nm
  • Die Tabelle 3 fasst Parameter eines Modus zusammen, der Niedrigplatzierungs-Prozessfenster (LowReg PW, Low registration process window) genannt wird, wiederum in einer Ausführungsform, die ein frequenzverdoppeltes Nd-YAG-Lasersystem verwendet. Dieser Betriebsmodus des Lasersystems 330 verwendet Lichtpulse, die eine niedrigere Energie als das std PW aufweisen, führt aber eine höhere Pixeldichte ein. Tabelle 3: Zahlenwerte ausgewählter Laserstrahlparameter für ein Nd-YAG-Lasersystem für ein Niedrigplatzierungs-Prozessfenster (LowReg PW)
    Low Reg PW (Niedrigplatzierungs-Prozessfenster)
    Parameter Zahlenwert Einheit
    Pulsenergie 0,32 µJ
    Pulslänge 8 ps
    Wiederholrate 50 000 kHz
    Pulsdichte 5 000 - 500 000 mm-2
    NA 0,3
    Wellenlänge 532 nm
  • Die folgende Tabelle listet Parameter einen Modus auf, der Nicht-Platzierungs-Prozessfenster (NoReg PW, no registration process window) genannt wird, der durch ein weiteres Reduzieren der Energie der Lichtpulse des Lasersystems 330 charakterisiert ist. Tabelle 4: Zahlenwerte ausgewählter Laserstrahlparameter für ein Nd-YAG-Lasersystem für ein Nichtplatzierungs-Prozessfenster (NoReg PW)
    NoReg PW (Nichtplatzierungs-Prozessfenster)
    Parameter Zahlenwert Einheit
    Pulsenergie 0,19 µJ
    Pulslänge 8 ps
    Wiederholrate 80 000 kHz
    Pulsdichte 1 000-100 000 mm-2
    NA 0,4
    Wellenlänge 532 nm
  • Die Tabelle 5 präsentiert Parameter für einen Modus, der pixelloses Prozessfenster (pixelless PW, pixelless process window) genannt wird. In diesem Fall wird ein Ti:Saphir-Lasersystem für die Modifizierung der Dichte und / oder der optischen Transmissionsverteilung verwendet. Dieses Lasersystem erzeugt Femtosekunden-Laserpulse bei einer Emissionswellenlänge von ungefähr 800 nm. Die Pulsdichte eines pixellosen Prozessfensters ist sehr hoch. Tabelle 5: Zahlenwerte ausgewählter Laserstrahlparameter für ein Ti:Saphir-Lasersystem für ein pixelloses Prozessfenster (Pixelless PW)
    Pixelless PW (pixelloses Prozessfenster)
    Parameter Zahlenwert Einheit
    Pulsenergie 0,1 - 0,5 µJ
    Pulslänge 0,15 ps
    Wiederholrate 10 000 kHz
    Pulsenergie 1 000 000 - 100 000 000 mm-2
    NA 0,33
    Wellenlänge 800 nm
  • Der steuerbare Spiegel 390 richtet den gepulsten Laserstrahl 335 in das fokussierende Objektiv 340. Das Objektiv 340 fokussiert den gepulsten Laserstrahl 335 durch die rückseitige Substratoberfläche in das Substrat der photolithographischen Maske 310. Die NA (numerische Apertur) des angewandten Objektivs hängt von der vorgegebenen Fleckgröße des Brennpunkts und der Position des Brennpunkts in dem Substrat der photolithographischen Maske 310 relativ zu der rückseitigen Substratoberfläche ab. Wie in der Tabelle 1 angegeben, kann die NA des Objektivs bis zu 0,9 groß sein, was zu einem Brennfleckdurchmesser von im Wesentlichen 1 µm und in einer maximalen Intensität von im Wesentlichen 1020 W/cm2 resultiert.
  • Die Vorrichtung 300 umfasst einen Kontroller 380 und einen Computer 360, der die Verschiebungen des zweiachsigen Positionierungssystems des Probenhalters 320 in der Ebene der x- und y-Richtungen managt. Der Kontroller 380 und der Computer 360 kontrollieren ferner die Verschiebung des Objektives 340 senkrecht zu der Ebene der Spannvorrichtung 320 (z-Richtung) über die einachsige Positionierungsstufe 350, an der das Objektiv 340 befestigt ist. Es sollte bemerkt werden, dass in anderen Ausführungsformen der Vorrichtung 300 die Spannvorrichtung 320 mit einem dreiachsigen Positionierungssystem ausgerüstet sein kann, um die photolithographische Maske zu der Zielposition zu bewegen, und das Objektiv 340 kann ortsfest sein oder die Spannvorrichtung 320 kann ortsfest und das Objektiv 340 kann in drei Dimensionen beweglich sein. Obwohl es nicht ökonomisch ist, ist ferner denkbar, sowohl das Objektiv 340 als auch die Spannvorrichtung 320 mit dreiachsigen Positionierungssystemen auszurüsten. Es sollte bemerkt werden, dass auch manuelle Positionierungsstufen für die Bewegung der photolithographischen Maske 310 auf die Zielposition des gepulsten Laserstrahls 335 in den x-, y- und z-Richtungen benutzt werden können und / oder das Objektiv 340 kann manuelle Positionierungsstufen für eine Bewegung in drei Dimensionen aufweisen.
  • Der Computer 360 kann ein Mikroprozessor, ein Universalprozessor (general purpose processor), ein Spezialprozessor (special purpose processor), eine CPU (central processing unit, zentrale Verarbeitungseinheit), ein GPU (graphic processing unit, graphische Verarbeitungseinheit) oder Ähnliches sein. Er kann in dem Kontroller 380 angeordnet sein oder kann als eine getrennte Einheit, wie etwa ein PC (Personal Computer), eine Workstation, ein Großrechner (main frame) usw., ausgeführt sein. Der Computer 360 kann ferner Ein- / Ausgabeeinheiten, wie etwa eine Tastatur, ein Touch-Pad, eine Maus, ein Video- / Graphik-Display, einen Drucker, usw. umfassen. Zusätzlich kann der Computer 360 ferner einen flüchtigen und / oder einen nichtflüchtigen Speicher umfassen. Der Computer 360 kann in Hardware, Software, Firmware oder einer Kombination davon verwirklicht werden. Darüber hinaus kann der Computer 360 die Laserquelle 330 steuern (in der 3 nicht angezeigt).
  • Ferner kann die Vorrichtung 330 ein Betrachtungssystem einschließlich einer CCD-Kamera (charged-coupled-device, ladungsgekoppelte Schaltung) umfassen, die Licht einer Belichtungsquelle, die in der Spannvorrichtung 320 angeordnet ist, über den dichromatischen Spiegel 345 empfängt. Das Betrachtungssystem 365 erleichtert das Navigieren der photolithographischen Maske 310 zu der Zielposition. Ferner kann das Betrachtungssystem auch dazu verwendet werden, das Bilden einer modifizierten Fläche auf der rückseitigen Substratoberfläche der photolithographischen Maske 310 durch den Pulslaserstrahl 335 der Lichtquelle 330 zu beobachten.
  • Im Folgenden werden verschiedene Fehler oder Defekte auf der Basis der transmissiven photolithographischen Maske 100 der 1 diskutiert. Der Fachmann wird anerkennen, dass diese Diskussion an die reflektive photolithographische Maske 200 der 2 und an das Template 295 der 2b angepasst werden kann. In Folge der kleinen Musterelemente 120 und der kurzen Belichtungswellenlänge, die zum Transformieren der vorbestimmten Strukturelemente von der photolithographischen Maske 100 in den Photolack auf dem Wafer verwendet wird, ist der photolithographische Prozess fehleranfällig. Es gibt mehrere Fehlerquellen. Das Substrat 110 der photolithographischen Maske 100 kann Defekte aufweisen, beispielsweise kann das Substrat leicht gekrümmt sein oder die Transparenz des Substrats 110 bezüglich der DUV Strahlung kann leicht über die Fläche der Maske 100 oder ihres Gesichtsfeldes variieren. Diese Effekte resultieren in einer Variation der auf den Photolack einfallenden optischen Intensität über das Abbildungsfeld der photolithographischen Maske 100. Die Variation der Anzahl der Photonen, die lokal auf den Photolack angewendet wird, resultiert in einer Variation des Bildes der Musterelemente 120 in dem entwickelten Photolack über das Abbildungsfeld hinweg. Wie bereits erwähnt, wird eine solche Variation kritische Abmessungsgleichmäßigkeit (CDU, critical dimensional uniformity) genannt.
  • Es werden im Wesentlichen zwei Größen verwendet, um den Effekt einer Variation der optischen Intensität über die aktive Fläche 150 der photolithographischen Maske 100, die in einem Anwachsen der CDU resultiert, zu quantifizieren. Die maximale Abweichung eines Musterelements 120 bezüglich seines vorgegebenen nominellen Abmaßes kann angegeben werden. Die maximale Abweichung wird aus einer Anzahl von Messungen (normalerweise mehrere hundert Messungen) bestimmt, um eine geeignete statistische Basis zu erzeugen. Alternativ wird angenommen, dass die erzeugte Abweichung von einer Abmessung eines Musterelements oder die kritische Abmessungsvariation (CD, critical dimension) einer Gauß-Verteilung folgt. Die Standardabweichung σ, insbesondere deren 3σ Wert, der Gauß-Verteilung wird dann benutzt, um die CDU zu quantifizieren.
  • Fehler der photolithographischen Maske 100 können sich ergeben, wenn einige der Musterelemente 120 nicht genau an ihrer vorbestimmten Position sind. Die Struktur, die mit diesen Musterelementen in dem Photolack auf dem Wafer erzeugt wird, kann nicht exakt der vorbestimmten Struktur entsprechen und / oder kann nicht genau an der vorbestimmten Position in dem Photolack erzeugt werden. Dieser Typ von Fehlern wird Platzierungsfehler (registration error) genannt. Die Größen, die in dem vorhergehenden Abschnitt beschrieben wurden (maximale Abweichung oder 3σ-Wert der Verteilung), können verwendet werden, um den Betrag der Platzierungsfehler anzugeben. Die Verteilung der Platzierungsfehler über die aktive Fläche 150 der photolithographischen Maske 100 wird normalerweise durch eine zweidimensionale (2D) Karte von Pfeilen veranschaulicht, wobei die Pfeilrichtung die Richtung angibt, in die ein Musterelement mit Bezug auf seine nominale Position verschoben ist, und die Länge der Pfeile gibt den Betrag der Verschiebung an.
  • Da das optische System, das die photolithographische Maske 100 auf den Photolack abbildet, nahe seiner Auflösungsgrenze arbeitet, kann es ferner Störungen, Intensitätsvariationen über eine perfekte photolithographische Maske 100 und weitere Abbildungsfehler erzeugen. Die Unzulänglichkeit der optischen Elemente des photolithographischen Systems fügt Fehler zu der photolithographischen Maske 100 hinzu. Darüber hinaus kann der Photolack auf dem Wafer nicht perfekt sein und kann die Probleme der photolithographischen Maske 100 und der Komponenten des photolithographischen Belichtungssystems vergrößern.
  • Im Folgenden werden zahlreiche Beispiele für die Anwendung des erfindungsgemäßen Verfahrens beschrieben, die die Abbildungsfehler der photolithographischen Maske 100 und der optischen Elemente des photolithographischen Belichtungssystems minimieren. Der Fachmann wird jedoch anerkennen, dass das erfindungsgemäße Verfahren auch zum Korrigieren von Fehlern der reflektiven photolithographischen Maske 200 und des entsprechenden photolithographischen Belichtungssystems verwendet werden kann. Er wird ferner anerkennen, dass das erfindungsgemäße Verfahren auch zum Korrigieren von Fehlern des Templates 295 der 2b benutzt werden kann.
  • Der theoretische und / oder mathematische Hintergrund des erfindungsgemäßen Verfahrens wird in dem letzten Abschnitt „theoretischer Hintergrund“ dargestellt. Während der Diskussion der verschiedenen Beispiele wird auf die Gleichungen Bezug genommen, die in diesem Abschnitt definiert sind.
  • Platzierungsproblem
  • Die 4 stellt ein anfängliches Maskenplatzierungsproblem als einen Satz von Verschiebungen von Musterelementen 120 von ihren vorbestimmten Positionen in Form einer 2D-Karte von Pfeilen dar. Das Verschiebungsproblem ist nur in der aktiven Fläche 150 der photolithographischen Maske 100 definiert, d.h. der Fläche, die die Musterelemente 120 enthält, die in den Photolack, der auf dem Wafer angeordnet ist, gedruckt werden sollen. Die allgemeine Formulierung des mathematischen Problems erlaubt das Einschließen beliebiger Positionen in das Zielfunktional. Das Zielfunktional ist in seiner allgemeinen Form in der Gleichung 38 des Abschnitts „theoretischer Hintergrund“ definiert. Wie in diesem Abschnitt diskutiert, umfasst das Zielfunktional sechs Parameter, um eine lineare Abbildungstransformation in den Optimierungsprozess einzuschließen.
  • Das anfängliche Platzierungsproblem der 4 kann durch die Abweichung (3σ-Zahlenwert der Gauß-Verteilung) der bestimmten Positionen der Musterelemente 120 mit Bezug auf ihre Zielpositionen charakterisiert werden. Für die photolithographische Maske der 4 ist der 3σ-Zahlenwert der Abweichungen von ihren vorgegebenen Positionen 15,8 nm. Dies ist ein großer Wert und kann ernsthaft die Anwendung der Maske in einem photolithographischen Prozess in Frage stellen. Wie in dem Abschnitt „theoretischer Hintergrund“ diskutiert wurde, kann ein Scanner teilweise das Platzierungsproblem durch Durchführen einer linearen Abbildungstransformation vor der Belichtung der photolithographischen Maske 100 lösen. Um das verbleibende Platzierungsproblem nach der Anwendung der besten Scanner Korrektur zu charakterisieren, muss die Größe des Platzierungsproblems berechnet werden, nachdem die lineare Abbildungstransformation virtuell durchgeführt wurde. Eine lineare Abbildungstransformation wird im Folgenden auch Skalierungs- und Orthogonalitätskorrektur (S/O scaling and orthogonality correction) genannt. In dem Beispiel der 4 ist der verbleibende Platzierungsfehler nach einer S/O-Korrektur, wiederum angegeben als ein 3σ-Zahlenwert der Abweichung, 10,9 nm.
  • Die 5 stellt den verbleibenden Platzierungsfehler nach dem Entfernen der Platzierungsfehler dar, die durch eine lineare Abbildungstransformation oder eine S/O-Korrektur korrigiert wurden. Eine photolithographische Maske, die ein Platzierungsproblem in der Größenordnung von 11 nm aufweist, erfüllt nicht eine typische Spezifikation für eine kritische 3x-Knotenschicht und wird wahrscheinlich zurückgewiesen werden, wobei 3x eine typische Notation für einen 30 - 39 nm Knoten, speziell für einen 32 nm Knoten ist.
  • Um die Verbesserung, die mit dem erfindungsgemäßen Verfahren erreicht wird, zu demonstrieren, wird das Zielfunktional der Gleichung 38 (unter Verwendung von Gleichung 31 für ςi) nun für einen einzigen Satz von Laserstrahlparametern oder einen einzigen Schreibmodus ( a m α > a α )
    Figure DE102011078927B4_0041
    gelöst. In diesem Fall kann die Gleichung 38 umgeschrieben werden als: m i n { i ( φ i + j k α l n M i n ( P n j g ) 1 P j k α w a α N k l t l + k T i k s k ) 2 + λ s k s k 2 + λ a k a k 2 }
    Figure DE102011078927B4_0042
    oder m i n { i ( φ i + α Φ i α a α + k T i k s k ) 2 + λ s k s k 2 + λ a k a k 2 }
    Figure DE102011078927B4_0043
  • Alle Deformationseigenschaften, die durch den Laserstrahl in das Substrat der photolithographischen Maske induziert werden, werden in der Matrix Φia zusammengefasst.
  • Das mathematische Problem der Gleichung 41 ist ein Optimierungsproblem einer quadratischen Form. Eine optimale Konvergenz kann durch Verwenden der Methode von konjugierten Gradienten erzielt werden. Die Randbedingungen der Gleichung 39 für die Schreibdichteamplitude (0 < am < (athres)m) erzwingen das Finden der besten Lösung im kubischen Definitionsbereich. Eine Modifikation muss hinzugefügt werden, um die Nebenbedingungen der Gleichung 39 während der Optimierungsprozedur der Gleichung 41 zu berücksichtigen. Wenn in absteigender Richtung gerechnet wird und wenn die Iteration in eine auswärtige Fläche des Definitionsbereichs führt, ist es notwendig, eine Komponente in der Richtung senkrecht zu der Grenze des Definitionsbereichs abzuschneiden. Dies ist jedoch eine schnelle Operation und beeinflusst die Rechenzeit nicht. Das Ausführen einer Optimierung der Gleichung 41 resultiert in einer vorgeschlagenen Korrekturkarte für ein lokales Richten des Laserstrahls 335 auf das Substrat einer photolithographischen Maske. Diese Korrekturkarte ist in der 5 gezeigt. Die Korrekturkarte für den Laserstrahl 335 wird im Folgenden auch Korrekturschreibkarte genannt, da der Laserstrahl 335 Pixel in das Maskensubstrat „schreibt“.
  • Die Dichte von Pixeln, die in das Substrat der photolithographischen Maske geschrieben werden, werden in Prozent der optischen Transmissionsvariation der optischen Intensität ausgedrückt, die zum Belichten der photolithographischen Maske verwendet wird, oder genauer in Prozent der Abschwächung der Belichtung, die durch die Pixel verursacht wird, die in das Maskensubstrat eingeführt oder geschrieben werden. Die maximale Abschwächung, die für Pixel, die für die Korrektur der Platzierungsfehler geschrieben werden, erlaubt ist, beträgt 3 %. Die mittlere Abschwächung der optischen Intensität bei der Belichtungswellenlänge, die für die Korrekturschreibkarte der 6 erforderlich ist, beträgt 1,3 %. Wie man der 6 entnehmen kann, wird sowohl die aktive Fläche als auch die nicht aktive Fläche der photolithographischen Maske für die Korrektur des Platzierungsproblems der 4 verwendet.
  • Durch Durchführen einer Simulation kann vorhergesagt werden, dass der resultierende 3σ-Zahlenwert der Abweichung nach dem Entfernen des Platzierungsfehlers, der durch eine lineare Abbildungstransformation korrigiert werden kann, 6,4 nm betragen wird, was eine Verbesserung von ungefähr 41 % bezüglich der 5 darstellt. In der 5 ist ein Teil des Platzierungsfehlers der 4 durch Durchführen einer linearen Abbildungstransformation korrigiert worden. Die Parameter für eine optimierte lineare Abbildungstransformation werden gleichzeitig aus der Optimierung der Gleichung 41 erhalten. Die Zahlenwerte der sechs linearen Abbildungstransformationsparameter sind in der folgenden Tabelle angeben: Tabelle 6: Lineare Abbildungstransformationsparameter, die aus der Optimierung der Gleichung 41 erhalten werden
    Parameter mx my sx sy rx ry
    Einheit nm nm ppm ppm µR µR
    Zahlenwert 0,14 0,08 0,09 -0,06 0,014 0,026
    (wobei µR die Abkürzung für Mikro-Radian ist)
  • Die 7 zeigt die resultierenden simulierten Platzierungsfehler nach dem Entfernen der Platzierungsfehler, die durch Durchführen einer linearen Abbildungstransformation unter Verwendung der in der Tabelle 6 angegeben Parameter korrigierbar sind.
  • Im nächsten Schritt werden die Laserparameter, die aus der Optimierungsprozedur der Gleichung 41 bestimmt werden, von der Laserquelle 330 verwendet, um lokal Pixel in das Substrat der photolithographischen Maske zu schreiben, so dass die Platzierungsfehler der photolithographischen Maske der 4 minimiert werden. Wie bereits angegeben, können die optimierten Laserstrahlparameter in einer Korrekturschreibkarte angeordnet werden.
  • Die 8 stellt die gemessenen Platzierungsfehler nach dem Korrigieren des Fehlerproblems der Maske der 4 unter Verwendung der optimierten Laserstrahlparameter der Korrekturschreibkarte der 6 dar. Der gemessene verbleibende Platzierungsfehler, wie er durch den 3σ-Zahlenwert der Verteilung angegeben wird, beträgt 7,1 nm, was eine Verbesserung von ungefähr 35 % in Bezug auf das Durchführen nur einer linearen Abbildungstransformation (siehe 5) darstellt.
  • Die 9 zeigt die Unterschiede zwischen der vorhergesagten und der gemessenen Platzierungsfehlerkorrektur. Dieser Vergleich demonstriert, dass die Verbesserung der Fehlerkorrektur durch die Optimierung der Gleichung 41 in der Tat realisiert werden kann. Die erhaltende Verbesserung des Platzierungsproblems der 4 bringt die photolithographische Maske innerhalb die typische Spezifikation für eine kritische 3x-Knotenschicht.
  • In einem zweiten Beispiel für die Anwendung des erfindungsgemäßen Verfahrens, werden Platzierungsfehler in einer photolithographischen Maske korrigiert, ohne dass der Laserstrahl 335 in die aktive Fläche 150 des Substrats 110 der photolithographischen Maske 100 gerichtet wird. Diese Randbedingung verhindert, dass die Korrektur von Platzierungsfehlern neue CDU-Fehler in die aktive Fläche 150 der Maske 100 einführt. Es ist möglich, das Ziel zu formulieren, um die bestmögliche Verbesserung des Platzierungsproblems ohne das Richten des Laserstrahls 335 oder ohne das Schreiben von Pixel in die aktive Fläche 150 der photolithographischen Maske 100 zu erreichen. Im Sinne des in der Gleichung 41 definierten Optimierungsproblems, ist es keine Lösung, Nullwerte einigen Optimierungsparametern a l m
    Figure DE102011078927B4_0044
    zuzuweisen und sie von dem Optimierungsalgorithmus auszuschließen. Es ist klar, dass das ausschließliche Richten des Laserstrahls in eine beschränkte Fläche des Maskensubstrats 110 das Fehlerkorrekturverfahren weniger effektiv macht, wie wenn diese Randbedingung nicht vorhanden ist. Darüber hinaus ist die Fehlerkorrektur auch weniger effektiv, da die Fläche, in die Pixel geschrieben werden können, weit entfernt von der fehlerbehafteten Fläche sein kann. Andererseits gibt es keine Begrenzung für die Variation der optischen Transmission, die durch das Richten des Laserstrahls 335 auf die nichtaktive Fläche 160 der photolithographischen Maske 100 induziert wird. Dieser Vorteil kann teilweise die oben erwähnten Nachteile kompensieren.
  • In dem vorhergehenden Beispiel ist der 3σ-Zahlenwert der gesamten Verschiebung optimiert worden. Sehr häufig spezifizieren die Hersteller photolithographischer Masken die Eigenschaft ihrer Masken durch Angabe der maximalen erlaubten Abweichung (3σ-Zahlenwert) in einer x- oder einer y-Richtung, nach dem eine lineare Abbildungstransformation durchgeführt worden ist. In dem Folgenden wird diese Spezifikation X/Y Spezifikation genannt. Die Optimierung der X/Y Spezifikationseigenschaft erfordert das Einschließen einer diskontinuierlichen Bedingung in das Zielfunktional, was die Lösung des Optimierungsproblems komplexer macht. Deshalb wird hier ein anderes Verfahren vorgestellt, um die Bedingung des Nichtschreibens von Pixeln in die aktive Fläche 150 der photolithographischen Maske 100 zu erfüllen. Das definierte Verfahren wird verschiedene Gewichtsparamater wi an verschiedene Komponenten der ϕi + ζ'i Verschiebungen in dem Zielfunktional der Gleichung 41 zuweisen. Gewichtsparameter, die eine gerade Zahl für den Index i aufweisen, w2*i ermöglichen das Kontrollieren der Verschiebungen von Musterelementen in der x-Richtung und Gewichtsparameter, die eine ungerade Zahl in dem Index i aufweisen, w2*i+1 kontrollieren die Versetzungen von Musterelemente in der y-Richtung. Das Zielfunktional der Gleichung 41 wird nach dem Einführen der Gewichtsparameter wi transformiert in: m i n { i w i ( φ i + α Φ i α a α + k T i k s k ) 2 + λ s k s k 2 + k λ m o ( a k m ) 2 }
    Figure DE102011078927B4_0045
  • Ein Verfahren zum Durchführen der geforderten Optimierungsprozedur zum Bestimmen der wi wird in der 10 dargestellt. Das Verfahren beginnt bei Block 1000. Bei Block 1010 wird der Gewichtsparameter w° = 0 und seine Variationsgrenzen w min 0 = 1  und  w max 0 = 1
    Figure DE102011078927B4_0046
    ebenso wie w x 0  und  w y 0
    Figure DE102011078927B4_0047
    definiert. Nach dem Definieren des Iterationsindex k bei Block 1020, wird die allgemeine Form der Gewichtsparameter für x- und y-Richtung in Block 1030 definiert. Bei Block 1040 wird das Zielfunktional, das in der Gleichung 42 definiert ist, minimiert. Bei Entscheidungsblock 1050 wird entschieden, ob die induzierten Verschiebungen φi eine vorbestimmte Spezifikationsgrenze φthres überschreiten. Wenn diese Bedingung erfüllt ist, werden bei Block 1060 die gegenwärtigen Gewichtsparameter w 2 i k
    Figure DE102011078927B4_0048
    und w 2 i + 1 k
    Figure DE102011078927B4_0049
    als die Lösung für die Gewichtsparameter wx und wy genommen und das Verfahren endet bei Block 1070. Wenn diese Bedingung nicht erfüllt ist, wird in Block 1080 bestimmt, welche Richtung die größte Abweichung (3σ-Zahlenwert) der resultierenden Verschiebungsvariation nach der Korrektur der Fehler, die durch eine lineare Abbildungstransformation korrigierbar sind, aufweist. Bei Entscheidungsblock 1090 wird dann bestimmt, ob diese Richtung die Verschiebungsbedingung des Entscheidungsblocks 1050 erfüllt. Falls dies richtig ist, werden die gegenwärtigen Gewichtsparameter w 2 i   k und  w 2 i + 1 k
    Figure DE102011078927B4_0050
    als die Lösung der Gewichtsparameter wx und wy in Block 1100 genommen und das Verfahren endet bei Block 1070. Falls dies nicht richtig ist, wird bei Entscheidungsblock 1110 entschieden, ob die Richtung des größten 3σ-Wertes (schlechteste Richtung) die x-Richtung oder die y-Richtung ist. In Block 1120 wird der Gewichtsparameter für den Iterationsindex k+1 definiert, wenn die schlechteste Richtung die x-Richtung ist und in dem parallelen Block 1130 wird der Gewichtsfaktor für den Iterationsindex k+1 definiert, wenn die schlechteste Richtung die y-Richtung ist. Dann kehrt das Verfahren zu Block 1030 zurück und in Block 1040 wird das Zielfunktional der Funktion 42 wiederum mit den modifizierten Gewichtsfaktoren gelöst.
  • Die 11a zeigt das Platzierungsproblem, das diesem zweiten Beispiel zum Korrigieren von Platzierungsfehlern zugrunde liegt, ohne dass der Laserstrahl 335, der für die Korrektur des Platzierungsfehlers verwendet wird, in die aktive Fläche 150 der Maske 100 gerichtet wird. Die 11b stellt das verbleibende Platzierungsproblem nach dem Durchführen einer linearen Abbildungstransformation dar. Der gemessene 3σ-Zahlenwert des Platzierungsproblems der 11a ist 9,73 nm für die x-Richtung und 8,52 nm für die y-Richtung und beträgt 12,9 nm für die absolute xy-Verschiebung. Nach dem Korrigieren eines Teils des Platzierungsproblems durch Durchführen einer linearen Abbildungstransformation, ist der 3σ-Zahlenwert des verbleibenden Platzierungsproblems 6,9 nm für die x-Richtung und 8,1 nm für die y-Richtung, was für die y-Richtung 10,6 nm ergibt. Diese Karte der Platzierungsfehler ist in der 11b angegeben.
  • Da der Laserstrahl 335 Pixel nur in den nicht aktiven Teil des Substrats der photolithographischen Maske schreibt, kann eine höhere Abschwächung der optischen Intensität, die durch die Pixel in dem Substrat induziert wird, toleriert werden. Für die in der 12 dargestellte Schreibkorrekturkarte ist die Variation der optischen Transmission auf 10 % begrenzt worden. Die 12 zeigt die Lösung des Problems des zweiten Beispiels in Form einer Schreibdichtekarte. Die Schreibdichtekarte der 12 wurde durch nur einmaliges Durchlaufen der Optimierungsschleife der 10 erhalten.
  • Die 13 stellt das simulierte verbleibende Platzierungsproblem der 11, nach der Anwendung sowohl einer linearen Abbildungstransformation als auch dem Richten des Laserstrahls 335 auf die nicht aktive Fläche der photolithographischen Maske zum Schreiben der korrigierenden Pixel dar. Das geschätzte verbleibende Platzierungsproblem, das durch den resultierenden 3σ-Zahlenwert charakterisiert wird, beträgt nm für die x-Richtung, 7,3 nm für die y-Richtung und 9,37 nm für die absolute Verschiebung. Dieses Ergebnis ergibt eine Verbesserung von 14,5 % für die x-Richtung, eine Verbesserung des Platzierungsfehlers von 9,9 % für die Verschiebung für die y-Richtung und demzufolge nur eine Verbesserung 9,9 % für die X/Y-Spezifikation und eine Verbesserung von 11,8 % für die absolute Verschiebung. Es muss angemerkt werden, dass diese Korrektur ein besseres Ergebnis für die x-Richtung ergibt, die die Verbesserung der X/Y-Spezifikation bestimmt.
  • Die 14 zeigt die Lösung der Schreibdichtekarte nach dem mehrmaligen Durchlaufen der Optimierungsschleife. Die 14 zeigt eine signifikante Variation der Schreibdichtekarte mit Bezug auf die 12 insbesondere mit Bezug auf die y-Richtung.
  • Die 15 stellt die verbleibenden Platzierungsfehler für einen wiederholten Optimierungsprozess gemäß der 10 nach dem Durchführen einer linearen Abbildungstransformation dar. Die geschätzten verbliebenden Platzierungsfehler, wiederum charakterisiert durch den 3σ-Zahlenwert, die nach dem Ausführen des optimierten Korrekturprozesses verbleiben, sind 6,8 nm für die x-Richtung, 6,8 nm für die y-Richtung und 9,7 nm für die absolute Verschiebung. Dieses Ergebnis ergibt eine Verbesserung von 1 % für die x-Richtung und eine Verbesserung des Verschiebungsfehlers von 15,5 % für die y-Richtung und somit eine Verbesserung von 15 % für die X/Y-Spezifikation und eine 9 % Verbesserung für die absolute X/Y-Verschiebung. Der 3σ-Zahlenwert für die resultierenden verbleibenden Platzierungsfehler weist nun denselben Zahlenwert sowohl für die x- als auch die y-Richtung auf. Dies demonstriert, dass das Verfahren der 10 die schlechteste Richtung, die in dem Beispiel der 11 die y-Richtung ist, wesentlich verbessert, ohne die Platzierungsfehler für die x-Richtung zu verschlechtern.
  • Es muss angemerkt werden, dass die potentielle Verbesserung der X/Y-Spezifikation von 15 % nur durch das Schreiben von Pixeln in die nicht aktive Fläche der photolithographischen Maske erhalten wird. Dieses Ergebnis ist eine Folge des hohen Pegels erlaubter Abschwächung, der durch den schreibenden Laserstrahl induziert wird. Eine Variation der optischen Transmission bis zu 10 % ist ziemlich risikoreich, da diese Variation einige lokale Risse in dem Substrat der Maske induzieren könnte. Um das Risiko einer Beschädigung der Maske zu vermeiden, werden die Pixel in zwei verschiedenen Schichten in das Maskensubstrat geschrieben, wobei jede Schicht eine Hälfte der erforderlichen Pixelzahl enthält.
  • Die 16 stellt das gemessene verbleibende Platzierungsproblem der 11 nach dem Anwenden sowohl einer linearen Abbildungstransformation als auch dem Schreiben von Pixeln in zwei Schichten in die nicht aktive Fläche des Maskensubstrats dar. Das gemessene Ergebnis zeigt eine Verbesserung von 10,1 % für die X/Y-Spezifikation, was weniger als der durch die Simulation vorhergesagte Wert ist, der 15 % beträgt, wie dies im Zusammenhang mit der 15 diskutiert worden ist. Eine Analyse des gemessenen Ergebnisses enthüllt, das die Laserstrahlparameter, die zum Schreiben von Pixeln in das Maskensubstrat angewendet wurden und diejenigen, die für die Simulation benutzt wurden, deutlich unterschiedlich waren. Das Experiment wurde unter Laborbedingungen ausgeführt, wobei die Schreibbedingungen für den Laserstrahl 335 und die Umgebungsbedingungen nicht perfekt beibehalten werden. Es verging eine signifikante Zeitspanne von der Kalibrierung des Laserstrahls 335 bis zum Schreiben der Pixel für die Korrektur der Platzierungsfehler. Daraus ergab sich eine Abweichung zwischen den kalibrierten und den tatsächlich angewendeten Laserstrahlparametern. In einer industriellen Umgebung werden die Laserstrahlparameter besser kontrolliert werden. In einer industriellen Umgebung kann jedes Ergebnis eines Schreibens von Korrekturpixeln zum Aktualisieren der Laserstrahlparameter oder der Schreibmodussignatur verwendet werden. Im Folgenden werden die Laserstrahlparameter auch Schreibmodussignatur oder MS für Modussignatur genannt werden, da die Laserstrahlparameter charakteristisch für die Art der Pixel sind, die mit dem Laserstrahl 335 in das Maskensubstrat geschrieben werden. Die MS wird durch die Deformationselemente t l m
    Figure DE102011078927B4_0051
    in den Normalenrichtungen der Gleichung 29 beschrieben.
  • Das Verfahren zum Optimieren der X/Y-Spezifikation weist den Weg zum Optimieren eines beliebigen spezifischen Ziels, wie zum Beispiel nur für eine platzierungskritische Richtung oder für ein Maximum der resultierenden Verschiebung. Dieser Optimierungsprozess kann durch eine iterative Lösung des Zielfunktionals in der Form der Gleichung 42 durchgeführt werden und durch zusätzliches Kontrollieren des Optimierungsprozesses für die Gewichtsparameter.
  • Die Korrektur von Platzierungsfehlern unter Verwendung nur eines Satzes von Laserstrahlparametern oder nur eines Schreibmodus hat eine begrenzte Effizienz. Ein Schreibmodus, in dem alleine die Amplitude variiert wird, kann nur eine Art oder einen Typ von Deformation in jedem Punkt des Maskensubstrats induzieren. Das Verwenden mehrerer Sätze von Schreibmodi oder verschiedener Sätze von Laserstrahlparametern ermöglicht das Kombinieren verschiedener Schreibmodi, um verschiedene Arten oder Typen von Deformationen an jedem Punkt des Maskensubstrats zu erhalten.
  • Die Laserstrahlparameter oder der Schreibmodus ist nicht genau kontrollierbar, da die Parameterwerte des Laserstrahls 335 ständig variieren, beispielsweise durch Altern der Laserquelle 330, durch intrinsische Temperaturfluktuationen des Laserstrahls 335 und aufgrund der Abhängigkeit von Fluktuationen der Umgebungsparameter wie etwa der Temperatur, des Drucks und der Feuchtigkeit. Diese Fluktuationen begrenzen die Genauigkeit der Fehlerkorrektur und die Wiederholbarkeit des Pixel-Schreibprozesses. Von einem mathematischen Standpunkt aus betrachtet, begrenzt dies den Freiheitsgrad in dem Optimierungsraum.
  • Falls ein Satz verschiedener Schreibmodi verfügbar ist und jeder Schreibmodus sich in der Deformation seiner Pixel, die in das Maskensubstrat induziert werden, unterscheidet, kann die resultierende Effizienz zum Kontrollieren der gesamten Deformation, die durch die individuellen Pixel induziert wird, wesentlich größer sein. Dieser Effekt wird unter Verwendung eines sehr einfachen Beispiels demonstriert.
  • Die native Asymmetrie der durch individuelle Pixel induzierten Deformationen kann in günstiger Weise verwendet werden. Zu diesem Zweck werden die gleichen Schreibbedingungen benutzt, aber die photolithographische Maske wird um einen gewissen Winkel gedreht, um tatsächlich eine verschiedene Orientierung der Deformation der individuellen Pixel zu erhalten. Es ist sehr einfach, eine photolithographische Maske um 90° zu drehen, und damit wird wirksam ein zusätzlicher Schreibmodus des Laserstrahls ohne irgendeine Modifikation des Laserstrahls oder der Laserstrahlparameter erhalten. Die zwei Schreibmodi sind gut definiert und haben daher eine gut definierte Schreibmodussignatur auf dem Maskensubstrat.
  • Die 17 veranschaulicht die Modussignaturen für dieses Beispiel, in dem ein nichtgedrehter Laserstrahl 335 und ein um 90° gedrehter Laserstrahl benutzt werden, die eine 0°-Modussignatur beziehungsweise eine 90°-Modussignatur aufweisen. Dieses Diagramm stellt die MS (Modussignatur) des Laserstrahls 335 dar. Wie bereits oben diskutiert, weisen in einem 2D-Modell die Deformationselemente t l m
    Figure DE102011078927B4_0052
    acht Komponenten auf, wobei fünf Komponenten unabhängig sind. Nur drei der fünf unabhängigen Komponenten beeinflussen die potenzielle Energie des Volumens und sind deshalb für die Beschreibung der Deformation wichtig, die von dem Laserstrahl 225 induziert wird. Um die relevanten unabhängigen Komponenten von t l m
    Figure DE102011078927B4_0053
    darzustellen, werden diese Komponenten unter Verwendung von N k l t l m
    Figure DE102011078927B4_0054
    transformiert, was tatsächlich die effektive Deformation einer Elementarfläche α darstellt. Die 17 veranschaulicht die anfängliche Elementfläche a vor dem Richten des Laserstrahls auf die Elementfläche a (schwarzes Quadrat). Das rote Parallelogramm stellt die normalisierte resultierende Elementfläche dar, da nur drei Komponenten von t l m
    Figure DE102011078927B4_0055
    Zahlenwerte aufweisen, die von Null verschieden sind. Das graue Parallelogramm ist die normierte resultierende Elementfläche mit allen fünf Parametern des unabhängigen Parametersatzes.
  • Die 18a zeigt das gemessene anfängliche Maskenplatzierungsproblem, das verwendet wird, um den Effekt des Verwendens von zwei Schreibmodi für den Laserstrahl 335 für den Fehlerkorrekturprozess zu demonstrieren. Das in der 18a dargestellte Platzierungsproblem weist einen sehr chaotischen Charakter auf und eine Korrektur, die nur einen Modus zum Schreiben von Pixeln verwendet, ermöglicht das Verbessern des chaotischen Charakters um nicht mehr als 22 %. Dies ist nicht genug, um diese photolithographische Maske innerhalb die typischen Spezifikation für eine kritische 3x-Schicht zu bringen. Die 18b zeigt das Maskenplatzierungsproblem der 18a nach dem Durchführen einer linearen Abbildungstransformation.
  • Durch Lösen der Gleichung 38 werden zwei Karten von Schreibmodi mit einer0°-Schreibmodussignatur und einer 90°-Schreibmodussignatur erhalten.
  • Die 19a zeigt die vorgeschlagene Korrekturschreibkarte für die 0°-Schreibmodussignatur und die 19b stellt die vorgeschlagene Korrekturschreibkarte für die 90°-Schreibmodussignatur dar. Eine Bedingung ist zu der Gleichung 38 hinzugefügt worden, die die Summe der Schreibpixeldichten oder der Abschwächung der optischen Intensität für beide Schreibmodi auf 5 % begrenzt. Die 20a zeigt die Korrekturschreibkarte, wenn beide Modi der 19a und 19b addiert werden. Es sollte bemerkt werden, dass für beide Modi Pixel sowohl in die aktive Fläche als auch in die nicht aktive Fläche des Maskensubstrats geschrieben worden sind.
  • Die 21a veranschaulicht die Veränderung, die durch die Platzierungskorrektur induziert unter Verwendung der 0°-Schreibmodussignatur wurde, die in der 19a gezeigt ist. Darüber hinaus stellt die 21b die Änderung dar, die durch die Fehlerkorrektur induziert wird, wenn die 90°-Schreibmodussignatur der 19b verwendet wird. Schließlich stellt die 21c die Platzierungskorrektur-Änderung dar, die mit der kombinierten Schreibkorrekturkarte der 20 simuliert wurde.
  • Die 21a zeigt, dass die0°-Schreibkorrekturkarte eine größere Verschiebung in der y-Richtung induziert, wohingegen die 22b anzeigt, dass die 90°-Schreibkorrekturkarte eine größere induzierte Verschiebung in der x-Richtung induziert. Dieses Ergebnis ist in Übereinstimmung mit den Diagrammen der Schreibmodussignaturen für diese Modi in der 17.
  • In der 22a ist die Vorhersage für die kombinierte Wirkung von beiden Laserschreibmodi auf das Platzierungsproblem der 18a dargestellt und die 22b zeigt die nach dem Durchführen einer linearen Abbildungstransformation verbleibenden Platzierungsfehler der 18a.
  • Die Simulationsergebnisse enthüllen, dass eine Verringerung der Platzierungsfehler um 43 % erhalten werden kann, wenn das Maximum der erlaubten Abschwächung der optischen Intensität auf 5 % begrenzt ist. Dies bedeutet, dass der Betrag der Platzierungsfehlerkorrektur näherungsweise verdoppelt werden kann, wenn zwei Schreibmodi des Laserstrahls anstelle eines Einzigen verwendet werden. Diese Verbesserung bringt die photolithographische Maske definitiv in die
  • Die gemessene Verbesserung des Platzierungsproblems der 18b ist in der 23 dargestellt. Der Platzierungsfehler der 18b ist um 35 % verkleinert worden, was etwas geringer als die simulierte Verbesserung ist, die in dem vorhergehenden Absatz diskutiert wurde.
  • Dieses Beispiel beweist die hohe Wirksamkeit des Mehrmodusansatzes beim Schreiben von Pixeln für die Korrektur von Platzierungsfehlern. Die 90°-Drehung der photolithographischen Maske und / oder des Laserstrahls erlauben das Definieren eines weiteren hilfreichen Ansatzes, der eine fruchtbare Vereinfachung der Mehrmoduskorrektur darstellt, der auf einem regulären Werkzeug zur kritischen Abmessungskorrektur (CDC, critical dimension correction) implementiert werden kann. Die Einführung von mehreren Schreibmodi kann ferner zu einer wesentlichen Erweiterung der Fehlerkorrekturkapazitäten führen; diese Erweiterung erfordert jedoch die Entwicklung eines dezidierten Platzierungskontroll-Werkzeugs (registration control (RegC) tool), das ein hochentwickeltes Kontrollsystem für den Laserstrahl 335 aufweist.
  • Nachfolgend wird nun demonstriert, dass es möglich ist, die CD oder die optische Abschwächung zu kontrollieren, wenn Pixel in das Maskensubstrat für die Platzierungskorrektur eingeführt werden. In einem ersten Beispiel ist es nützlich, wenn es kein wesentliches CD-Problem gibt, oder wenn CD-Informationen nicht verfügbar sind. Aus der Theorie für die CD-Kontrolle ist es bekannt, dass die CD-Verteilung nicht geändert wird, wenn das Schreiben der Pixel eine konstante Abschattungsdichte in das Substrat der photolithographischen Maske einführt. Es ist deshalb der Plan, den Laserstrahl 335 zum Schreiben von Pixeln mit mehreren Laserstrahlparametern oder mit mehreren Schreibmodi mit einer zusätzlichen Bedingung oder Randbedingung zu verwenden, die sicherstellt, dass die Summe aller Schreibdichten für alle Schreibmodi über die aktive Fläche der photolithographischen Maske konstant ist. Es ist bereits bekannt, dass die Anwendung von Laserstrahlen, die verschiedene Pulsenergien aufweisen, ohne Ändern der Fokussierungsbedingungen in Schreibmodi des Laserstrahls 335 resultieren, die verschiedene Schreibdichten, aber gleichzeitig die gleiche Schreibmodussignatur aufweisen.
  • Um diesen Effekt zu demonstrieren, werden aus Einfachheitsgründen nur zwei Schreibmodi in dem folgenden Beispiel verwendet. Wenn zwei verschiedene Schreibmodi in das Zielfunktional der Gleichung 41 eingeführt werden, ändert sich diese zu: (Gleichung 43) m i n { i ( φ i + α Φ i α 1 a 1 α + + α Φ i α 2 a 2 α + k T i k s k ) 2 + λ s k s k 2 + λ 1 a k a k 1 2 + λ 2 a k a k 2 2 }
    Figure DE102011078927B4_0056
  • Die Bedingung konstanter Schreibdichte wird durch die Gleichung ausgedrückt: a 1 α + a 2 α = c
    Figure DE102011078927B4_0057
    wobei c eine willkürliche Konstante ist. Aus Vereinfachungsgründen wird nun wiederum angenommen, dass das CDC-Verhältnis für beide Schreibmodi identisch ist; andernfalls wäre es notwendig, Proportionalitätsfaktoren in die Schreibdichten in Gleichung 44 einzuführen, ähnlich beispielsweise r1 · a1 α + r2 · a2 α = c, um die Neutralität des CD-Schreibens sicherzustellen. Der Zahlenwert der Konstante c wird durch den Belichtungspegel definiert, der garantiert, dass keine Degradation in dem Substrat der photolithographischen Maske induziert wird. Je größer der Parameter c sein kann, desto bessere Verbesserungen können sowohl für die Platzierungskorrektur als auch für die CD-Korrektur erreicht werden; desto größter ist aber andererseits die Abschwächung der optischen Intensität bei der Belichtungswellenlänge.
  • Unter Verwendung der Gleichung 44 kann das Zielfunktional der Gleichung 43 in ein Problem eines Schreibmodus transformiert werden: m i n { i ( φ i + α ( Φ i α 1 Φ i α 2 ) a 1 α + a α Φ i α 2 + k T i k s k ) 2 + λ s k s k 2 + λ a k a k 1 2 }
    Figure DE102011078927B4_0058
  • Die Gleichung 45 kann auf dem gleichen Weg wie das Zielfunktional der Gleichung 41 für einen einzigen Schreibmodus gelöst werden.
  • In dem nachfolgenden Beispiel wird wiederum das Platzierungsproblem der 4 verwendet. Für den Optimierungsprozess der Gleichung 45 werden die Pixel in das Substrat der photolithographischen Maske eingeführt oder geschrieben, wobei der Laserstrahl 335 zwei unterschiedliche Pulsenergien aufweist oder er wird mit zwei verschiedenen Sätzen von Laserstrahlparametern angewendet. Der Laserstrahl, der eine Pulsenergie von 0,52 µJ aufweist, wird Regulärer- oder Standard-Schreibmodus genannt und der Laserstrahl 335, der eine Pulsenergie von 0,36 µJ aufweist, wird Niedrigplatzierungs-Schreibmodus (low registration (LowReg) writing mode) genannt (siehe Tabellen 2 und 3). Die Pulslänge für beide Schreibmodi ist 8 ps. Die Pulswiederholrate beträgt 50 kHz. Der Brennfleck beträgt 920 - 950 µm für beide Schreibmodi. Der Zahlenwert der Brenngröße ist ein nomineller Wert, da bei diesen Leistungsdichten ein wesentlicher Betrag von Selbstfokussierung vorliegt. Die Anzahl der Pulse, die zum Schreiben eines einzigen Pixels verwendet werden, beträgt eins. Der Abstand benachbarter Pixel in der x- und der y-Richtung beträgt 1-10 µm. Ein Ti:Saphir-Lasersystem, das eine Wellenlänge von ungefähr 800 nm aufweist oder ein Nd-YAG-Lasersystem mit frequenzverdoppelten Laserpulsen kann zum Schreiben der Pixel in das Substrat der photolithographischen Maske für das vorher diskutierte Beispiel ebenso wie für die weiteren in dieser Beschreibung vorgestellten Beispiele verwendet werden.
  • Es wird bemerkt, dass die Platzierungsänderung des LowReg-Schreibmodus ungefähr viermal geringer ist als die des regulären Schreibmodus. Wie bereits in dem vorhergehenden Absatz angegeben, definiert der LowReg-Schreibmodus ein Schreiben von Pixeln mit dem Laserstrahl 335, der eine kleinere Pulsenergie pro Pixel wie für den regulären Schreibmodus aufweist. Deshalb resultiert der LowReg-Schreibmodus in einer geringeren Ausdehnung des Maskensubstrats bei der gleichen Schreibdichte wie die Anwendung des regulären Schreibmodus. Der Grund für diese große Differenz in der Ausdehnung des Maskensubstrats zwischen dem regulären und dem LowReg-Schreibmodus liegt darin, dass die LowReg-Pulsenergie nahe der Schwelle des optischen Zusammenbruchs ist, wohingegen die Pulsenergie des regulären Modus diese Schwelle signifikant übersteigt.
  • Die 24 zeigt die Signaturen des regulären Schreibmodus (linker Teil) und die Signatur des LowReg-Schreibmodus (rechter Teil) in einer normalisierten Darstellung.
  • Diese verschiedenen Pulsenergien für die Signaturen des regulären Schreibmodus und des LowReg-Schreibmodus werden gewählt, um klare Verantwortlichkeiten für die zwei Schreibmodi des Laserstrahls 335 zu haben. Der reguläre Schreibmodus, der stärkere Deformationen induziert, ist für die Platzierungskorrektur verantwortlich, wohingegen der LowReg-Schreibmodus die Abschattung des Maskensubstrat durch den regulären Schreibmodus vervollständigt, um die Abschattung oder die Abschwächung der optischen Intensität bei der Belichtungswellenlänge über das Abbildungsfeld der photolithographischen Maske hinweg einheitlich zu halten.
  • Die berechnete Korrekturschreibkarte für den regulären Modus ist in der 25a dargestellt und die 25b zeigt die Korrekturschreibkarte für den LowReg-Schreibmodus. Aus den 25a und 25b ist leicht zu erkennen, dass die Summe der Schreibdichtekarten zu einer konstanten Abschattung der aktiven Fläche des Substrats der photolithographischen Maske führt. Der Abschwächungspegel für den optischen Strahl bei der Belichtungswellenlänge der Maske wird in den 25a und 25b so gewählt, dass er 3 % beträgt. Das Schreiben von Pixeln, die eine optische Abschwächung von 3 % induzieren, wird gewählt, da umfangreiche Untersuchungen ergeben haben, dass dieser Zahlenwert das Drucken mit der photolithographischen Maske nicht beeinflusst. Der Effekt einer konstanten Abschwächung von 3 % muss durch ein entsprechendes Anheben der Dosis der optischen Intensität, die zur Belichtung der photolithographischen Maske verwendet wird, kompensiert werden.
  • Die berechnende Verbesserung der Korrektur des Platzierungsfehlers der 4 beträgt 39 %. Dieser Prozentsatz ist geringfügig geringer als die für einen einzigen Moduskorrekturprozess berechnete Verbesserung, die 41 % beträgt.
  • Die Korrekturschreibkarte der 25a ist ähnlich der Korrekturschreibkarte der 6. Diese Ähnlichkeit reflektiert die Tatsache der künstlich gewählten Trennung der Verantwortlichkeiten der beiden Schreibmodi. Der reguläre Schreibmodus ist für die Korrektur des Platzierungsproblems der 4 bestimmt, wohingegen der LowReg-Schreibmodus dazu dient, die Abschattungsgleichmäßigkeit über die photolithographische Maske hinweg zu bewahren. Die simulierte oder die vorhergesagte Änderung, die für die Korrektur des Platzierungsproblems der 4 verwendet wird, ist in der 26 angegeben. Wie aus den Schreibkorrekturkarten der 6 und 25a zu erwarten ist, werden die Verschiebungen der Musterelemente, die durch das Schreiben der Pixel in das Substrat der photolithographischen Maske induziert werden, für die 7 und 26 ebenfalls ähnlich sein.
  • In dem nachfolgenden Beispiel wird eine weitere Anwendung für das erfindungsgemäße Verfahren vorgestellt. Es wird nun angenommen, dass die photolithographische Maske das Platzierungsproblem der 4 aufweist und zusätzlich ein CD-Gleichmäßigkeitsproblem (CDU) aufweist. Das CD-Gleichmäßigkeitsproblem ist in der 27a präsentiert. Die 27b zeigt die CD-Korrekturschreibkarte, die zum Korrigieren des CD-Gleichmäßigkeitsproblems der 27a erforderlich ist. Die CD-Korrekturkarte wird durch den bekannten kritischen Abmessungskorrektur- (CDC) Verhältnisfaktor und einen vorgegebenen Ziel-CD-Wert bestimmt. Im Folgenden wird angenommen, dass die Ziel-CD die kleineste CD ist und dass das CDC-Verhältnis 1,2 nm pro Prozent optischer Abschwächung beträgt. Die resultierende CD-Korrekturkarte MCDC(x,y) ist in der 27b präsentiert.
  • Wie bereits erwähnt, wird angenommen, dass die Maske der 27a zusätzlich das Platzierungsproblem der 4 aufweist. Wiederum aus Einfachheitsgründen wird ferner angenommen, dass die unterschiedlichen Schreibmodi ein identisches CDC-Verhältnis aufweisen. Diese Annahme ermöglicht die Spezifizierung eines Wertes für die Zieldichte, die in der Gleichung 44 einfach eine Konstante ist, als der Mittelwert der Schreibmodi, die für das Schreiben der CD-Korrektur in der Elementfläche a verwendet werden. Außerhalb der aktiven Fläche der photolithographischen Maske kann ein beliebiges Verhalten für die Schreibdichte gewählt werden. Aus Einfachheitsgründen wird ferner die gleiche Bedingung für die akkumulierte Schreibdichte gewählt wie für eine Zielabschwächung, die 5 % beträgt.
    Innerhalb der aktiven Fläche: aα = ∫α MCDC(x,y)dxdy/ ∫α dxdy
    Innerhalb der nicht aktiven Fläche: aα = 5
    a 1 α + a 2 α = a α
    Figure DE102011078927B4_0059
  • Das Zielfunktional der Gleichung 45 wird nun mit der Randbedingung der Gleichung 46 gelöst. Das Ergebnis dieser Optimierung ist in der 28a für den ersten Schreibmodus, in der 28b für den zweiten Schreibmodus des Laserstrahls 335 angegeben und die 28c zeigt die kombinierten Korrekturschreibmodi der 28a und 28b.
  • Aus diesen Figuren kann leicht erkannt werden, dass die Summe der Schreibdichten für den ersten Schreibmodus und den zweiten Schreibmodus genau die Schreibdichte ist, die für das Schreiben von Pixeln für die CD-Korrektur innerhalb der aktiven Fläche erforderlich ist. Eine Abschätzung der Verbesserung des Platzierungsfehlers, der aus dem Optimierungsprozess der Gleichungen 45 und 46 resultiert, beträgt 36 %, was wiederum sehr ähnlich zu der bestmöglichen Verbesserung ist, wenn ein einziger Schreibmodus verwendet wird (siehe die Diskussion der 7). Dieses Ergebnis ist verständlich, da der Freiheitsgrad in dem Optimierungsraum durch das Verwenden eines zweiten Schreibmodus für den Laserstrahl 335 vergrößert wird. In dem vorliegenden Beispiel wird diese Fähigkeit zur Verbesserung der CD-Gleichmäßigkeit verwendet. Die resultierende CDU muss perfekt mit Bezug auf die Genauigkeit der Eingangs-CDU-Daten sein und innerhalb der Stabilität des Pixelschreibprozesses liegen.
  • Das verbleibende Platzierungsproblem der 4, das aus einer Simulation resultiert, ist in der 29 dargestellt. Wie zu erwarten, weist das verbleibende Platzierungsproblem der 28 denselben Fingerabdruck wie in der 7 auf. Jedoch unterscheidet sich das Schreiben der Korrekturen der 28a und 28b einerseits und der 6 andererseits deutlicher aufgrund des zusätzlichen Problems des gleichzeitigen Korrigierens der CD-Gleichmäßigkeit der 27b mit den Schreibkorrekturkarten der 28a und 28b.
  • Es ist möglich, einen Mehrmodusansatz zu verwenden und möglicherweise 80 % der Platzierungsfehler zu entfernen. Eine mögliche Wahl der verwendeten Schreibmodi könnte die Anwendung eines regulären Schreibmodus mit einer 0°-Modussignatur und eines weiteren regulären Schreibmodus mit einer 90°-Modussignatur sein und die Anwendung eines LowReg-Schreibmodus als eines dritten Schreibmodus. Die Parameterwerte für den regulären Schreibmodus und den LowReg-Schreibmodus sind in den Tabellen 2 und 3 zusammengefasst. Das große Potenzial für die Verbesserung sowohl der Platzierungsfehler als auch der CDCD-Gleichmäßigkeitsfehler ist ein Ergebnis des sehr systematischen anfänglichen Platzierungsproblems der 4.
  • CDU-Probleme
  • In den nachfolgenden Beispielen wird das erfindungsgemäße Verfahren auf komplizierte kritische Abmessungs-Gleichmäßigkeisprobleme (critical dimension uniformity (CDU) problems) angewendet. Die Gleichung 29 des Teils „theoretischer Hintergrund“ beschreibt die Knotenverschiebungen ξi, die durch die Anwendung des Laserstrahls 335 induziert werden, der die Schreibdichteamplituden a m α
    Figure DE102011078927B4_0060
    und die Deformationselemente t l m
    Figure DE102011078927B4_0061
    in den Normalenrichtungen l aufweist. Ähnlich zu der oben diskutierten Korrektur von Platzierungsproblemen, werden Pixel in das Substrat der photolithographischen Maske für die CD-Korrektur geschrieben. Daher kann für irgendein vorbestimmtes CDC-Problem die Gleichung 29 verwendet werden, um die Verschiebungen zu berechnen, die aus dem Schreiben von Pixeln resultieren. Die Verschiebungen, die aus einem CDC-Prozess resultieren, können Positionen der Musterelemente der photolithographischen Maske ändern und können möglicherweise das Leistungsverhalten der Maske verschlechtern. Im Folgenden wird diskutiert, wie die CDC durchgeführt werden kann, ohne die Positionen der Musterelemente negativ zu beeinflussen, oder in anderen Worten, ohne das Einführen von Platzierungsfehlern während des Korrigierens von CD-Gleichmäßigkeitsproblemen.
  • Ein typischer CDC-Prozess erfordert nicht die Anwendung von mehreren Schreibmodi für den Laserstrahl 335. Viel eher wird der CDC-Prozess unter Verwendung eines einzigen Schreibmodus durchgeführt, der auch Prozessfenster (process window (PW)) genannt wird. Durch Verwenden der Gleichungen 29 und 32 ist es möglich, die Veränderungen vorherzusagen, die durch den Schreibmodus für die CD-Korrektur an den Positionen der Musterelemente an den wichtigen Stellen induziert werden. Für einen einzigen CDC-Schreibmodus sind die Verschiebungen gegeben durch: ζ i c d c = j Φ i j c d c a j c d c
    Figure DE102011078927B4_0062
    wobei die Matrix Φij in den Gleichungen 40 und 41 definiert ist.
  • Eine typische CDC-Aufgabe umfasst das Schreiben von Pixeln durch den Laserstrahl 335 über das Bildfeld der photolithographischen Maske hinweg, das die aktive Fläche 150 der photolithographischen Maske 100 ist. Normalerweise werden keine Pixel in die nicht aktive Fläche 160 des Substrats 110 der photolithographischen Maske 100 geschrieben. Für die CD-Korrektur werden Pixel normalerweise in die Mitte der Tiefe oder Höhe des Substrats der photolithographischen Maske geschrieben, die einen Abstand von ungefähr 3,175 mm von den Musterelementen aufweist. Für dieses Beispiel ist angenommen worden, dass die Tiefe oder Höhe des Maskensubstrats 6,35 mm beträgt. Das Objektiv, das für die Belichtung verwendet wird, weist eine NA von 0,25 in Luft auf und ungefähr 0,17 in dem Substrat 110 der photolithographischen Maske 100. Es kann aus einfachen geometrischen Überlegungen gezeigt werden, dass das erzeugte Abschattungselement ungefähr 0,5 mm breiter als die Fläche der Musterelemente sein muss, um homogen die gesamte Belichtung der photolithographischen Maske abzuschatten. Um ein homogenes Abschatten der Belichtung der CD-Korrektur sicherzustellen, werden Pixel normalerweise in eine Fläche geschrieben, die die Fläche der Musterelemente um etwa 1 mm übersteigt.
  • Im Folgenden werden Pixel auch in die nicht aktive Fläche geschrieben, um Deformationen zu erzeugen, die in Kombination mit der Gleichung 47 und einer linearen Abbildungstransformation, die durch den Scanner oder den Stepper des photolithographischen Belichtungssystems ausgeführt wird, einen kleineren Betrag der induzierten Platzierungsänderung ergeben. Mit anderen Worten, es ist das Ziel, eine Apodisation der induzierten Platzierungsänderung zu erzeugen. Dieser Ansatz wird Smart-Apodisation (smart APO) genannt. Dieser Name wird gewählt, da bereits das Schreiben von Pixeln gemäß einer einfachen Abklingperipherie (fading periphery) als eine Apodisation verwendet werden kann. Analog zu der Gleichung 47 beträgt die Platzierungsänderung, die durch das Schreiben der Apodisations-Pixel induziert wird: ζ i a p o = j Φ i j a p o a j a p o
    Figure DE102011078927B4_0063
  • Es wird hier angenommen, dass es möglich ist, einen Schreibmodus des Laserstrahls 335 für die Apodisations-Aufgabe auszuwählen, der unterschiedlich zu dem ist, der in dem CDC-Prozess verwendet wird. Dieser Schreibmodus wird im Folgenden Apodisations-Schreibmodus genannt. Dieser Schreibmodus verwendet normalerweise Hochleistungspulse des Laserstrahls 335. Der Grund für das Verwenden eines verschiedenen Schreibmodus ist zum Beispiel das Vergrößern des Apodisations-Schreibdurchsatzes oder eines Optimierungsmittels für die Apodisations-Kompensation. Es ist daher das Ziel, Apodisations-Amplituden a j a p o
    Figure DE102011078927B4_0064
    und lineare Abbildungstransformationsparameter oder Scanner-Kompensationsparameter sj zu finden, die das folgende Zielfunktional minimieren: m i n { i ( j Φ i j c d c a j c d c + j Φ i j a p o a j a p o + j T i j s j ) 2 + λ s k s k 2 + λ a k a k a p o 2 }
    Figure DE102011078927B4_0065
  • Die Gleichung 49 ist ein einfaches Optimierungsproblem. Die Apodisations-Amplituden a j a p o
    Figure DE102011078927B4_0066
    sind nur in den Zellen der nicht aktiven Fläche 160 des Substrats 110 der photolithographischen Maske 100 definiert. Die Effizienz der Smart-Apodisations-Methode wird nun auf der Basis mehrerer Beispiele demonstriert.
  • In einem ersten Beispiel wird die Apodisiation gezeigt, die aus einem Standard-Test-Schreiben resultiert. Ein Standard-Test-Schreiben wird entworfen, um die Schreib-Gleichmäßigkeit und die induzierte Deformation für das spezifische PW (Prozessfensters) zu kontrollieren. Die 30 zeigt eine konstante Schreibdichte in der rechteckigen grauen Fläche, die eine Abschwächung von 2 % der optischen Intensität induziert.
  • Die 31a zeigt die gemessene Platzierungsänderung, die durch das Test-CDC-Schreiben induziert wird, und die 31b veranschaulicht die verbleibende Platzierungsänderung nach dem Durchführen einer linearen Abbildungstransformation. In 31a beträgt der 3σ-Zahlenwert des Platzierungsfehlers 41,5 nm für die x-Richtung und 69,2 nm für die y-Richtung. Nach dem Durchführen einer linearen Abbildungstransformation (S/O-Korrektur) verbleibt ein verbleibender Fehler von 5,4 nm für die x-Richtung und 14,9 nm für die y-Richtung. Für Demonstrationszwecke ist das gewählte Test-Schreiben sehr aggressiv gewählt worden und es induziert offensichtlich eine wesentliche Platzierungsänderung. Da die Test-Pixel gleichmäßig über die aktive Fläche der photolithographischen Maske geschrieben werden, kann ein großer Teil der resultierenden Platzierungsänderung durch eine lineare Abbildungstransformation, die von einem Scanner (oder einem Stepper) des photolithographischen Belichtungssystems durchgeführt wird, kompensiert werden.
  • Da die CDC-Schreibmodussignatur aus der Gleichung 47 bekannt ist, kann die Platzierungsänderung, die aus der Standard-Test-Schreibkarte der 30 resultiert, simuliert werden. Die Ergebnisse dieser Simulation sind in den 32a und 32b dargestellt. Die simulierten Ergebnisse zeigen eine sehr gute Übereinstimmung mit der gemessenen Platzierungsänderung der 31a und 31b. Diese Übereinstimmung zeigt klarerweise, dass es möglich ist, eine induzierte Platzierungsänderung ohne Durchführen einer entsprechenden Messung vorherzusagen.
  • Mit diesem Ergebnis ist es möglich, den Betrag der Apodisation zu berechnen, der zum Minimieren des verbleibenden Platzierungsfehlers erforderlich ist, d.h. die Platzierungsänderung, die durch den CDC-Prozess induziert wird, wird nicht gemessen, stattdessen wird diese Platzierungsänderung simuliert und die erhaltenen Ergebnisse zum Berechnen der besten Apodisations-Parameter verwendet. Aus diesem Grund ist es nur notwendig, die Platzierungsänderung in der aktiven Fläche der photolithographischen Maske zu betrachten.
  • Die 33a zeigt die simulierte Platzierungsänderung innerhalb der aktiven Fläche der photolithographischen Maske. Die simulierte Platzierungsänderung in der aktiven Fläche der Maske, charakterisiert durch den 3σ-Zahlenwert, beträgt 22,5 nm für die x-Richtung und 47,1 nm für die y-Richtung. Die 33b stellt den verbleibenden Platzierungsfehler nach dem Durchführen einer linearen Abbildungstransformation durch den Scanner des photolithographischen Belichtungssystems dar. Der verbleibende Platzierungsfehler beträgt 2,1 nm für die x-Richtung und 6,2 nm für die y-Richtung.
  • Unter Verwendung dieser Daten kann eine Apodisations-Schreibkarte berechnet werden, die die Platzierungsänderung, die durch die CD-Korrektur induziert wird, verringert. Die 34a zeigt die berechnete Apodisations-Schreibkarte. Eine Variation der optischen Intensität in der nicht aktiven Fläche der photolithographischen Maske von 5 % ist für die Berechnung dieser Apodisations-Schreibkarte zugelassen gewesen.
  • Die 34b gibt die Platzierungsänderungen in der aktiven Fläche der photolithographischen Maske an, die durch das Apodisations-Schreiben von Pixeln in der nicht aktiven Maskenfläche induziert werden. Die 35a präsentiert die Platzierungsänderung, die aus den Platzierungsänderungen der CD-Korrektur (33a) und dem Apodisations-Schreiben (34) und die 35b gibt die verbleibenden Platzierungsänderungen der 35a nach dem Durchführen einer linearen Abbildungstransformation an.
  • Nachdem die CDC- und die APO-Schreibkarten in das Maskensubstrat geschrieben sind, addieren sich die simulierten Platzierungsänderungen in der aktiven Fläche (3σ-Zahlenwert) auf 27,3 nm für die x-Richtung und 54,3 nm für die y-Richtung. Nachdem eine lineare Abbildungstransformation durchgeführt ist (S/O-Korrektur), verbleibt ein verbleibender Platzierungsfehler von 1,72 nm für die x-Richtung und 1,78 nm für die y-Richtung. Dies stellt eine Verbesserung von 71 % mit Bezug auf die Situation der 31 dar. Demzufolge ist der verbleibende Platzierungsfehler in der Fläche der photolithographischen Maske um einen Faktor 3 bis 4 reduziert worden. Daher kann der Apodisations-Prozess die Platzierungsfehler, die durch den CD-Korrekturprozess eingeführt werden, zu einem Großteil reduzieren.
  • In einem weiteren Beispiel wird die Auswirkung einer Standard-Apodisations-Maßnahme nun demonstriert. Die Standard-Apodisations-Maßnahme umfasst das Schreiben von Pixeln in die nicht aktive Fläche der photolithographischen Maske, die von dem CDC-Level bis auf einen Level abklingt, der eine Schreibdichte von Null aufweist.
  • In der 36a ist das Schreiben einer Abklink-Apodisation dargestellt. Die 36b zeigt Simulationsergebnisse der Platzierungsänderungen, die durch die Abkling-Apodisations-Schreibkarte der 36a induziert wird. Die 36b stellt eine ähnliche Kompensation der Platzierungsänderungen an den Ecken der aktiven Schicht wie in 34b dar.
  • Im Folgenden wird der Effekt der Abkling-Apodisation (fade out apodization) an Platzierungsfehlern, die durch das Test-CDC-Schreiben induziert werden, analysiert. Die 37a präsentiert eine Schreibkarte, die sowohl das Test-CDC-Schreiben der 30 als auch die Abkling-Apodisation der 36a kombiniert. Die 37b stellt die resultierende Platzierungsänderung der Schreibkarte der 37a dar. Die resultierende Platzierungsänderung, die durch Ausführen einer entsprechenden Simulation erhalten wird, beträgt für die aktive Fläche der photolithographischen Maske (3σ-Zahlenwert) 26,3 nm für die x-Richtung und 52,3 nm für die y-Richtung. Wie in der 37C angegeben, beträgt nach dem Durchführen einer linearen Abbildungstransformation (S/O-Korrektur) der verbleibende Platzierungsfehler 2,1 nm für die x-Richtung und 3,8 nm für die y-Richtung. Dies resultiert in einer Verbesserung von 38 % verglichen mit der simulierten Platzierungsänderung innerhalb der aktiven Fläche der photolithographischen Maske, die in der 33a veranschaulicht ist.
  • Daher kann einfach durch Anwenden der Abkling-Apodisation eine Verringerung des Platzierungsfehlers innerhalb der aktiven Fläche der photolithographischen Maske um einen Faktor 1,5 erhalten werden. Dieses Ergebnis ist außergewöhnlich gut aufgrund der Tatsache, dass ein sehr symmetrisches und einheitliches Test-CDC-Schreiben verwendet worden ist. Für den allgemeinen Fall variiert die erreichte Verbesserung zwischen 5 und 30 %. Jedoch ist selbst diese Verbesserung wichtig genug, um den Aufwand des Ausführens einer Abkling-Apodisation zu rechtfertigen. Es besteht nicht die Notwendigkeit, den genauen Wert der Schreibmodussignatur für die Abkling-Apodisation zu und kennen, es ist keine zusätzliche Berechnung notwendig. Diese Punkte sind wichtige Vorteile des vorgestellten Ansatzes. Es ist nur erforderlich, eine einheitliche Abklink-Apodisation in die nicht aktive Fläche der photolithographischen Maske zu schreiben. Andererseits bietet ein smarter Apodisations-Ansatz sicherlich ein wirksameres Kompensationsverfahren, das darüber hinaus weniger Schreibzeit benötigt.
  • In einem zweiten Beispiel wird nun demonstriert werden, wie Smart-Apodisation auf eine realistische CDC-Aufgabe angewendet werden kann. Die 38a zeigt eine CD-Korrekturschreibkarte für die aktive Fläche einer photolithographischen Maske. Die 38b stellt die Platzierungsänderungen dar, die durch das Schreiben der CD-Korrektur-Pixel induziert werden und die 38c veranschaulicht die verbleibenden induzierten Platzierungsänderungen der 38b nach dem eine lineare Abbildungstransformation durchgeführt worden ist (S/O-Korrektur).
  • Die CD-Korrekturschreibdichte ist relativ gering und weist eine maximale Abschwächung der optischen Intensität von nur 2,1 % auf und die mittlere Abschwächung beträgt weniger als 1 %. Die CDC-Aufgabe induziert eine Platzierungsänderung von 15,2 nm in der x-Richtung und von 35,7 nm in der y-Richtung in der aktiven Fläche der photolithographischen Maske. Selbst mit dieser geringen Schreibdichte, relativ zu der der unten in der 40a angegebenen CDC-Aufgabe, induziert die CDC-Aufgabe der 38a eine relativ signifikante Platzierungsänderung. Nach dem Durchführen einer linearen Abbildungstransformation (S/O-Korrektur) addiert sich die verbliebende Platzierungsänderung auf 4,6 nm für die x-Richtung und auf 8,4 nm für die y-Richtung.
  • Die 39a zeigt die Apodisations-Karte gemäß der CDC-Aufgabe der 38a. Die 39b veranschaulicht die Platzierungsänderungen, die durch die Apodisations-Karte der 39a in der aktiven Fläche der photolithographischen Maske induziert werden und die 39c stellt die nach dem Durchführen einer linearen Abbildungstransformation verbleibenden Platzierungsänderungen dar. Die verbleibende Platzierungsänderung der CDC-Aufgabe der 38a in Kombination mit der Apodisations-Aufgabe der 39a resultiert in einer verbleibenden Platzierungsänderung von (3σ-Zahlenwert) 3,15 nm für die x-Richtung und 3,54 nm für die y-Richtung. Diese Verbesserung beträgt 58 %, was die Platzierungsänderung, die durch die CD-Korrektur induziert wird, die in der 38b dargestellt ist, näherungsweise halbiert.
  • Anhand eines dritten Beispiels wird die Auswirkung des Smart-Apodisations-Ansatzes nun für eine weitere typische CDC-Aufgabe demonstriert. Die 40a zeigt eine CD-Korrekturschreibkarte für die aktive Fläche einer photolithographischen Maske für ein typisches CDC-Problem. Die 40b stellt die Platzierungsänderungen, die durch das Schreiben der CD-Korrektur-Pixel induziert werden, dar und die 40c veranschaulicht die verbleibenden induzierten Platzierungsänderungen der 40b, nachdem eine lineare Abbildungstransformation (S/O-Korrektur) durchgeführt worden ist.
  • Die maximale Abschwächung, die durch die CDC-Schreibdichte induziert wurde, beträgt 2,8 % und im Mittel führt die CDC-Schreibdichte eine Abschwächung der optischen Intensität bei der Belichtungswellenlänge von 1,7 % ein. Diese Änderungen in der Abschwächung ist viel größer als diejenige für die CDC-Aufgabe in 38a. Die simulierte Platzierungsänderung in der aktiven Fläche (3σ-Zahlenwert) beträgt 12,2 nm für die x-Richtung und 53,4 nm für die y-Richtung. Die Platzierungsänderung, die durch das Schreiben der CD-Korrektur induziert wird, ist viel größer als die für die CDC Aufgabe der 38a. Nach dem Durchführen einer linearen Abbildungstransformation (S/O-Korrektur) verbleibt eine Platzierungsänderung von 2,3 nm für die x-Richtung und 7,0 nm für die y-Richtung. Es kann erkannt werden, dass die verbleibende Platzierungsänderung für die CDC-Aufgabe der 40a geringer ist als für die Aufgabe der 40a. Dies ist die Folge einer einheitlicheren CDC-Schreibkarte.
  • Die 41a zeigt die Apodisations-Karte, die für die CDC-Aufgabe der 40a berechnet wurde. Die 41b stellt die Platzierungsänderungen, die in der aktiven Fläche der photolithographischen Maske durch die Apodisations-Karte der 41a induziert werden, vor.
  • Die 42a stellt eine Schreibkarte vor, die sowohl die CDC-Aufgabe der 40a und die berechnete Apodisations-Aufgabe der 41a kombiniert. Die 42b stellt die resultierende Platzierungsänderung der Schreibkarte von 42a vor und die 42c zeigt die verbliebene Platzierungsänderung nach dem Durchführen einer linearen Abbildungstransformation (S/O-Korrektur). Die simulierte Platzierungsänderung nach dem Anwenden der CDC und nach dem APO-Schreiben in die aktive Fläche beträgt 15,6 nm für die x-Richtung und 67 nm für die y-Richtung (3σ-Zahlenwert). Nach dem Durchführen einer linearen Abbildungstransformation zur S/O-Korrektur beträgt die verbleibende Platzierungsänderung 1,5 nm für die x-Richtung und 1,95 nm für die y-Richtung. Diese Daten zeigen eine Verbesserung von 69 % für die Platzierungsänderung, die durch die CDC-Aufgabe der 40a induziert wird. Die Verbesserung der CDC-Aufgabe der 40a ist größer als für die CDC-Aufgabe der 38a und daher ist die resultierende Standardabweichung (30) auch wesentlich kleiner. Es ist daher möglich, die verbliebende Platzierungsänderung, die durch die CDC-Aufgabe der 40a induziert wird, um einen Faktor 3 zu reduzieren.
  • In der 43 werden die Ergebnisse der 42a, die mittels Simulation erhalten wurden, experimentell überprüft. Die 43a stellt die Schreibkarte dar, die die CDC-Aufgabe der 40a kombiniert, die tatsächlich auf die photolithographische Maske der 41a angewendet wird. Die 43b zeigt die gemessene Platzierungsänderung, die von der Schreibkartee der 43a herrührt, und die 43c zeigt die verbleibende Platzierungsänderung nach dem Durchführen einer linearen Abbildungstransformation (S/O-Korrektur) auf das Platzierungsproblem der 43b.
  • Eine Messung der Platzierungsänderung, nachdem die CDC- und die APO-Korrekturschreibkarte in das Maskensubstrat geschrieben worden ist, resultiert in einer Platzierungsänderung (3σ-Zahlenwert) von 15,1 nm für die x-Richtung und 65 nm für die y-Richtung (43b). Nach dem Durchführen einer linearen Abbildungstransformation (S/O-Korrektur) betragen die verbleibenden Platzierungsände-rungen 1,45 nm für x-Richtung und 2,31 nm für die y-Richtung (43c). Diese Daten zeigen eine Verbesserung von 67 % für die Platzierung, die durch die CDC-Aufgabe der 40 induziert werden.
  • Das nächste Beispiel zeigt die Anwendung des vielmodigen CDC-Schreibens, das die erforderliche Größe der optischen Abschwächung ergibt und das gleichzeitig eine Platzierungsdegradation, die durch die CD-Korrektur eingeführt wird, minimiert. Um die nachfolgenden Abschätzungen zu vereinfachen, werden nur zwei Schreibmodi für die Optimierung der Platzierungsänderungen verwendet.
  • Das Beispiel für die Test-CDC-Aufgabe der 30 wird erneut verwendet. Die resultierende Platzierungsänderung in der aktiven Fläche der photolithographischen Maske wird in der 33a vorgestellt. Aus dieser Figur kann ersehen werden, dass die verbleibende Platzierungsänderung nach dem Durchführen einer linearen Abbildungstransformation für eine S/O-Korrektur nicht symmetrisch ist. In der x-Richtung beträgt der Platzierungsfehler (3σ-Zahlenwert) 2,1 nm, wohingegen er 6,2 nm für die y-Richtung beträgt. In diesem extremen Fall erzeugt der gewählte Schreibmodus eine viel höhere Ausdehnung in der y-Richtung verglichen mit der x-Richtung. Ähnlich zu dem Teil 5.2 („Platzierungsprobleme“) wird die resultierende Degradation nun durch Schreiben einer Hälfte der Pixel unter Verwendung einer Standard-Maskenorientierung geschrieben und dann wird die photolithographische Maske um 90° gedreht, um die verbleibende zweite Hälfte der Pixel mit dieser Maskenorientierung zu schreiben. Unter Verwendung der oben eingeführten Terminologie kann diese Situation ausgedrückt werden, indem man sagt, dass die erste Hälfte der Pixel wird mit einer 0°-Modussignatur und die zweite Hälfte der Pixel wird mit einer 90°-Modussignatur geschrieben werden.
  • Die 44a zeigt die Platzierungsänderung, die induziert wird, wenn die Hälfte der Pixel der Test-CDC-Schreibkarte unter Verwendung des Laserstrahls 335 mit einer 0°-Modussignatur geschrieben wird, und die 44b stellt die Platzierungsänderung dar, die induziert wird, wenn die Hälfte der Pixel der Test-CDC-Schreibkarte unter Verwendung des Laserstrahls 335 mit einer 90°-Modussignatur geschrieben wird. Die 44a und 44b zeigen die vorhersehbaren Ergebnisse. Jeder Modus, der seine eigene Modussignatur aufweist, erzeugt die gleichen Verschiebungen, aber die 44b ist um 90° bezüglich der 44a gedreht. Die Bilder der 44a und 44b sehen nicht perfekt identisch aus, als Folge der Tatsache, dass das gewählte Gitter asymmetrisch mit Bezug auf die x- und y-Richtung war. Ein besseres Ergebnis wird nach dem Schreiben der vollen CDC-Aufgabe erwartet, die in zwei Schreibmodi aufgespalten ist.
  • Die 45a zeigt die Platzierungsänderung, die durch das Test-CDC-Schreiben der 30 induziert wird, wobei eine erste Hälfte der Pixel unter Verwendung einer 0°-Modussignatur geschrieben wird und eine zweite Hälfte der Pixel unter Verwendung einer 90°-Modussignatur geschrieben wird. Die 45b stellt die verbleibende Platzierungsänderung nach dem Durchführen einer linearen Abbildungstransformation für die S/O-Korrektur dar.
  • Die verbleibende Platzierungsänderung in der 45b ist geringer als diejenige in der 34b. Die verbleibenden Verschiebungen (3σ-Zahlenwert) betragen 2,9 nm für die x-Richtung und 3,0 nm für die y-Richtung. Es wird erwartet, dass es eine Verbesserung um einen Faktor 2 verglichen mit der CD-Korrektur unter Verwendung eines einzigen Schreibmodus gibt, wie auf der vorhergehenden Seite diskutiert wurde. Die kleine verbleibende Asymmetrie ist ein Ergebnis des asymmetrisch gewählten Gitters.
  • In dem Beispiel der 44 war die Aufspaltung zwischen den zwei Schreibmodi 50:50. Im Folgenden wird die optimale Aufspaltung zwischen den Schreibmodi für die CD-Korrektur mit den 0°- und den 90°-Modussignaturen berechnet, um den minimalen Effekt der CD Korrektur auf die induzierte Platzierungsänderung zu erhalten. Die Erweiterung der Gleichung 47 für die Anwendung auf zwei Schreibmodi ist: ζ i c d c = j Φ i j c d c 00 a j c d c 00 + j Φ i j c d c 90 a j c d c 90
    Figure DE102011078927B4_0067
  • Die Nebenbedingung, um die erforderliche CDC Schreibdichte in jeder Elementfläche α zu erhalten, wird durch die Gleichung ausgedrückt: a j c d c 00 + a j c d c 90 = a j c d c
    Figure DE102011078927B4_0068
  • Die resultierende Verschiebung der Gleichung 50 und die Dichtebedingung der Gleichung 51 führen zu einem Zielfunktional in der Form: min { i ( j ( Φ i j c d c 00 Φ i j c d c 90 ) a j c d c 00 + j Φ i j c d c 90 a j c d c + j T i j s j ) 2 + + λ s k s k 2 + λ a ( k ( a k c d c 00 2 + ( a k c d c a k c d c 00 ) 2 ) ) }
    Figure DE102011078927B4_0069
  • Die Optimierung der Zielfunktion der Gleichung 52 ergibt eine sehr interessante Lösung. Die CD-Korrekturschreibkarte für den Schreibmodus, der die 0°-Modussignatur aufweist, wird in der 46a dargestellt. Die 46b zeigt die CD-Korrekturschreibkarte für den Schreibmodus, der die 90°-Modussignatur aufweist. Die resultierende Platzierungsänderung, die durch die CD-Korrekturschreibkarte der 46a induziert wird, ist in der 47a dargestellt, wohingegen die 47b dieses Ergebnis für die CD-Korrekturschreibkarte der 46b vorstellt.
  • Die berechnete kombinierte Platzierungsänderung ist in der 48a gezeigt; und die 48b stellt die verbleibende Platzierungsänderung nach dem Durchführen einer linearen Abbildungstransformation für die S/O-Korrektur dar. Die verbleibenen Verschiebungen in der 48b (3σ-Zahlenwert) betragen 2,3 nm für die x-Richtung und 2,3 nm für die y-Richtung. Dieses Ergebnis ist um 33 % besser als eine einfache 50:50-Aufteilung zwischen den zwei Schreibmodi.
  • Es kann zusammengefasst werden, dass eine optimale Aufspaltung zwischen zwei Schreibmodi, die eine 0°- und eine 90°-Modussignatur aufweisen, es ermöglichen, die Degradation der Platzierung um einen Faktor 3 verglichen mit dem regulären CDC-Schreiben zu reduzieren. Andererseits weist dieser Ansatz näherungsweise denselben Durchsatz wie das reguläre CDC-Schreiben auf.
  • Darüber hinaus muss bemerkt werden, dass die Verbesserung tatsächlich aus der Asymmetrie der Schreib-Modussignatur herrührt. Von daher kann die Verbesserung viel geringer sein, wenn die Schreib-Modussignatur symmetrisch ist. Normalerweise weist eine normale CD-Korrektur eine asymmetrische Modussignatur auf und erlaubt daher die Anwendung der oben diskutierten Aufspaltung in zwei Schreibmodi mit einer 0°- und einer 90°-Modussignatur. In Wirklichkeit ist das Ergebnis tatsächlich breiter als oben vorgestellt. Der CDC-Prozess kann in ein verschiedenes Paar von Schreibmodi aufgespalten werden. Das vorgestellte Beispiel ist gewählt worden, nur um das zugrundeliegende Prinzip zu veranschaulichen.
  • In dem nächsten Beispiel wird der Ansatz, der anhand des vorigen Beispiels diskutiert wurde, nun auf das CDC-Problem der 38a angewendet. Die Verbesserung, die durch eine optimale Aufspaltung zwischen zwei Schreibmodi mit einer 0°- und einer 90°-Modussignatur erreichbar ist, wird untersucht.
  • Die 49a zeigt die CD-Korrekturschreibkarte für den Schreibmodus mit der 0°-Modussignatur für das CDC-Problem der 38a und die 39b stellt die CD-Korrekturschreibkarte für den Schreibmodus mit der 90°-Modussignatur wiederum für das CDC-Problem der 38a dar. Die Korrekturschreibkarten für beide Figuren sind durch Minimieren des Zielfunktionals der Gleichung 52 berechnet worden.
  • Die 50a stellt die Platzierungsänderung dar, die durch ein optimales Aufspalten zwischen zwei Schreibmodi induziert wird, und die 50a stellt die nach dem Durchführen einer linearen Abbildungstransformation verbleibende Platzierungsänderung dar. Die resultierende induzierte Platzierungsänderung (3σ-Zahlenwert) beträgt 18,2 nm für die x-Richtung und 17,3 nm für die y-Richtung. Nach dem Durchführen einer linearen Abbildungstransformation, beträgt die verbleibende Platzierungsänderung 4,1 nm für die x-Richtung und 3,8 nm für die y-Richtung. Deshalb kann durch Auspalten der CDC-Schreibaufgabe in zwei verschiedene Schreibmodi, die verbleibende Platzierungsänderung um fast einen Faktor 4 mit Bezug auf das Durchführen der CD-Korrektur mit einem einzigen Schreibmodus verringert werden.
  • Im Folgenden wird das CDC-Problem der 38a wiederum unter Verwendung nun eines verschiedenen Satzes von Schreibmodi gelöst werden. Wie bereits in dem vorhergehenden Abschnitt 5.2 („Platzierungsprobleme“) diskutiert, führen verschiedene Pulsenergien zu verschiedenen Modussignaturen des Laserstrahls 335. Die verschiedenen Modussignaturen unterscheiden sich hauptsächlich in ihrer induzierten Deformationsgröße. Wenn eine CDC-Aufgabe durchgeführt wird, die eine minimale Platzierungsänderung aufweist, wird normalerweise der sogenannte LowReg-Schreibmodus verwendet. Der LowReg-Schreibmodus führt normalerweise zu einer Ausdehnung des Maskensubstrats, die um einen Faktor 2 bis 4 geringer ist als beim Verwenden des regulären Schreibmodus, aber resultiert in der gleichen CDC-Abschattung. Der Durchsatz des LowReg-Schreibmodus ist viel niedriger und deshalb muss immer ein Kompromiss zwischen der geforderten Produktivität einerseits und dem zulässigen Pegel der Platzierungsänderung andererseits gefunden werden. Im Folgenden wird gezeigt werden, dass eine doppelte Moduskombination ein besseres Ergebnis liefern kann.
  • Die 51a zeigt die Platzierungsänderung, die induziert wird, wenn die gesamte CD-Korrektur unter Verwendung des LowReg-Schreibmodus durchgeführt wird. Die 51b stellt die verbleibende Platzierungsänderung dar, nachdem eine lineare Abbildungstransformation durchgeführt worden ist. Die resultierende Platzierungsänderung ist geringer, als die die aus einem Standard-PW (Prozessfenster) resultiert. Die Platzierungsänderung wird zu 9,6 nm für die x-Richtung und 11,1 nm für die y-Richtung bestimmt und nach dem Durchführen einer linearen Abbildungstransformation (S/O-Korrektur) verbleibt ein verbleibender Platzierungsfehler von 3,7 nm für die x-Richtung und 4,9 nm für die y-Richtung. Dies ist ungefähr zweimal geringer als für ein Standard-PW. Eine optimale Aufspaltung ähnlich der Gleichung 52 wird durch das folgende Zielfunktional definiert. min { i ( j ( Φ i j s t d Φ i j l r ) a j s t d + j Φ i j l r a j c d c + j T i j s j ) 2 + + λ s k s k 2 + λ a ( k ( a k s t d 2 + ( a k c d c a k s t d ) 2 ) ) }
    Figure DE102011078927B4_0070
  • Die Operatoren Φ i j s t d , Φ i j l r
    Figure DE102011078927B4_0071
    und die Amplituden a j s t d , a j l r
    Figure DE102011078927B4_0072
    bezeichnen Gleichungskomponenten für das Standard beziehungsweise ein reguläres bzw. das LowReg-PW. Die Parameter des Laserstrahls für das Standard- und das LowReg-PW sind in den Tabellen 2 und 3 angegeben.
  • Die 52 stellt die Lösung von Gleichung 53 für das CDC-Problem der 38a aufgespalten in den Standard- oder regulären Schreibmodus und den LowReg-Schreibmodus dar. Die 52a zeigt die CD-Korrekturschreibkarte für den Standard- oder den regulären Schreibmodus und die 52b zeigt die CD-Korrekturschreibkarte für den LowReg-Schreibmodus.
  • Nach dem Durchführen einer linearen Abbildungstransformation resultiert die optimale Aufspaltung zwischen zwei Schreibmodi in einem verbleibenden Platzierungsfehler von 1,4 nm für die x-Richtung und 1,6 nm für die y-Richtung. Dieser Fehler ist 60 % geringer als der verbleibende Platzierungsfehler, wenn einfach nur ein LowReg-Schreibmodus verwendet wird. Bei Betrachtung der Tatsache des höheren Durchsatzes für des Standard-Schreibmodus ist es sogar möglich, einiges an Verbesserungen für die Gesamtproduktivität des CD-Korrekturprozesses zu erzielen, wenn eine Kombination der Standard-Schreibmodus und des LowReg-Schreibmodus verwendet wird. Dieses Beispiel zeigt klar, dass die Anwendung eines Standard-Schreibmodus und eines LowReg-Schreibmodus ebenfalls ein mächtiges Werkzeugt ist, um die induzierte Platzierungsänderung zu kontrollieren. Es kann verwendet werden, wenn eine CDC-Aufgabe eine geringe Änderung der Platzierung erfordert.
  • In einem dritten Ansatz wird nun ein Beispiel für eine Kombination einer Smart-Apodisation eines sicheren CDC präsentiert. Eine einfache Implementierung einer Smart-Apodisations-Methode über eine sichere CDC-Methode kann einiges an Verbesserung ergeben. Eine Optimierung der Aufspaltung der CDC-Schreib-Aufgabe und des Schreibens von Pixeln in eine nicht aktive Fläche ermöglicht jedoch das Erzielen eines besseren Ergebnisses. Es ist klar, dass eine Aufspaltung in einen Standard-Schreibmodus und einen LowReg-Schreibmodus für das Schreiben in der nicht aktiven Fläche nicht optimal ist. Diese Auswahl wird jedoch gemacht, um den Rechenaufwand gering zu halten und ebenfalls um in der Lage zu sein, die erhaltenen Ergebnisse mit den vorherigen Ergebnissen zu vergleichen. Von dem allgemeinsten Standpunkt aus betrachtet, ist es notwendig, Amplituden für das Schreiben in die nicht aktive Fläche der photolithographischen Maske zu finden und diese im Verhältnis der aktiven Fläche der Maske aufzuspalten, um die verbleibende Platzierungsänderung zu minimieren.
  • Die Erweiterung der Gleichung 53 für diesen Ansatz führt auf das folgende Zielfunktional: min { i ( j ( Φ i j s t d Φ i j l r ) a j s t d + j Φ i j l r a j c d c + j Φ i j a p o a j a p o + j T i j s j ) 2 + + λ s k s k 2 + λ a ( k ( a k s t d 2 + ( a k c d c a k s t d ) 2 + ( a k a p o ) 2 ) ) }
    Figure DE102011078927B4_0073
  • Als ein Beispiel für diesen Ansatz wird das CDC-Problem der 38a wiederum für die Optimierung der Gleichung 54 verwendet. Die 53 stellt die erhaltene Aufspaltung der Schreibmodi dar. Die 53a zeigt die CD-Korrekturschreibkarte te für den Standard- oder den regulären Schreibmodus, wohingegen die 53b die CD Korrekturschreibkarte für den LowReg-Schreibmodus darstellt. Diese Aufspaltung der Schreibmodi ermöglicht es, theoretisch eine resultierende Platzierungsänderung (3σ-Zahlenwert) so klein wie 0,36 nm für die x-Richtung und 0,3 nm für die y-Richtung zu erhalten.
  • Dies ist ein perfektes Ergebnis und induziert praktisch keine Platzierungsänderung. Die Ergebnisse des CDC-Problems der 38a, die aus all den verschiedenen Ansätzen erhalten werden, die oben diskutiert wurden, sind in der nachfolgenden Tabelle zusammengefasst. Tabelle 7: Verbleibende Platzierungsänderung des CDC-Problems der Fig. 38a für verschiedene Korrekturansätze
    X [nm] Y [nm] Verbesserung
    Std. PW (Standard-Prozessfenster) 4,6 8,4
    Smart-Apodisation 3,2 3,5 55%
    Std. PW aufgespalten in 0° - 90° Modussignaturen 4,1 3,8 45%
    LowReg PW (Niedrigplatzierungs-) 3,7 4,9 40 %
    Aufgespaltene LowReg PW und Std. PW 1,4 1,6 80 %
    Smart CDC (smarte Abmessungs-Korrektur) 0,36 0,38 95%
  • Die Tabelle 7 zeigt, dass die Smart-Apodisation, das Aufspalten der Aufgabe in zwei Schreibmodi und die LowReg-PW ungefähr den gleichen Vorteil realisieren. Das Aufspalten in zwei zueinander gedrehte Schreibmodi ist zeitsparender. Der Smart-CDC-Prozess liefert die größte Verbesserung und induziert praktisch keine neue oder zusätzliche Platzierungsänderung.
  • Überlappungsprobleme
  • Schließlich kann das erfindungsgemäße Verfahren auch für die Korrektur von Überlappungsproblemen verwendet werden. Wie bereits in dem zweiten Teil dieser Beschreibung erwähnt, ist häufig die absolute Designposition der Musterelemente einer photolithographischen Maske nicht so wichtig wie eine relative Lage der Musterelemente auf benachbarten Schichten durch einen Stapel von Schichten oder auf derselben Schicht, aber gedruckt durch zwei oder mehrere verschiedene photolithographischen Masken. Sie ist ferner relevant für Musterelemente, die unter Verwendung eines Doppelstrukturierungsansatzes (double patterning approach) gedruckt werden.
  • Der Überlagerungskorrekturansatz wird veranschaulicht unter der einfachen Verwendung von zwei verschiedenen photolithographischen Masken, die im Folgenden Maske B und Maske C genannt werden. Es wird anerkannt, dass der diskutierte Ansatz auch für die Korrektur von Überlagerungsfehlern von mehr als zwei photolithographischen Masken verwendet werden kann.
  • Die 54a stellt das anfängliche Platzierungsproblem der Maske B dar und die 54b zeigt das verbleibende Platzierungsproblem der 54a nach dem Durchführen einer linearen Abbildungstransformation. Die anfängliche X/Y-Spezifikation für die Maske B der 54a beträgt 6,59 nm.
  • Die 55a zeigt das anfängliche Platzierungsproblem der Maske C und die 55b veranschaulicht das verbleibende Platzierungsproblem der 54a nach dem Durchführen einer linearen Abbildungstransformation. Die anfängliche X/Y-Spezifikation für die Maske C der 55a ist 8,52 nm.
  • Aus den 54 und 55 kann ersehen werden, dass das anfängliche Platzierungsproblem der Masken B (54) und C (55) sehr ähnlich aussieht. Das Ausführen einer linearen Abbildungstransformation für die S/O-Korrektur reduziert diese Ähnlichkeit der zugrunde liegenden Platzierungsprobleme. Jedoch zeigen die 54b und 55b noch immer ein ähnliches Verhalten.
  • Die 56 stellt das anfängliche Überlappungsproblem der Masken B und C dar. Die anfängliche X/Y-Spezifikation für den Überlappungsfehler der Masken B und C beträgt 14,03 nm. Dieser große Wert des Überlappungsproblems zeigt die Tatsache an, dass es einen ernsthaften Versatz zwischen der Maske B und der Maske C gibt. Die verbleibende wesentliche Differenz muss korrigiert werden.
  • Um die bestmögliche Korrektur für beide Masken zu erhalten, wird das Moduszielfunktional für einen einzigen Schreibmodus (Gleichung 40) minimiert. Die 57a zeigt die berechnete Korrekturschreibkarte für die Maske B und die 57b stellt die berechnete Korrekturschreibkarte für die Maske C dar. Die mittlere Schreibdichte oder die mittlere Abschwächung der optischen Intensität der Korrekturschreibkarten, die in der 57a präsentiert ist, beträgt 2,35 % für die Maske B und 2,65 % für die Maske C, die in der 57b dargestellt ist. Die resultierende verbleibende X/Y-Spezifikation für die Maske B beträgt 4,33 nm und für die Maske C 5,28 nm.
  • Dies ist jedoch nicht der Parameter, der das Überlappungsproblem zwischen der Maske B und der Maske C bestimmt. Zu diesem Zweck ist es notwendig, die X/Y-Spezifikation der Überlappung der Maske B und der Maske C oder ihre entsprechende Platzierungsdifferenz zu kennen.
  • Die resultierende Überlappungs-X/Y-Spezifikation der Masken B und C beträgt 8,83 nm, was besser als die X/Y-Spezifikationen der einzelnen Masken ist. Dieser Zahlenwert ist jedoch noch zu groß und muss verbessert werden.
  • Es ist nun das Ziel, eine Korrekturschreibkarte zu finden, die die Überlappung zwischen den Masken B und C spezifisch verbessert. Dies bedeutet, dass es nicht das Ziel ist, die Maske B in die beste Anpassung mit einem vorgegebenen Design zu bringen, sondern die beste Anpassung mit der Maske C zu erzielen.
  • Die 59 stellt die berechnete Korrekturschreibkarte dar, um die Überlappung zwischen der Maske B und der Maske C zu verbessern. Der mittlere Wert der Abschwächung durch die Schreibdichte beträgt 2,34 %, was ungefähr die Hälfte der Schreibdichte ist, die erforderlich ist, um die Platzierungsfehler der Maske B ( 57a) ebenso wie der Maske C (57b) zu korrigieren. Die resultierende 2D-Karte der verbleibenden Überlappungsfehler, wenn die Maske B bezüglich der Maske C optimiert wird, ist in der 60 gezeigt. Der resultierende Überlappungsfehler der 60 weist eine X/Y-Spezifikation von 6,53 nm auf. Dieses Ergebnis ist 26 % besser, als wenn die Platzierungsfehler in der Maske B (57a) und in der Maske C (57b) korrigiert werden.
  • In dem obigen Ansatz sind die Korrekturmöglichkeiten der Maske C bisher noch nicht benutzt worden. Mathematisch ist die Überlappung die Differenz der Platzierung der Masken B und C. Das heißt, was immer die Maske B und die Maske C an Deformationen induzieren, nur die Differenz der Deformationen zwischen beiden Masken ist relevant. Auf den ersten Blick erscheint es, als wäre es nicht möglich, Nutzen aus der Fähigkeit zu ziehen, Pixel in die Substrate beider Masken zu schreiben. Es gibt jedoch eine physikalische Randbedingung, die bei der Formulierung des Problems berücksichtigt werden muss. Es ist nicht möglich, Pixel mit einer negativen Schreibdichte zu schreiben oder die optische Transmission der photolithographischen Maske zu verbessern, wenn Pixel in ihr Substrat geschrieben werden. Diese Feststellung bedeutet, dass es möglich ist, das Zielfunktional der Gleichung 41 unter Verwendung der folgenden Randbedingung anstatt der mit Gleichung 40 ausgedrückten Randbedingung zu lösen: a < a l m < a
    Figure DE102011078927B4_0074
  • Die Lösung, die sich für die optimale Schreibkorrekturkarte ergibt, muss in zwei verschiedene Karten aufgespaltet werden. Die erste, die den positiven Teil der optimalen Korrekturschreibkarte darstellt, bildet die Korrekturschreibkarte für die Maske B und die zweite, die den negativen Teil der Karte darstellt, bildet die Korrekturschreibkarte für die Maske C.
  • Die 61a zeigt die errechnete Überlappungskorrektur-Schreibkarte für die Maske B, die den positiven Teil der Schreibdichteamplituden a l m
    Figure DE102011078927B4_0075
    realisiert, und die 61b stellt die berechnete Überlappungskorrektur-Schreibkarte für die Maske C dar, die den positiven Teil der Schreibdichteamplituden a l m
    Figure DE102011078927B4_0076
    realisiert.
  • Der simulierte verbleibende Überlappungsfehler nach dem Durchführen einer linearen Abbildungstransformation ist in der 62 dargestellt. Die Abschätzung des resultierenden Fehlers für die Überlappungs-X/Y-Spezifikation (3σ-Zahlenwert) ist 5,5 nm, was 16 % besser als das Ergebnis des in der 60 präsentierten Korrekturprozesses ist.
  • Die folgenden Feststellungen fassen diesen Teil zusammen: Das anfängliche Überlappungsproblem beträgt 14,03 nm; nach dem individuellen Korrigieren der Maske B und der Maske C mit Bezug auf die Designposition verbleibt ein verbleibendes Überlappungsproblem von 8,83 nm (Ansatz 1). Die Korrektur der Maske C, die auf eine beste Überlappung mit der Maske B abzielt, ergibt einen Überlappungsfehler von 6,53 nm (Ansatz 2). Schließlich reduzieren sich nach einer Korrektur beider Masken die verbleibenden Überlappungsfehler auf 5,5 nm (Ansatz 3).
  • Es ist klar, dass der Ansatz 2 besser ist als der Ansatz 1, da es passieren kann, dass unterschiedliche photolithographische Masken ähnliche, nicht korrigierbare Teile des Platzierungsproblems aufweisen, wie etwa Fingerabdrücke von Elektronenstrahlschreibern. Der Ansatz 3 ist noch effektiver, da Korrekturen an beiden photolithographischen Masken durchgeführt werden, um das gemeinsame Überlappungsziel zu erfüllen.
  • In diesem Abschnitt wird die Anwendung des erfindungsgemäßen Verfahrens auf ein einziges, einfaches Überlappungsproblem vorgestellt, um das allgemeine Prinzip zu veranschaulichen. Der Fachmann wird anerkennen, dass das erfindungsgemäße Verfahren nicht auf das diskutierte Beispiel beschränkt ist. Vielmehr soll das vorgestellte Beispiel nur die Anwendung des erfindungsgemäßen Prinzips für die Lösung dieser Art von Problemen bei photolithographischen Masken demonstrieren.
  • Theoretischer Hintergrund
  • Im Folgenden wird einiges des theoretischen Hintergrunds umrissen, der der Diskussion von verschiedenen Aspekten des erfindungsgemäßen Verfahrens, die in den vorhergehenden Abschnitten vorgestellt wurden, zugrunde liegt.
  • Um Platzierungsfehler einer photolithographischen Maske zu korrigieren, werden verschiedene Arten von Pixeln benutzt. Die Anwendung von verschiedenen Arten von Pixeln ermöglicht das Justieren der Beziehung zwischen der Abschwächung oder der Variation der optischen Transmissionsverteilung und dem Verschieben von Musterelementen auf dem Substrat der photolithographischen Maske durch Schreiben einer bestimmten Art von Pixeln. Es ist zum Beispiel möglich, Pixel verschiedener Art in das Substrat der Maske einzuführen oder, einfach ausgedrückt, Pixel verschiedener Art zu schreiben durch Einführen der gleichen Abschwächung oder Variation der optischen Transmissionsverteilung, die aber eine verschiedene Verschiebung der Musterelemente auf der ersten Substratoberfläche aufweisen oder eine verschiedene Platzierungsänderung aufweisen.
  • Wie bereits in dem vorhergehenden Abschnitt umrissen, führen Pixel im allgemeinen eine Spannung in das Substratmaterial, normalerweise Quarzglas, der photolithographischen Maske durch lokales Ändern der Dichte des Substratmaterials ein. Expandierende Pixel und kontrahierende Pixel können in das Substrat eingeführt oder in das Substrat geschrieben werden durch Ändern mehrerer Parameter des Laserstrahls der Femtosekunden-Laserquelle, wie etwa der Pulsdauer und / oder der Pulsenergie und / oder der Wiederholrate. Durch das Schreiben expandierender Pixel wird die Dichte des Substratmaterials reduziert, wohingegen durch das Schreiben kontrahierender Pixel die Dichte des Substratmaterials vergrößert wird.
  • Verschiedene Modi des Schreibens werden als das Schreiben von Pixeln in das Substrat der photolithographischen Maske mit verschiedenen physikalischen Bedingungen definiert, die in verschiedenen Arten von Pixeln mit verschiedenen Eigenschaften und / oder verschiedenen Formen resultieren. Verschiedene Schreibmodi können durch Ändern eines oder mehrerer der folgenden Parameter geändert werden:
    1. (a) Pulsleistung des Laserstrahls: Die Pulsleistung des Lichtstrahls der Laserquelle ändert die Größe der Pixel. Das Reduzieren der Laserpulsenergie ergibt kleinere Pixel. Die Auswirkung von kleineren Pixeln kann durch Vergrößern der Pixeldichte kompensiert werden. Das Reduzieren der Pixelgröße ohne Ändern der Pixeldichte führt zu einer geringeren Verschiebung der Musterelemente auf der Oberfläche der photolithographischen Maske. In Wirklichkeit ist die minimale Pulsenergie auf die Schwelle des Zusammenbruchs des Substratmaterials der photolithographischen Maske begrenzt. Durch Variieren der Pulsdauer, der NA (numerische Apertur) des Lichtstrahls und / oder der Strahldivergenz und der Anzahl der Pulse, die auf eine Stelle einfallen, kann die Schwelle des Zusammenbruchs des Substratmaterials kontrolliert werden und dadurch ist es möglich, die Pulsenergie der Lichtpulse des Femtosekunden-Lasersystems zu verringern.
    2. (b) Pixeldichte des Laserstrahls: Das Schreiben einer unterschiedlichen linearen Pixeldichte in verschiedenen Richtungen parallel zu der Oberfläche des Substrats der photolithographischen Maske kann zu einer asymmetrischen Expansion des Maskensubstrats führen. Dies bedeutet, dass Pixel mit verschiedenen linearen Pixeldichten in zwei verschiedene senkrechte Richtungen parallel zu der Oberfläche der Maske geschrieben werden, beispielsweise in der x- und der y-Richtung. Dieser Effekt liefert ein nützliches Werkzeug zum Kontrollieren der Verschiebung der Musterelemente auf dem Maskensubstrat oder für die Platzierungskontrolle.
    3. (c) Gleichmäßige Dichte des Laserstrahls (laser beam uniform density): Pixel können mit einem Laserstrahl geschrieben werden, wobei ein Abstand zwischen benachbarten Pixeln viel geringer als die individuelle Pixelgröße sein kann. Dadurch wird eine quasi-kontinuierliche Struktur in das Maskensubstrat geschrieben. Für diese Art von Pixeln wird vorzugsweise eine sehr niedrige Laserpulsleistung verwendet. Der Vorteil des Verwendens solch einer Art von Pixeln liegt darin, dass sie keine Platzierungsänderung verursachen, sondern nur Änderungen der optischen Transmission des Substrats der photolithographischen Maske hervorrufen. Diese Struktur weist keine sichtbaren Pixel in dem sichtbaren Spektralbereich auf und ähnelt mehr einer Schicht in dem Volumen des Materials mit verschiedenen physikalischen Eigenschaften. Wenn diese Schicht homogen genug ist, beeinflusst sie die UV- oder DUV-Strahleigenschaften weniger, es tritt keine Streuung auf und die Schicht führt keine künstliche Periodizität ein und erzeugt somit keine Beugungseffekte. Das Richten des Laserstrahls, der solche Laserstrahlparameter aufweist, auf die photolithographische Maske wird pixelloses Schreiben (pixelless writing) genannt.
  • Um die Platzierungsfehler zuverlässig zu korrigieren, müssen die Effekte der Femtosekunden-Lichtpulse, die verschiedene Parameter aufweisen, auf das Substrat der photolithographischen Maske bekannt sein. Für diesen Zweck weisen Femtosekunden-Lichtpulse verschiedene Parameter oder verschiedene Schreibmodi auf und die Pixeldichten des Laserstrahls müssen für das gesamte Substrat der photolithographischen Maske bestimmt werden. Um weitere Berechnungen durchzuführen, wird die Oberfläche des Maskensubstrats in willkürliche Elemente aufgeteilt. Innerhalb eines Elements oder einer elementaren Fläche werden Pixel geschrieben, die einen einzigen Schreibmodus und eine einzige Dichte aufweisen.
  • Die Lösung des direkten Problems würde die Deformation berechnen, die sich aus der Wirkung des Laserstrahls ergibt, d.h. sie würde die Platzierungsänderung als eine Funktion des Schreibmodus und der Pixeldichte oder der Laserstrahlparameter berechnen. Um die Platzierungskorrektur, die angewendet werden soll, zu bestimmen, muss das inverse Problem gelöst werden. Das bedeutet, es liegt das Problem vor, eine Karte von Schreibmodi und Schreibdichten oder Laserstrahlparameter zu berechnen, die die gewünschte Änderung der Platzierung und / oder die gewünschte Variation der optischen Transmissionsverteilung oder die Abschwächung des Maskensubstrats ergeben.
  • Das Substrat von photolithographischen Masken ist normalerweise aus sehr biegesteifem Material hergestellt, wie etwa Quarz (siehe auch den zweiten Abschnitt). Die Deformationen, die für die Korrektur von Platzierungsfehlern und / oder optischen Transmissionsfehlern notwendig sind, sind in ihrer Amplitude sehr klein und überschreiten nicht die elastische Grenze des Substratmaterials. Drei verschiedene Gruppen von Gleichungen sind für den folgenden Ansatz wichtig: Statische Gleichungen, geometrische Gleichungen und physikalische Gleichungen. In statischen Gleichungen wirken Zustandsgleichgewichte von Oberflächenkräften, Volumenkräften und Spannungen auf irgendeine elementare Fläche der Maske ein, wie beispielsweise durch das statische Newton'sche Gesetz angegeben. Es ist möglich, den Dehnungstensor aus dem Verschiebungsfeld unter Verwendung von Cauchy-Formeln abzuleiten, die geometrische Gleichungen sind. Da nicht jedes Dehnungsfeld aus einem Verschiebungsfeld herrühren kann, ist es notwendig, Kompatibilitätsbedingungen zu betrachten. Im thermischen Gleichgewicht und in einer adiabatischen Näherung stellt das generalisierte Hooke'sche Gesetz (physikalische Gleichung) fest, dass es für jeden elastischen Körper eine lineare Verbindung zwischen dem Dehnungstensor und dem Spannungstensor gibt.
  • Ohne Beschränkung der Allgemeinheit kann das komplette Substrat der photolithographischen Maske als ein System von rechteckigen Flächen oder elementaren Flächen beschrieben werden, wo jede elementare Fläche einen konstanten Schreibmodus und eine einheitliche Pixeldichte aufweist. Jedes Element oder elementare Fläche kann durch eine neue oder modifizierte Gleichgewichtsform charakterisiert werden, die neue oder modifizierte physikalische Parameter des Maskensubstrats aufweist, wie etwa des Young'schen Moduls, der Poisson-Zahl, usw. Die induzierten Änderungen sind in guter Näherung proportional zu der schreibenden Belastung, d.h. zu den physikalischen Parametern des Maskensubstrats.
  • Um das resultierende Verschiebungsfeld als eine Funktion der Laserstrahlparameter oder des Schreibmodus und der Pixeldichte (d.h. das direkte Problem) zu berechnen, könnten einer der folgenden zwei Ansätze benutzt werden (es gibt einige weitere Ansätze, wie etwa den Euler'schen):
    1. 1. Berechnung des Dehnungsfeldes, das alle internen Kräfte/Spannungen, die auf den Rand der elementaren Flächen einwirken, gleichsetzt, oder
    2. 2. Berechnung des Dehnungsfeldes durch Finden einer minimalen potenziellen Energie für das Gesamtsubstrat der photolithographischen Maske.
  • Beide Ansätze ergeben eine lineare Abhängigkeit der Verschiebungen von den Schreibamplituden bei einer gegebenen Verteilung von Schreibmodi. Beide Ansätze erlauben das Berechnen von Versetzungen, die durch den Laser induziert werden, auf der Grundlage des Wissens um die Schreibmodi und die Schreibdichten oder die Pixeldichte.
  • Das inverse Problem kann für einen generellen Fall formuliert werden. Karten für die Schreibmodi und Pixeldichten müssen gefunden werden, die das Zielverschiebungsfeld ergeben. Im Allgemeinen ist ein Schreibmodus ein diskreter Parametersatz für den Laserstrahl und das Problem muss als ein Optimierungsproblem formuliert werden. Ein Zielfunktional muss konstruiert werden, das ein Minimum (oder ein Supremum) bei dem gewünschten Verschiebungsfeld aufweist. Optimierte Schreib- und Pixeldichtekarten oder optimierte Laserstrahlparameter erzeugen das Verschiebungsfeld, dass das Zielfunktional minimiert.
  • Mögliche Wege, die das inverse Problem lösen, d.h. die eine Karte von Schreibmodi und Schreibdichten oder Pixeldichten berechnen, die die gewünschte Änderung der Platzierung und / oder der Abschwächung (oder optischen Transmissionsvariation) ergeben, sind:
    1. 1. Eine Optimierung der Schreibdichten kann unter Verwendung eines MLS-Ansatzes (Moving Least Squares, Bewegung der kleinsten Quadrate) durchgeführt werden, aber eine vollständige Berechnung der diskreten Schreibmoduskarte kann im Falle kleiner elementarer Flächen sehr kompliziert sein.
    2. 2. Die diskreten Parameter einer Schreibkarte können in ein kontinuierliches Analogon unter der Annahme konvertiert werden, dass eine elementare Fläche eine Überlagerung von verschiedenen Schreibmodi aufweisen kann. Für den Fall, dass alle physikalischen und Formeigenschaftsänderungen der elementaren Flächen proportional zu der Verteilung der entsprechenden Schreibmodi sind, ist das Zielfunktional ein Quadrat des verbleibenden Fehlbetrags. Der Variationsformalismus resultiert dann in einem linearen Problem.
  • Im Folgenden wird der zweite Ansatz verwendet. In den meisten Fällen kann, wenn Phänomene der Maskenkrümmung und eine Modifikation der Substratdichte vernachlässigt werden können, das dreidimensionale (3D) Problem zu einem zweidimensionalen (2D) umformuliert werden. Jedes rechteckige Element oder jede reguläre elementare Fläche wird durch ein rechteckiges Parallelepiped des Substrats der photolithographischen Maske dargestellt.
  • Das generalisierte Hooke'sche Gesetz für ein Maskensubstrat, das eine lineare Elastizität aufweist, kann in einer 2D-Form dargestellt werden als: σ i j ( x , y ) = k = 1, l = 1 2.2 H i j k l ( x , y ) ε k l ( x , y )
    Figure DE102011078927B4_0077
    wobei der zweidimensionale infinitesimale Dehnungstensor ε(x, y) Komponenten εij(x, y) aufweist und der Spannungstensor σ(x, y) Komponenten σij(x,y) aufweist.
  • Es wird angenommen, dass in der 2D-Näherung keine externen Kräfte auf die Oberfläche des Maskensubstrats tangential und normal zu der Maskensubstratoberfläche wirken. Als Folge dieser Annahme ergibt sich dass: σ z x = σ x z = 0 σ z y = σ y z = 0 σ z z = 0
    Figure DE102011078927B4_0078
  • Für den Fall eines Maskensubstrats, das eine isotrope Elastizität aufweist, müssen die Scherkomponenten εzx, εxz, εzy, εyz des Dehnungstensors Null sein. Der Wert der Komponente εzz kann ignoriert werden, da er in Folge der Annahme von σzz = 0 nicht zu der Deformationsenergie beiträgt (siehe Gleichung 2).
  • Um die Formeln zu vereinfachen, werden ingenieurmäßige Bezeichnungen für den Dehnungstensor ε(x; y} durch Definieren von ε0 = εxx, ε1 = εyy, ε2 = 2 * εxy verwendet, wodurch der Dehnungstensor εij auf einen Dehnungsvektor εi reduziert wird, der die Komponenten ε0, ε1, ε2 aufweist. Indem diese Prozedur auf den Spannungstensor σ(x, y) angewendet wird, d.h. durch Einführen von σ0 = σxx, σ1 = σyy, σ2 = σxy, wird der Spannungstensor σij ebenfalls auf einen Spannungsvektors σi reduziert, wiederum mit den Komponenten σ0, σ1, σ2. (Von einem Transformationsblickwinkel aus betrachtet, sind εi und σi keine Vektoren.) Unter Verwendung der definierten Notationen kann das Hooke'sche Gesetz der Gleichung 1 in die Form umgeschrieben werden: σ i ( x , y ) = k = 0 2 H i k ( x , y ) ε k ( x , y ) σ = H ε
    Figure DE102011078927B4_0079
  • Der Elastizitätstensor H i j k l
    Figure DE102011078927B4_0080
    vierter Ordnung wird dadurch auf einen Tensor H i j
    Figure DE102011078927B4_0081
    zweiter Stufe reduziert.
  • Für ein isotropes Material, wie etwa für Quarzsubstrat einer photolithographischen Maske, weist der Elastizitätstensor nur zwei unabhängige Komponenten E und µ auf und hat die Form: H = E/ ( 1 μ 2 ) [ 1 μ 0 μ 1 0 0 0 1 2 ( 1 μ ) ]
    Figure DE102011078927B4_0082
    wobei das Young'sche Modul mit E(x, y) und die Poisson-Zahl mit µ(x, y) bezeichnet sind.
  • Das Verschiebungsfeld in dem Substrat der photolithographischen Maske kann als eine Vektorfunktion u ( x , y ) = [ Δ x ( x , y ) Δ y ( x , y ) ]
    Figure DE102011078927B4_0083
    in einer Ebene senkrecht zu dem einfallenden Laserstrahl dargestellt werden. Für den allgemeinen Fall können das infinitesimale Spannungstensorfeld σij und in der 2D-Näherung das infinitesimale Spannungsvektorfeld σi aus dem Verschiebungsfeld ui (i = 1,2) unter Verwendung von Cauchy-Formeln abgeleitet werden. Das infinitesimale Spannungsvektorfeld weist dann die Form auf: ε ( x , y ) = u ( x , y )
    Figure DE102011078927B4_0084
    wobei der Matrixoperator A in Form von partiellen Ableitungselementen konstruiert ist: A = [ / x    0    0 / y / y / x ] .
    Figure DE102011078927B4_0085
  • Die gesamte potenzielle Energie P einer Verteilung von Deformationen oder einer Deformationsdichte P(x,y,z) ergibt sich aus dem Integral: P = V P ( x , y , z ) d V
    Figure DE102011078927B4_0086
  • In der 2D-Näherung ängt die Verteilung der Deformation nicht von z ab. Die 2D-potenzielle-Energiedichte, die aus dem Spannungsvektor σi herrührt, verursacht durch einen Dehnungsvektor εi ist proportional zu dem Integral des Skalarprodukts der beiden Vektoren, da die Kraft, die aus dem Dehnungsvektor herrührt, parallel zu der Richtung des Dehnungsvektors ist. Die potenzielle Energiedichte P(x,y), die durch das Spannungsvektorfeld σi(x, y) verursacht wird, das aus dem Dehnungsvektorfeld εi,(x,y) resultiert, ist daher gegeben durch: P ( x , y ) = 1 / 2 ( σ 0 ε 0 + σ 1 ε 1 + σ 2 ε 2 ) = 1 / 2 ( σ , ε )
    Figure DE102011078927B4_0087
  • Durch das Einsetzen des Dehnungsvektorfeldes σi(x,y) unter Verwendung des Hooke'schen Gesetzes in Form der Gleichung 3 und das Ersetzen des Dehnungsvektorfeldes εi(x,y) durch das Verschiebungsfeld der Gleichung 5, weist die potenzielle Energieverteilung oder die potenzielle Energiedichte in 2D die Form auf: P ( x , y ) = 1 2 ( H ε , ε ) = 1 2 ( H ( x , y ) A u ( x , y ) , A u ( x , y ) )
    Figure DE102011078927B4_0088
  • Ein idealer Weg (aber nicht der einzige) zum Definieren des Verschiebungsfeldes u(x,y), das das Kriterium des Minimums der potenziellen Energie erfüllt, ist ein Finite-Element-ähnlicher Ansatz. Ohne Beschränkung der Allgemeinheit kann das gesamte Substrat der photolithographischen Maske als ein Satz von M*M rechteckigen Elementen α dargestellt werden, die dieselbe Größe aufweisen, oder als eine quadratische Matrix, die M Spalten und M Zeilen aufweist.
  • Für die nachfolgende Berechnung der potenziellen Energie P, wird das Maskensubstrat in M*M kleine rechteckige Elemente identischer Fläche aufgeteilt. Die gesamte potenzielle Energie P ist die Summe der potenziellen Energien Pα der individuellen rechteckigen Elemente α. P = α = 1 M * M P a
    Figure DE102011078927B4_0089
  • Die potenzielle Energie Pα des rechteckigen Elements α wird durch die Integration der 2D-potenzielle-Energiedichte über die Fläche Vα des Elements α erhalten: P α = V α P α ( x , y ) d V
    Figure DE102011078927B4_0090
  • Die 2D-potenzielle-Energiedichte Pα(x,y) wird aus der Gleichung 9 erhalten: P α ( x , y ) = 1 2 ( H α A u α ( x , y ) , A u α ( x , y ) )
    Figure DE102011078927B4_0091
  • Der Index α zählt alle rechteckigen Elemente a, die das Substrat der photolithographischen Maske überdecken. Es wird angenommen, dass jedes rechteckige Element α so klein ist, dass das Tensorfeld zweiter Ordnung H(x,y) des Elastizitätstensors durch einen konstanten Tensor Hα innerhalb eines rechteckigen Elements α ersetzt werden kann.
  • Indem wiederum die Annahme verwendet wird, dass die rechteckigen Elemente α klein sind, kann das Vektorfeld u(x, y) durch seine lineare Näherung ersetzt werden. Zu diesem Zweck werden lineare Formfunktionen für die Eckkoordinaten Ni. mit den Indices 0,1,2,3 gemäß der Anzahl der Ecken eingeführt: N 2 N 3 N 0 N 1         x 2 g α , y 2 g α x 0 g α , y 0 g α        x 3 g α , y 3 g α x 1 g α , y 1 g α      u i α = u ( x i g α , y i g α )
    Figure DE102011078927B4_0092
  • Der Index g stellt die nichtgestörten Gitterpositionen dar, d.h. die Positionen vor der Anwendung der Lichtpulse auf oder vor dem Schreiben eines Modus in das Substrat der photolithographischen Maske. Die Verschiebungen der Eckkoordinaten sind definiert durch: N 0 α ( x , y ) = ( x 1 g α x ) ( x 1 g α x 0 g α ) ( y 1 g α y ) ( y 1 g α y 0 g α ) N 1 α ( x , y ) = ( x x 1 g α ) ( x 1 g α x 0 g α ) ( y 1 g α y ) ( y 1 g α y 0 g α ) N 2 α ( x , y ) = ( x 1 g α x ) ( x 1 g α x 0 g α ) ( y y 0 g α ) ( y 1 g α y 0 g α ) N 3 α ( x , y ) = ( x x 1 g α ) ( x 1 g α x 0 g α ) ( y y 0 g α ) ( y 1 g α y 0 g α )
    Figure DE102011078927B4_0093
  • Im Folgenden wird jedes rechteckige Element α der Vektorfelder u(x,y) durch eine lineare Interpolation der Verschiebung der Eckkoordinaten (Knoten) dargestellt. u ( x , y ) = N 0 α ( x , y ) u 0 α + N 1 α ( x , y ) u 1 α + N 2 α ( x , y ) u 2 α + N 3 α ( x , y ) u 3 α
    Figure DE102011078927B4_0094
  • Diese Näherung gibt eine gute kontinuierliche Näherung des realen Verschiebungsfeldes u(x, y). Die potenzielle Energie Pα des Elements α wird als eine Funktion der Eckverschiebungen des rechteckigen Elements α dargestellt.
  • Indem die potenzielle Energie Pα durch ein Verschiebungsvektorfeld u(x,y) innerhalb des rechteckigen Elements α ausgedrückt wird, kann die Gleichung 12 umgeschrieben werden in: P α ( x , y ) = 1 2 ( H α i = 0 3 A N i α u i α , k = 0 3 A N k α u k α )
    Figure DE102011078927B4_0095
  • Die ersten Ableitungen der Formfunktionen N mit Bezug auf kartesische Koordinaten x,y sind gegeben durch: N 0 x = N 1 x = N ( y m ) N 0 y = N 2 y = N ( x m ) N 3 x = N 3 x = N ( y + m ) N 3 y = N 1 y = N ( x + m )
    Figure DE102011078927B4_0096
    mit N = 1/m2, wobei m das Element oder die rechteckige Flächengröße ist und deshalb gilt: m = MaskSize / M.
  • Nach Durchführen der Integration über das Elementvolumen oder die Elementfläche kann die potenzielle Energie Pα des deformierten Elements α als eine quadratische Form der Verschiebungswerte u i α
    Figure DE102011078927B4_0097
    der Elementecken N0, N1, N2, N3 dargestellt werden: P α = i = 0, k = 0 7,7 u i α P i k α u k α
    Figure DE102011078927B4_0098
  • Als eine Näherung wird angenommen, dass das Volumen, das von den Pixeln eingenommen wird, als vernachlässigbar betrachtet werden kann, und es wird angenommen, dass die Pixel die 2D-Steifigkeit des Substrats der photolithographischen Maske nicht wesentlich beeinflussen. Dies bedeutet, dass die potenzielle Energiedichte P i k α
    Figure DE102011078927B4_0099
    sich innerhalb des Elements α nicht wesentlich als eine Funktion der Laserstrahlparameter ändert oder nicht von der Art und dem Betrag des Laserstrahl-Schreibens abhängt. Damit ändert sich Gleichung 18 zu: P α = i = 0, k = 0 7,7 u i α P i k 0 u k α
    Figure DE102011078927B4_0100
  • Dies ist eine entscheidende Feststellung des hier diskutierten vereinfachten Ansatzes.
  • Es wird nun angenommen, dass jedes rechteckige Element α alleine einen neuen Gleichgewichtszustand aufweist, nachdem der Laserstrahl auf diesen Teil der photolithographischen Maske gerichtet worden ist. Die neuen Gleichgewichtskoordinaten an den Ecken N0, N1, N2, N3 werden w i α
    Figure DE102011078927B4_0101
    genannt. Es ist offensichtlich, dass eine Änderung der potenziellen Energie von einem nicht deformierten Zustand in eine neue Gleichgewichtsposition infolge der Deformationen, die durch den Laserstrahl induziert werden, entgegengesetzt einer umgekehrten Änderung ist. Infolge der Tatsache, dass jedes Element α eine neue Gleichgewichtsform als Ausdruck der potenziellen Energie nach dem Richten des Laserstrahls auf diesen Teil der photolithographischen Maske aufweist, müssen die Verschiebungen, beginnend von dem neuen Gleichgewichtszustand, gezählt werden. Die Gleichung 19 wurde tatsächlich unter der Annahme abgeleitet, dass die Deformation in dem Substrat der photolithographischen Maske von einem ebenen Feld u ( x , y ) = ( x y )
    Figure DE102011078927B4_0102
    beginnt. Indem die potenzielle Energie als die Deformation von der Gleichgewichtsposition für jedes rechteckige Element α definiert ist, kann die Gleichung 19 konvertiert werden in: P α = i = 0, k = 0 7,7 ( u i α w i α ) P i k 0 ( u k α w k α )
    Figure DE102011078927B4_0103
  • Das Lagrange'sche Variationsprinzip wird nun auf die potenzielle Energie des Substrats der photolithographischen Maske angewendet. Das Lagrange'sche Variationsprinzip stellt fest, dass die Gleichgewichtsposition eines Körpers ein Minimum der potenziellen Energie aufweist und dass irgendeine partielle Variation für jede Verschiebung gleich null ist. Für den vorliegenden Fall führt dies für alle Koordinaten der Knoten zu der folgenden linearen Gleichung: δ P / δ ξ i = δ ( α = 1 M 2 P α ) / δ ξ i = 0
    Figure DE102011078927B4_0104
    mit i = (1... 2(M + 1)2 für MxM Zellen, die (M+1)×(M+1) Knoten aufweisen und jeder Knoten zwei Koordinaten hat. Wie in den Gleichungen 13 bis 15 definiert, wird jeder interne Knoten mit einer Koordinate ξ i α
    Figure DE102011078927B4_0105
    viermal in den Komponenten für alle der benachbarten Knoten dargestellt. Alle internen Knoten werden von links nach rechts durch Spalten und von unten nach oben gezählt und alle Koordinaten aller Knoten werden in der Weise gezählt, dass: ξ 2 α + 0 = u 0   x α , ξ 2 α + 1 = u 0   y α  und u 0   x α = u 1 α 1 x = u 2 α M x = u 3 α M 1 x , u 0  y α = u 1 α 1 y = u 2 α M y = u 3 α M 1 y
    Figure DE102011078927B4_0106
  • Durch Aufsammeln aller Ableitungen in der Gleichung 17 und durch Verwenden der Definitionen der Gleichung 22 mit den entsprechenden Vorzeichen, transformiert sich die Gleichung 21 in: j = 1 2 ( M + 1 ) 2 P i j g ξ j j = 1, α 1 8, M 2 P i j α w w j α = 0
    Figure DE102011078927B4_0107
  • Die Gleichung 23 ist der Ausgangspunkt für die Beschreibung von den verschiedenen Aspekten des erfindungsgemäßen Prinzips, das in den vorhergehenden Abschnitten diskutiert wurde. Es ist wichtig zu bemerken, dass die Determinante der Matrix P i j g
    Figure DE102011078927B4_0108
    infolge der Invarianz der potenziellen Energie für das Gesamtsubstrat der photolithographischen Maske gegenüber einer Rotation und einer Translationsbewegung Null ist. Diese Bedingung ist immer automatisch durch Hinzufügen einer Bedingung bezüglich der resultierenden translatorischen Bewegung und der Drehung der photolithographischen Maske erfüllt. Dies bedeutet, dass es immer möglich ist, die inverse Matrix (Tensor zweiter Stufe) ( P i j g ) 1
    Figure DE102011078927B4_0109
    der normalisierten Matrix P i j g
    Figure DE102011078927B4_0110
    zu berechnen. Daher ermöglicht das Verwenden der Gleichung 23 für die bekannten Gleichgewichtsdeformationen der rechteckigen Elemente α, die Berechnung der Deformationen, die aus dem lokalen Richten des Laserstrahls auf einen Teil des Maskensubstrats resultiert. ξ = ( P g ) 1 P w w
    Figure DE102011078927B4_0111
  • Um die resultierenden Deformationen mit dem verursachenden Laserstrahl zu verbinden oder, um genauer zu sein, mit den Laserstrahlparametern oder dem Schreibmodus des Laserstrahls, werden die resultierenden Deformationen in einer für den Schreibmodus exakten Terminologie ausgedrückt. Es wird angenommen, dass in dem Fall eines einheitlichen Schreibens in das rechteckige Element α seine Gleichgewichtsdeformation proportional zu dem Betrag oder der Anzahl der geschriebenen Pixel oder der induzierten Abschwächung der optischen Intensität ist. Die Schreibdichte des Elements α wird als aα bezeichnet und eine Änderung der Eckkoordinaten für eine Einheitsschreibdichte wird mit e i α
    Figure DE102011078927B4_0112
    bezeichnet. Es wird dann angenommen, dass für den Schreibmodus, d.h. für das Verwenden derselben Laserparameter für jedes Element α und bei alleinigem Variieren der Schreibdichte oder der Pixeldichte, die Gleichung 24 in eine Form umgeschrieben werden kann: ξ i = j = 1, k = 1, α = 1 4 ( M + 1 ) 2 ,8, M 2 ( P i j g ) 1 P i j a w a α e k
    Figure DE102011078927B4_0113
  • Durch Durchführen der Summation über k und durch das Bezeichnen A i α = k = 1 8 P i k α w e k
    Figure DE102011078927B4_0114
    geht die Gleichung 25 in die Form über: ξ i = j = 1, α = 1 2 ( M + 1 ) 2 , M 2 ( P i j g ) 1 A j α a α
    Figure DE102011078927B4_0115
  • Diese Gleichung stellt fest, dass die Deformation an dem internen Knoten ξi eine lineare Kombination der Schreibamplitude aα jedes rechteckigen Elements α ist.
  • Die Gleichung 26 nimmt an, dass das Schreiben des Laserstrahls mit einem festgelegten Modus oder einem festgelegten Satz von Laserstrahlparametern durchgeführt wird. Die Deformationseigenschaft des Schreibmodus wird in Gleichgewichtsdeformationsverschiebungen ek in Einheitsrichtungen für den k-ten Einheitsvektor kodiert. Tatsächlich sind diese acht Verschiebungskomponenten Funktionen von nur fünf unabhängigen, da zwei virtuelle Verschiebungen des Elements fest sind und da eine Drehung ebenfalls nichts zu der potenziellen Energie beiträgt. Bei Verwendung dieser fünf unabhängigen Komponenten ist es möglich, einen orthonormalen Satz von Einheitsvektoren zu konstruieren. n i = N i j 1 e j e i = { e k j } , e k j = δ k j
    Figure DE102011078927B4_0116
    wobei N i j 1 = ( n i , e j )
    Figure DE102011078927B4_0117
    eine Matrix ist, die die Basis ej in die Basis ni umwandelt ( n i , n j ) = δ i j , ( n i , i e 2 * i ) = 0, ( n i , i e 2 * i + 1 ) = 0
    Figure DE102011078927B4_0118
  • Projektionen auf diesen Satz von Einheitsvektoren werden als eine Darstellung des Schreibmodus, d.h. eines Satzes von Laserstrahlparametern benutzt. Im Folgenden wird ein Schreibmodus als eine Signatur des Schreibwerkzeugs (writing tool signatur) als TS oder Werkzeugsignatur abgekürzt. Die TS stellt eine Eigenschaft des Schreibwerkzeugs oder des Lasersystems für eine ausgewählte Art des Schreibens oder für einen Satz von Laserstrahlparametern dar, der einen vordefinierten Satz von physikalischen und geometrischen Eigenschaften aufweist. Unter Verwendung der Notation der Gleichungen 27 und 28 kann die Gleichung 26 umgeschrieben werden zu: ξ i = j = 1, k = 1, α = 1, l = 1 2 ( M + 1 ) 2 ,8, M 2 , S ( P i j g ) 1 P j k α w a α N k l t l s
    Figure DE102011078927B4_0119
    wobei t l s
    Figure DE102011078927B4_0120
    Deformationselemente in Normalenrichtungen sind und N k l t l s
    Figure DE102011078927B4_0121
    Verschiebungen der Eckelemente sind.
  • Unter Verwendung der Annahme, dass die Deformationen, die durch eine wiederholte Anwendung eines Laserstrahls induziert werden, der mehrere Parametersätze aufweist oder durch Verwenden mehrerer Schreibmodi in einem Mehrfachschreibprozess, eine Linearkombination sind, können die Deformationen definiert werden als: ξ i = j = 1, α = 1, m = 1 2 ( M + 1 ) 2 , M 2 , R ( P i j g ) 1 A j α m a m α
    Figure DE102011078927B4_0122
    wobei m alle verschiedenen Schreibmodi R berücksichtigt.
  • Wie bereits oben angegeben, können die Deformationen durch einen linearen Operator dargestellt werden, der in Räumen der Schreibdichteamplituden a m α
    Figure DE102011078927B4_0123
    und der Deformationselemente in Normalenrichtungen t l m
    Figure DE102011078927B4_0124
    wirkt und der von Null verschiedene Werte in dem Raum der induzierten Knotenverschiebungen ξi aufweist. Unter Verwendung der Gleichungen 27 und 28 kann die Gleichung 29 in die Form gebracht werden: ξ i = j = 1, k = 1, α = 1, l = 1, m = 1 2 ( M + 1 ) 2 ,8, M 2 , S , R ( P i j g ) 1 P j k α w a m α N k l t l m
    Figure DE102011078927B4_0125
    wobei die Verschiebungen der rechteckigen Gitterknoten ξi in Paaren x, y von links nach rechts und von unten nach oben gezählt werden, a m α
    Figure DE102011078927B4_0126
    die Amplitude der Schreibdichte für einen Modus M in dem rechteckigen Element α ist, t l m
    Figure DE102011078927B4_0127
    eine Werkzeugsignatur für den Modus M (oder eine Modussignatur) ist.
  • Es wird nun angenommen, dass ein anfängliches Platzierungsproblem φj für einen Satz von Positionen Xj, Yj j = 1,...,L definiert ist. Das Platzierungsproblem φj muss durch lokales Richten eines Laserstrahls auf das Substrat der photolithographischen Maske korrigiert werden. In der oben diskutierten Näherung sind die Deformationen additiv zu allen anfänglichen Platzierungsproblemen. Die Verschiebungen sind jedoch nur bei den Knoten ξi bekannt. Unter Verwendung der Annahme, dass die Deformationen innerhalb des rechteckigen Elements α ein lineares Verhalten zeigen, kann eine Matrix erzeugt werden, die die Größen der Verschiebungen an allen Knoten ξi in die Größe an den gewünschten Positionen ζi transformiert. Diese Transformation kann unter Verwendung der Gleichungen 13 und 14 ausgeführt werden: ζ i = j = 1 2 ( M + l ) ( M + 1 ) M i j ξ j
    Figure DE102011078927B4_0128
  • Hierbei ist ζi das Ergebnis einer linearen Interpolation oder eine lineare Kombination der berechneten Verschiebung ξi an den Positionen Xi,Yi . Die Matrix Mij weist eine Dimension von L × 2 * (M +1) * (M + 1) auf. Tatsächlich weist diese Matrix nur acht Diagonalelemente auf, weil, wie bereits oben diskutiert worden ist, jede Position unter Verwendung von nur vier Ecken des Elements α interpoliert wird.
  • Daher ist die resultierende Platzierung bei einer ausgewählten Position i auf dem Substrat der photolithographischen Maske: φ i + ζ i
    Figure DE102011078927B4_0129
  • Bevor eine photolithographische Maske den Photolack auf einem Wafer belichtet, kann ein Scanner oder ein Stepper eine lineare Abbildungstransformation durchführen, um Platzierungsfehler von Musterelementen auf der Maske zu korrigieren oder Abbildungsfehler, die durch das optische Belichtungssystem induziert werden. Eine lineare Abbildungstransformation ist heutzutage in fast jedem Scanner implementiert. Eine lineare Abbildungstransformation kann durch sechs Parameter beschrieben werden. Zwei Parameter mx,my berücksichtigen eine lineare Verschiebung, zwei Parameter sx,sy beschreiben eine Bildskalierung und zwei weitere Parameter rx,ry beschreiben eine verallgemeinerte Drehung. Mit diesen sechs Parametern einer linearen Abbildungstransformation wird jeder Punkt in dem Bild x, y entsprechend der Gleichung transformiert: x ' = x + m x + s x x r x y y ' = y + m y + s y y r y x
    Figure DE102011078927B4_0130
  • Normalerweise weisen die Skalierung und die Rotationsparameter eine sehr kleine Größe auf von nicht mehr als 1 ppm (parts per million, Teile pro Million), so dass Komponenten höherer Ordnungen wie ζ * s und ζ * r ignoriert werden können. Dies bedeutet, dass alle Abbildungstransformationen unter Verwendung reiner Designpositionen durchgeführt werden können.
  • Die lineare Abbildungstransformation kann in einer Matrixform dargestellt werden: ζ ' i = ζ i + k = 1 6 T i j s k
    Figure DE102011078927B4_0131
    wobei sk der Vektor aller Abbildungstransformationskoeffizienten des Scanners ist: s = { m x , m y , s x , s y r x , r y }
    Figure DE102011078927B4_0132
    Manche fortschrittlichen Scanner bieten sogar komplexere nichtlineare Abbildungstransformationen, die Abbildungstransformation kann jedoch noch immer in der Form der Gleichung 35 mit einem breiteren Satz von Transformationsparametern gemäß der Gleichung 36 dargestellt werden.
  • Um das gegebene Platzierungsproblem (φ zu lösen, müssen die Amplituden a m α
    Figure DE102011078927B4_0133
    des Schreibmodus M in dem rechteckigen Element α und die Transformationskoeffizienten des Scanners sk identifiziert werden, die das Zielfunktional minimieren, d.h. es muss gelöst werden: min { Φ ( φ + ζ + T s ) }
    Figure DE102011078927B4_0134
    Um das Zielfunktional Φ zu minimieren, werden beide Parameter, die Verschiebungen ζi, und die linearen Transformationsparameter sk gleichzeitig variiert, um das Platzierungsproblem φi, zu minimieren. Dies ist eine entscheidende Feststellung für den diskutierten Ansatz. Die Gleichung 37 gibt ebenfalls an, dass es vorteilhaft sein kann, Deformationen in dem Substrat der photolithographischen Maske (ausgedrückt durch die Verschiebungen ζi ) zu erzeugen, um den Platzierungsfehler zu minimieren. Deshalb ergibt das Einführen von Verschiebungen gemäß der Lösung der Gleichung 37 ζi opl und Durchführen einer linearen Abbildungstransformation gemäß der Lösung der Gleichung 37, si opl das bestmögliche Leistungsverhalten der photolithographischen Maske.
  • Von einem Berechnungsstandpunkt aus betrachtet, ist es bequem, das Zielfunktional als ein mittleres Quadrat der verbleibenden Verschiebungen zu wählen, wodurch die die Gleichung 37 umwandelt wird in: m i n { i = 1 L ( φ i + ζ i + j = 1 6 T i j s j ) 2 + λ s j = 1 6 s k 2 + J = 1 R , M 2 λ m α a j m 2 }
    Figure DE102011078927B4_0135
  • Eine Tikhonov-Regularisation muss dem Zielfunktional hinzugefügt werden, um sicherzustellen, dass das Ergebnis eine physikalisch vernünftige Lösung definiert. Die Regularisationskoeffizienten λ müssen klein genug gewählt werden, so dass sie keine wesentliche Änderung in die Lösung einführen. Das Problem der Gleichung 38 kann eine analytische Lösung sein, aber es gibt die Randbedingung, dass alle Amplituden der Schreibdichte a l m
    Figure DE102011078927B4_0136
    für alle Modi M positiv sein müssen. Eine weitere Randbedingung existiert dadurch, dass die Amplituden des Schreibmodus (athres)m eine bestimmte Schreibmodus spezifische Schädigungsschwelle des Substrats der photolithographischen Maske nicht überschreiten dürfen, was in einer Schreibmodus spezifischen Relation resultiert: 0 < a l m < ( a l t h r e s ) m
    Figure DE102011078927B4_0137

Claims (19)

  1. Ein Verfahren zum Korrigieren einer Mehrzahl von Fehlern einer photolithographischen Maske (100, 200, 310), das Verfahren umfassend: a. Optimieren erster Parameter einer Abbildungstransformation der photolithographischen Maske und zweiter Parameter eines Laserstrahls (335), der lokal auf die photolithographische Maske gerichtet wird; und b. Korrigieren der Mehrzahl von Fehlern durch Anwenden einer Abbildungstransformation unter Verwendung optimierter erster Parameter und lokales Richten des Laserstrahls auf die photolithographische Maske unter Verwendung optimierter zweiter Parameter; c. wobei die ersten und die zweiten Parameter gleichzeitig in einem kombinierten Optimierungsprozess optimiert werden.
  2. Das Verfahren gemäß Anspruch 1, wobei der Schritt c. umfasst: a. Aufstellen eines Zielfunktionals, das Fehlerdaten, erste Abbildungstransformationsparameter und zweite Laserstrahlparameter umfasst; und b. Minimieren des Zielfunktionals durch gleichzeitiges Variieren der ersten Abbildungstransformationsparameter und der zweiten Laserstrahlparameter.
  3. Das Verfahren gemäß Anspruch 2, wobei ein Lagrange-Variationsprinzip zum Aufstellen des Zielfunktionals benutzt wird und / oder das Zielfunktional eine potentielle Energieverteilung, die in die photolithographische Maske durch die ersten und die zweiten Parameter eingeführt wird, minimiert.
  4. Das Verfahren gemäß einem der vorhergehenden Ansprüche, wobei die Abbildungstransformation eine lineare Abbildungstransformation umfasst und / oder die lineare Abbildungstransformation zwei Parameter für eine photolithographische Maskenskalierung und zwei Parameter für eine verallgemeinerte Drehung der photolithographischen Maske umfasst.
  5. Das Verfahren gemäß einem der vorhergehenden Ansprüche, wobei die zweiten Laserstrahlparameter eine Energie des Laserstrahls umfassen und / oder eine numerische Apertur und / oder eine Brennpunktgröße und / oder eine Strahlpolarisation und / oder einen Astigmatismus und / oder eine Pulslänge und / oder eine Wiederholrate und / oder eine Anzahl von Pulsen, die auf eine Stelle der photolithographischen Maske gerichtet werden und / oder einen Abstand zwischen zwei Positionen umfasst, bei denen der Laserstrahl auf die photolithographische Maske gerichtet wird.
  6. Das Verfahren gemäß einem der vorhergehenden Ansprüche, wobei der Laserstrahl eine Dichte und / oder eine optische Transmissionsverteilung der photolithographischen Maske modifiziert und / oder wobei die lokal modifizierte Dichte und / oder die optische Transmission der photolithographischen Maske diskontinuierlich innerhalb einer Mehrzahl kleiner Volumina der photolithographishen Maske modifiziert wird, wobei jedes kleine Volumen Pixel genannt wird.
  7. Das Verfahren gemäß einem der Ansprüche 2-6, wobei das Zielfunktional als ein mittleres Quadrat der verbleibenden Verschiebungen unter Verwendung einer Tikhonov-Regularisation minimiert wird.
  8. Das Verfahren gemäß einem der Ansprüche 2-7, wobei das Zielfunktional zumindest eine Gewichtsfunktion als eine Randbedingung in einer aktiven Fläche umfasst und / oder in einer nicht aktiven Fläche der photolithographischen Maske und / oder wobei die Gewichtsfunktion zum gleichzeitigen Korrigieren von Platzierungsfehlern und Ebenheitsfehlern der Mehrzahl von Fehlern konstruiert ist.
  9. Das Verfahren gemäß einem der vorhergehenden Ansprüche, wobei die Mehrzahl von Fehlern verschiedene Typen der Mehrzahl von Fehlern umfasst und / oder wobei die verschiedenen Typen der Mehrzahl von Fehlern Platzierungsfehler und / oder optische Transmissionsfehler und / oder Ebenheitsfehler umfassen.
  10. Das Verfahren gemäß einem der vorhergehenden Ansprüche, wobei Fehler, die beim lokalen Richten des Laserstrahls auf das Substrat der photolithographischen Maske zum Erzeugen von Pixeln in einer ersten Schicht des Substrats der photolithographischen Maske zum Korrigieren der Mehrzahl von Fehlern nicht korrigiert werden und / oder eingeführt werden beim erneuten Richten des Laserstrahls auf das Substrat der photolithographischen Maske und Erzeugen von Pixeln in einer zweiten Schicht des Substrats der photolithographischen Maske korrigiert werden.
  11. Das Verfahren gemäß einem der vorhergehenden Ansprüche, wobei der Laserstrahl und / oder die photolithographische Maske um einen Winkel parallel zu dem Laserstrahl für einen Teil der Zeitdauer, in der der Laserstrahl auf die photolithographische Maske gerichtet ist, gedreht werden.
  12. Das Verfahren gemäß einem der vorhergehenden Ansprüche, wobei das Richten des Laserstrahls auf die photolithographische Maske keine Variation einer optischen Transmissionsverteilung für einen Laserstrahl bei der Belichtungswellenlänge der photolithographischen Maske einführt.
  13. Das Verfahren gemäß einem der Ansprüche 1-11, wobei das Richten des Laserstrahls auf die photolithographische Maske zusätzlich eine vorbestimmte Variation der optischen Transmissionsverteilung über die photolithographische Maske für den Laserstrahl bei der Belichtungswellenlänge der photolithographischen Maske einführt.
  14. Das Verfahren gemäß einem der Ansprüche 1-11, weiterhin aufweisend das Richten des Laserstrahls auf die photolithographische Maske, um Fehler zu korrigieren, die neu in die photolithographische Maske durch das Korrigieren optischer Transmissionsverteilungsfehler in der aktiven Fläche der photolithographischen Maske eingeführt wurden.
  15. Das Verfahren gemäß einem der Ansprüche 1-11, wobei das Richten des Laserstrahls auf die photolithographische Maske zumindest zwei Sätze zweiter Laserstrahlparameter umfasst, so dass das Korrigieren der optischen Transmissionsverteilungsfehler über die photolithographische Maske hinweg keine Platzierungsfehler in die photolithographische Maske einführt.
  16. Das Verfahren gemäß einem der vorhergehenden Ansprüche, wobei die Pulsenergie 0,05 µJ bis 5 µJ beträgt, die Pulsdauer 0,05 ps bis 100 ps beträgt, die Wiederholrate 1 kHz bis 10 MHz beträgt, eine Pulsdichte 1.000 Pulse pro mm2 bis 10.000.000 Pulse pro mm2 beträgt, eine NA eines Objektivs 0,1 bis 0,9 beträgt und die Vergrößerung des Objektivs 5x bis 40x beträgt.
  17. Das Verfahren gemäß einem der Ansprüche 1-15, wobei der erste Satz von zweiten Laserstrahlparametern eine Pulsenergie von 0,45 µJ - 0,55 µJ, eine Pulsdauer von 5-10 ps, eine Wiederholrate von 10 kHz - 100 kHz, die NA des Objektivs von 0,2 - 0,4, eine Vergrößerung des Objektivs von 10x - 20x und eine Pulsdichte von 1.000 - 100.000 Pulsen pro mm2 umfasst und / oder der zweite Satz der zweiten Laserstrahlparameter eine Pulsenergie von 0,27 µJ - 0,37 µJ und eine Pulsdichte von 5.000 - 500.000 Pulse pro mm2 aufweist.
  18. Das Verfahren gemäß einem der Ansprüche 1-11, wobei das Richten des Laserstrahls auf die photolithographische Maske zumindest drei Sätze zweiter Laserstrahlparameter umfasst, einen ersten Satz und einen zweiten Satz für das Richten des Laserstrahls auf die aktive Fläche mit verschiedenen Pulsenergien und einen dritten Satz zum Richten des Laserstrahls auf die nicht aktive Fläche der photolithographischen Maske.
  19. Das Verfahren gemäß einem der Ansprüche 1-11, wobei das Zielfunktional verwendet wird, um einen Überlappungsfehler zwischen zumindest einer ersten photolithographischen Maske und zumindest einer zweiten photolithographischen Maske zu minimieren.
DE102011078927.8A 2010-07-12 2011-07-11 Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske Expired - Fee Related DE102011078927B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US36335210P 2010-07-12 2010-07-12
US61/363,352 2010-07-12

Publications (2)

Publication Number Publication Date
DE102011078927A1 DE102011078927A1 (de) 2012-01-12
DE102011078927B4 true DE102011078927B4 (de) 2019-01-31

Family

ID=45372751

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102011078927.8A Expired - Fee Related DE102011078927B4 (de) 2010-07-12 2011-07-11 Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske

Country Status (4)

Country Link
US (1) US9658527B2 (de)
JP (2) JP5883249B2 (de)
KR (1) KR101828608B1 (de)
DE (1) DE102011078927B4 (de)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011083774B4 (de) 2010-10-04 2019-06-13 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Laser korrigierenden Tool-Parametern
US20120154773A1 (en) 2010-12-17 2012-06-21 Carl Zeiss Sms Gmbh Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
US8539394B2 (en) 2011-03-02 2013-09-17 Carl Zeiss Sms Ltd. Method and apparatus for minimizing overlay errors in lithography
NL2008335A (en) 2011-04-07 2012-10-09 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and method of correcting a mask.
US10157804B2 (en) * 2011-07-20 2018-12-18 Carl Zeiss Sms Ltd. Method and apparatus for determining a critical dimension variation of a photolithographic mask
EP2581789B1 (de) 2011-10-14 2020-04-29 Fundació Institut de Ciències Fotòniques Optisch transparente und elektrisch leitfähige Beschichtungen und Verfahren zu ihrer Ablagerung auf einem Substrat
DE102012213794A1 (de) * 2012-08-03 2014-02-06 Carl Zeiss Smt Gmbh Maskeninspektionsverfahren und Maskeninspektionssystem für EUV-Masken
JP5943306B2 (ja) * 2012-10-30 2016-07-05 大日本印刷株式会社 反射型マスクの製造方法およびマスクブランクの製造方法
KR102101837B1 (ko) * 2013-06-11 2020-04-17 삼성전자 주식회사 포토마스크, 포토마스크의 레지스트레이션 에러 보정 방법, 포토마스크를 이용하여 제조된 집적 회로 및 그 제조 방법
WO2015006604A1 (en) * 2013-07-11 2015-01-15 Kla-Tencor Corporation Identifying registration errors of dsa lines
KR102170143B1 (ko) * 2013-08-19 2020-10-26 삼성전자주식회사 노출 허용도 오차 및 레지스트레이션 오차가 보정된 포토마스크 및 그의 레지스트레이션 보정방법
US9448467B2 (en) * 2014-02-18 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Mask shift resistance-inductance method for multiple patterning mask design and a method for performing the same
US9087176B1 (en) * 2014-03-06 2015-07-21 Kla-Tencor Corporation Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control
JP6305800B2 (ja) 2014-03-19 2018-04-04 東芝メモリ株式会社 マスク製造装置及びマスク製造方法
WO2015144700A2 (en) * 2014-03-25 2015-10-01 Carl Zeiss Sms Ltd. Method and apparatus for generating a predetermined three-dimensional contour of an optical component and/or a wafer
WO2016003575A2 (en) * 2014-07-02 2016-01-07 Applied Materials, Inc. Localized stress modulation for overlay and epe
US9632407B2 (en) 2014-07-18 2017-04-25 Kabushiki Kaisha Yoshiba Mask processing apparatus and mask processing method
TWI547918B (zh) * 2014-11-28 2016-09-01 友達光電股份有限公司 面板裝置及其檢測方法
JP6513951B2 (ja) 2015-01-08 2019-05-15 株式会社ニューフレアテクノロジー 検査方法
US10050404B2 (en) 2015-03-26 2018-08-14 Nlight, Inc. Fiber source with cascaded gain stages and/or multimode delivery fiber with low splice loss
IL239577B (en) 2015-06-22 2020-10-29 Zeiss Carl Smt Gmbh Correction of variation in critical dimension in extreme ultraviolet lithography
KR102059018B1 (ko) 2015-10-19 2019-12-24 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 오차를 보정하는 장치 및 방법
US11036146B2 (en) * 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
US10915689B2 (en) 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10377665B2 (en) * 2015-11-19 2019-08-13 Varian Semiconductor Equipment Associates, Inc. Modifying bulk properties of a glass substrate
WO2017091505A1 (en) 2015-11-23 2017-06-01 Nlight, Inc. Fine-scale temporal control for laser material processing
EP3389915B1 (de) * 2016-01-19 2021-05-05 NLIGHT, Inc. Verfahren zur verarbeitung von kalibrierungsdaten in 3d-laserabtastsystemen
KR20170098504A (ko) 2016-02-22 2017-08-30 에스케이하이닉스 주식회사 포토마스크의 결함 검사 방법
US10549386B2 (en) * 2016-02-29 2020-02-04 Xerox Corporation Method for ablating openings in unsupported layers
WO2017202665A1 (en) 2016-05-25 2017-11-30 Asml Netherlands B.V. Focus and overlay improvement by modifying a patterning device
US10661391B2 (en) 2016-09-29 2020-05-26 Nlight, Inc. Method of forming pores in three-dimensional objects
US10663769B2 (en) 2016-09-29 2020-05-26 Nlight, Inc. Systems and methods for modifying beam characteristics
US10668535B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Method of forming three-dimensional objects
US10649241B2 (en) 2016-09-29 2020-05-12 Nlight, Inc. Multi-function semiconductor and electronics processing
US10663767B2 (en) 2016-09-29 2020-05-26 Nlight, Inc. Adjustable beam characteristics
US10656440B2 (en) 2016-09-29 2020-05-19 Nlight, Inc. Fiber optical beam delivery device producing output exhibiting intensity distribution profile having non-zero ellipticity
US10668567B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Multi-operation laser tooling for deposition and material processing operations
US10656427B2 (en) 2016-09-29 2020-05-19 Nlight, Inc. Multicore fiber-coupled optical probing techniques
US10673197B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Fiber-based optical modulator
US10739621B2 (en) 2016-09-29 2020-08-11 Nlight, Inc. Methods of and systems for materials processing using optical beams
US10673198B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Fiber-coupled laser with time varying beam characteristics
US10663742B2 (en) 2016-09-29 2020-05-26 Nlight, Inc. Method and system for cutting a material using a laser having adjustable beam characteristics
US10677984B2 (en) 2016-09-29 2020-06-09 Nlight, Inc. Production of temporally apparent intensity distribution by rapid perturbation of variable beam characteristics optical fiber
US10670872B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. All-fiber optical beam switch
US10646963B2 (en) 2016-09-29 2020-05-12 Nlight, Inc. Use of variable beam parameters to control a melt pool
US10661342B2 (en) 2016-09-29 2020-05-26 Nlight, Inc. Additive manufacturing systems and methods for the same
US10668537B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Systems for and methods of temperature control in additive manufacturing
US10663768B2 (en) 2016-09-29 2020-05-26 Nlight, Inc. Fiber optical beam delivery device producing selectable intensity profiles
US10673199B2 (en) 2016-09-29 2020-06-02 Nlight, Inc. Fiber-based saturable absorber
US10732439B2 (en) 2016-09-29 2020-08-04 Nlight, Inc. Fiber-coupled device for varying beam characteristics
US10730785B2 (en) 2016-09-29 2020-08-04 Nlight, Inc. Optical fiber bending mechanisms
US10690928B2 (en) 2016-09-29 2020-06-23 Nlight, Inc. Methods of and systems for heat deposition in additive manufacturing
US10682726B2 (en) 2016-09-29 2020-06-16 Nlight, Inc. Beam modification structures and methods of modifying optical beam characteristics using the beam modification structures
US10684487B2 (en) 2016-09-29 2020-06-16 Nlight, Inc. Frequency-converted optical beams having adjustable beam characteristics
KR102002441B1 (ko) 2017-01-17 2019-07-23 호야 가부시키가이샤 반사형 마스크 블랭크, 반사형 마스크 및 그 제조 방법, 및 반도체 장치의 제조 방법
WO2018187489A1 (en) 2017-04-04 2018-10-11 Nlight, Inc. Optical fiducial generation for galvanometric scanner calibration
CN107227438B (zh) * 2017-06-15 2019-05-03 京东方科技集团股份有限公司 金属掩膜板的设计方法、金属掩膜板的制备方法
DE102017212848A1 (de) * 2017-07-26 2019-01-31 Carl Zeiss Sms Ltd. Verfahren und Vorrichtung zum Kompensieren von Defekten eines Maskenrohlings
JP6863169B2 (ja) 2017-08-15 2021-04-21 Agc株式会社 反射型マスクブランク、および反射型マスク
DE102017123114B3 (de) 2017-10-05 2019-01-10 Carl Zeiss Sms Ltd. Verfahren zur Korrektur der Critical Dimension Uniformity einer Fotomaske für die Halbleiterlithographie
WO2019131506A1 (ja) 2017-12-27 2019-07-04 Hoya株式会社 導電膜付き基板、多層反射膜付き基板、反射型マスクブランク、反射型マスク及び半導体装置の製造方法
JP2019164300A (ja) 2018-03-20 2019-09-26 東芝メモリ株式会社 基板加工制御装置、基板加工プログラム、およびフォトマスクの作製方法
KR102598586B1 (ko) * 2018-07-17 2023-11-06 칼 짜이스 에스엠에스 엘티디 포토리소그라픽 마스크의 기판에 도입되는 하나 이상의 픽셀의 효과를 결정하기 위한 방법 및 장치
DE102018218129B4 (de) * 2018-10-23 2023-10-12 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen
KR20200052487A (ko) 2018-11-06 2020-05-15 삼성전자주식회사 반도체 소자의 제조 방법
DE102019201497B3 (de) 2019-02-06 2020-06-18 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zum Bestimmen von Platzierungen von Pattern-Elementen einer reflektiven fotolithographischen Maske in deren Betriebsumgebung
KR20220024004A (ko) 2019-06-27 2022-03-03 호야 가부시키가이샤 박막 부착 기판, 다층 반사막 부착 기판, 반사형 마스크 블랭크, 반사형 마스크 및 반도체 장치의 제조 방법
DE102019121609A1 (de) * 2019-08-09 2020-08-20 Carl Zeiss Sms Ltd. Verfahren zur korrektur von maskenfehlern und entsprechend korrigierte maske
US11366382B2 (en) 2020-02-24 2022-06-21 Carl Zeiss Smt Gmbh Method and apparatus for performing an aerial image simulation of a photolithographic mask
EP4302156A1 (de) 2021-03-01 2024-01-10 Carl Zeiss SMS Ltd. Verfahren und vorrichtung zur optimierung einer fehlerkorrektur für ein optisches element in einem lithographischen verfahren
JP2023053673A (ja) 2021-10-01 2023-04-13 信越化学工業株式会社 反射型マスクブランク用膜付き基板、反射型マスクブランク、及び反射型マスクの製造方法
DE102023103904B3 (de) 2023-02-16 2024-01-04 Carl Zeiss Sms Ltd. Verfahren zum korrigieren von fehlern in photolithographischen masken unter vermeidung der beschädigung von rückseitenbeschichtungen

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7001697B2 (en) 2002-10-07 2006-02-21 Samsung Electronics Co., Ltd. Photomask having a transparency-adjusting layer, method of manufacturing the photomask, and exposure method using the photomask
US20070065729A1 (en) 2003-07-18 2007-03-22 Eitan Zait Method for correcting critical dimension variations in photomasks
US7241539B2 (en) 2002-10-07 2007-07-10 Samsung Electronics Co., Ltd. Photomasks including shadowing elements therein and related methods and systems
US20070224522A1 (en) 2006-03-21 2007-09-27 Myoung-Soo Lee Substrate including deformed portions and method of adjusting a curvature of a substrate
DE102006054820A1 (de) 2006-11-21 2008-05-29 Advanced Mask Technology Center Gmbh & Co. Kg Verfahren zur Korrektur von Platzierungsfehlern
US7459242B2 (en) 2002-02-20 2008-12-02 Pixer Technology Ltd. Method and system for repairing defected photomasks
US20090191475A1 (en) 2005-06-14 2009-07-30 Samsung Electronics Co., Ltd. Method of manufacturing photomask

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0728226A (ja) 1993-04-30 1995-01-31 Internatl Business Mach Corp <Ibm> 領域的イメージを測定する装置及び方法
JP3561556B2 (ja) 1995-06-29 2004-09-02 株式会社ルネサステクノロジ マスクの製造方法
WO1998007053A2 (en) 1996-07-26 1998-02-19 Corning Incorporated Fused silica having high resistance to optical damage
US6480285B1 (en) * 1997-01-28 2002-11-12 Zetetic Institute Multiple layer confocal interference microscopy using wavenumber domain reflectometry and background amplitude reduction and compensation
US6834262B1 (en) * 1999-07-02 2004-12-21 Cypress Semiconductor Corporation Scheme for improving the simulation accuracy of integrated circuit patterns by simulation of the mask
JP2001244181A (ja) 2000-02-29 2001-09-07 Nippon Telegr & Teleph Corp <Ntt> 電子線描画データ加工方法および描画データ加工プログラムを記録した記録媒体、ならびに電子線描画装置
US6821682B1 (en) 2000-09-26 2004-11-23 The Euv Llc Repair of localized defects in multilayer-coated reticle blanks for extreme ultraviolet lithography
US6858537B2 (en) 2001-09-11 2005-02-22 Hrl Laboratories, Llc Process for smoothing a rough surface on a substrate by dry etching
US6844272B2 (en) 2002-03-01 2005-01-18 Euv Limited Liability Corporation Correction of localized shape errors on optical surfaces by altering the localized density of surface or near-surface layers
US7853904B2 (en) * 2002-06-07 2010-12-14 Cadence Design Systems, Inc. Method and system for handling process related variations for integrated circuits based upon reflections
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7046342B2 (en) * 2004-01-29 2006-05-16 International Business Machines Corporation Apparatus for characterization of photoresist resolution, and method of use
DE102004046542A1 (de) 2004-09-21 2006-03-23 Carl Zeiss Smt Ag Verfahren und Vorrichtung zur Einstellung optischer Abbildungseigenschaften durch Strahlungsbehandlung
NL1027836C2 (nl) 2004-12-21 2006-06-22 Stichting Fund Ond Material Meerlagenspiegel voor straling in het zachte-röntgen- en XUV-golflengtegebied.
KR100604940B1 (ko) 2005-06-14 2006-07-28 삼성전자주식회사 포토 마스크의 측정 장치, 이를 이용한 포토 마스크의 cd측정방법, cd를 이용하여 포토 마스크를 보정하는장치와 방법 및 포토 마스크의 제조방법
DE102006027429A1 (de) 2005-06-14 2006-12-28 Samsung Electronics Co., Ltd., Suwon An einer Halbleitervorrichtung eine gleichmäßige kritische Abmessung vorsehende Photomaske und Verfahren zum Herstellen derselben
JP4954211B2 (ja) * 2005-09-09 2012-06-13 エーエスエムエル ネザーランズ ビー.ブイ. 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
JP2008026822A (ja) 2006-07-25 2008-02-07 Toshiba Corp フォトマスクの製造方法及び半導体装置の製造方法
US7524988B2 (en) 2006-08-01 2009-04-28 Lyondell Chemical Technology, L.P. Preparation of acetic acid
US7652815B2 (en) * 2006-09-08 2010-01-26 Necsel Intellectual Property, Inc. Mobile charge induced periodic poling and device
JP4909913B2 (ja) 2008-01-10 2012-04-04 株式会社東芝 インプリントマスクの製造方法および半導体装置の製造方法
JP5913979B2 (ja) * 2008-06-03 2016-05-11 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのプロセスシミュレーション方法
JP5353230B2 (ja) 2008-12-25 2013-11-27 大日本印刷株式会社 フォトマスクのパタン位置補正方法および位置補正されたフォトマスク
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7459242B2 (en) 2002-02-20 2008-12-02 Pixer Technology Ltd. Method and system for repairing defected photomasks
US7001697B2 (en) 2002-10-07 2006-02-21 Samsung Electronics Co., Ltd. Photomask having a transparency-adjusting layer, method of manufacturing the photomask, and exposure method using the photomask
US7241539B2 (en) 2002-10-07 2007-07-10 Samsung Electronics Co., Ltd. Photomasks including shadowing elements therein and related methods and systems
US20070065729A1 (en) 2003-07-18 2007-03-22 Eitan Zait Method for correcting critical dimension variations in photomasks
US20090191475A1 (en) 2005-06-14 2009-07-30 Samsung Electronics Co., Ltd. Method of manufacturing photomask
US20070224522A1 (en) 2006-03-21 2007-09-27 Myoung-Soo Lee Substrate including deformed portions and method of adjusting a curvature of a substrate
DE102006054820A1 (de) 2006-11-21 2008-05-29 Advanced Mask Technology Center Gmbh & Co. Kg Verfahren zur Korrektur von Platzierungsfehlern

Also Published As

Publication number Publication date
JP2016095536A (ja) 2016-05-26
DE102011078927A1 (de) 2012-01-12
KR20120007975A (ko) 2012-01-25
US20120009511A1 (en) 2012-01-12
JP5883249B2 (ja) 2016-03-09
JP2012022323A (ja) 2012-02-02
US9658527B2 (en) 2017-05-23
KR101828608B1 (ko) 2018-03-29
JP6261056B2 (ja) 2018-01-17

Similar Documents

Publication Publication Date Title
DE102011078927B4 (de) Verfahren zum Korrigieren von Fehlern einer photolithographischen Maske
DE60110995T2 (de) Verfahren und Vorrichtung zur schnellen Simulation eines Luftbildes
DE102011083774B4 (de) Verfahren zum Bestimmen von Laser korrigierenden Tool-Parametern
DE60210852T2 (de) Beleuchtungsoptimierung für spezifische Maskenmuster
DE60214506T2 (de) Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern
DE69233134T2 (de) Reproduktionsverfahren mit hoher Auflösung unter Verwendung eines dem Verfahren angepassten Maskenmusters
DE102006054820B4 (de) Verfahren zur Korrektur von Platzierungsfehlern
DE102019116893A1 (de) Zellbereiche doppelter höhe, halbleiterbauelement damit und verfahren zum erzeugen eines entsprechenden aufbaudiagramms
DE102010045135B4 (de) Verfahren zur Ermittlung eines Platzierungsfehlers eines Strukturelements auf einer Maske, Verfahren zur Simulation eines Luftbildes aus Struktur-Vorgaben einer Maske und Positionsmessvorrichtung
CN107908071A (zh) 一种基于神经网络模型的光学邻近校正方法
DE102017212848A1 (de) Verfahren und Vorrichtung zum Kompensieren von Defekten eines Maskenrohlings
DE102008019341A1 (de) Verfahren zur Analyse von Masken für die Photolithographie
DE102015114918A1 (de) Umweltbewusste OPC
DE102006004230B4 (de) Verfahren zur Herstellung einer Maske für die lithografische Projektion eines Musters auf ein Substrat
DE112017007551T5 (de) Vorrichtung und verfahren zur inspektion von retikeln
DE102009004392B9 (de) Datenerzeugungsverfahren für Halbleitervorrichtung und Elektronenstrahlbelichtungssystem
DE102016218977A1 (de) Verfahren und Vorrichtung zur Ermittlung eines OPC-Modells
DE102018125109B4 (de) Optische Nahbereichskorrektur
DE19723618A1 (de) Maske und Verfahren zum Belichten von flexographischen Platten
DE10355264B4 (de) Verfahren zur Verbesserung eines Simulationsmodells der photolithographischen Projektion
DE102018218129B4 (de) Verfahren zum Bestimmen von Positionen einer Vielzahl von Pixeln, die in ein Substrat einer photolithographischen Maske eingebracht werden sollen
DE102005036892A1 (de) Verfahren zur Simulation von photolithographischen Masken
DE60118308T2 (de) Methode zur Korrektur optischer Naheffekte
DE102009007319B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung oder Photomaske sowie computerlesbares Speichermedium
DE102004009173A1 (de) Verfahren zur Kompensation der Verkürzung von Linienenden bei der Bildung von Linien auf einem Wafer

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G03F0001000000

Ipc: G03F0001720000

Effective date: 20111216

Free format text: PREVIOUS MAIN CLASS: G03F0001000000

Ipc: G03F0001720000

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee