US20120154773A1 - Method and apparatus for correcting errors on a wafer processed by a photolithographic mask - Google Patents

Method and apparatus for correcting errors on a wafer processed by a photolithographic mask Download PDF

Info

Publication number
US20120154773A1
US20120154773A1 US13/310,071 US201113310071A US2012154773A1 US 20120154773 A1 US20120154773 A1 US 20120154773A1 US 201113310071 A US201113310071 A US 201113310071A US 2012154773 A1 US2012154773 A1 US 2012154773A1
Authority
US
United States
Prior art keywords
photolithographic mask
errors
mask
wafer
photolithographic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/310,071
Inventor
Dirk Beyer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMS GmbH
Original Assignee
Carl Zeiss SMS GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMS GmbH filed Critical Carl Zeiss SMS GmbH
Priority to US13/310,071 priority Critical patent/US20120154773A1/en
Assigned to CARL ZEISS SMS GMBH reassignment CARL ZEISS SMS GMBH ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BEYER, DIRK
Publication of US20120154773A1 publication Critical patent/US20120154773A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/7035Proximity or contact printers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Definitions

  • the present invention relates to the field of correcting errors on a wafer processed by a photolithographic mask.
  • photolithographic masks or templates of the nanoimprint lithography have to project smaller and smaller structures onto a photosensitive layer, i.e. a photoresist dispensed on a wafer.
  • the exposure wavelength of photolithographic masks has been shifted from the near ultraviolet across the mean ultraviolet into the far ultraviolet region of the electromagnetic spectrum.
  • a wavelength of 193 nm is typically used for the exposure of the photoresist on wafers.
  • the manufacturing of photolithographic masks with increasing resolution is becoming more and more complex, and thus more and more expensive as well.
  • photolithographic masks will use significantly smaller wavelengths in the extreme ultraviolet (EUV) wavelength range of the electromagnetic spectrum (approximately at 13.5 nm).
  • EUV extreme ultraviolet
  • Photolithographic masks have to fulfil highest demands with respect to transmission homogeneity, planarity, pureness and temperature stability. In order to fabricate photolithographic masks with a reasonable yield, defects or errors of masks have to be corrected at the end of the manufacturing process. Various types of errors of photolithographic masks and methods for their corrections are described in U.S. Provisional Patent Application 61/351,056, filed on Jun. 3, 2010, and U.S. Provisional Patent Application 61/363,352, filed on Jul. 12, 2010, which are incorporated herein by reference in their entirety.
  • the basis of photolithographic masks is an ultra-pure substrate of fused quartz or other low thermal expansion material which has on one surface a thin chromium layer or a layer of another non-light transparent material.
  • the pattern elements of photolithographic masks are generated by a so-called pattern generator based on particle beams, predominantly electrons or a respective laser beam, which write the pattern elements in the absorbing material. In a subsequent etching process, the pattern elements are formed on the substrate of the photolithographic mask.
  • FIG. 1 schematically illustrates a mask fabrication process.
  • the precise position of the pattern elements on the generated mask is measured using a registration metrology tool.
  • the photolithographic mask exceeds the maximum tolerable positioning error of the pattern elements, the mask has to be rewritten.
  • the rewriting process it is at first tried to correct the positioning errors of the first writing process.
  • the writing time of a critical photolithographic mask may be very long and may reach a period of up to 20 hours.
  • the repeated writing of photolithographic masks is an extremely time-consuming and expensive process.
  • registration correction In an alternative process, positioning errors of photolithographic masks can be minimized by the application of a so-called registration correction (RegC) process.
  • this process uses femtosecond light pulses of a laser system to locally change the density of the substrate of a photolithographic mask which results in a shift of the pattern placement on the substrate surface of the photolithographic mask.
  • a pellicle is mounted on the surface of the photolithographic mask carrying the absorbing pattern elements.
  • the measurement of the position of the pattern elements has to be repeated in order to determine the influence of the pellicle on the positioning errors. This process is schematically represented in FIG. 1 .
  • the generation of an integrated circuit on a wafer requires the successive application of several different photolithographic masks for the fabrication of the different layers or levels of the component.
  • the plurality of photolithographic masks necessary for the generation of the integrated circuit is called a mask set.
  • the mask set may comprise 20 to 30 different photolithographic masks.
  • the complete mask set is transferred to the wafer processing site or to the wafer fabrication site.
  • a projection device successively illuminates a wafer by means of the individual photolithographic masks of the mask set in order to transfer the pattern elements of the various masks to the respective photoresistive layer on the wafer.
  • FIG. 2 schematically represents this process.
  • the pattern elements of the photolithographic mask are copied to the wafer forming the respective layer of the integrated circuit.
  • the overlap accuracy of the different photolithographic masks on the wafer is called overlay, and is determined by means of overlay targets also copied from the photolithographic mask to the wafer or to the photoresist on the wafer, respectively, using an overlay metrology system.
  • the projection device is readjusted, the illumination of the latest mask is repeated and the overlay error is again measured.
  • the overlay error still surmounts the overlay budget, the root cause of the error has to be analyzed and the overlay specification is tightened.
  • the respective mask is sent back to the mask fabrication site for rewriting of its pattern elements. As already briefly mentioned, this repair or rewriting process is extremely time-consuming and significantly hampers the wafer processing at the wafer processing site.
  • the overlay is presently determined at special AIM (advanced imaging metrology) overlay targets which are arranged at the four corners of the scribe line of the integrated circuit.
  • AIM advanced imaging metrology
  • the article “Meeting overlay requirements for future technology nodes with in-die overlay metrology”, by B. Schulz et al., Proc. SPIE Vol. 6518, 2007 describes that judging the quality of a photolithographic mask by the standard registration measurement in the scribe line is not at all representative of the placement of the structures in the die. This situation can only be improved when the specification of pattern placement errors of photolithographic masks is based on a higher sampling plan including representative structures and especially locations within the die.
  • the authors of this article report also of measurements on the influence of the pellicle to the overlay error. They conclude that this contribution is in the range of 1 nm (3 ⁇ value), however, it was too small to be determined with the available methodology and the precision levels of the overlay metrology system.
  • the overlay budget reduces to about 6 nm (3 ⁇ value) depending on the device or integrated circuit to be produced.
  • double patterning technologies are applied which require overlay accuracies of below 2.5 nm for some schemes.
  • the applicant detected that the contribution of the mounting of the pellicle to the positioning error may be significantly larger than estimated in the above mentioned article. This error may reach a dimension of some nanometers, which may take up more than 50% of the overall overlay budget. This error significantly reduces the yield of the overall wafer fabrication process and can therefore not be tolerated.
  • the situation is complicated as the influence of the pellicle mounting process can only be poorly corrected in advance due to its insufficient systematics.
  • a method for correcting errors on a wafer processed by a photolithographic mask at a wafer processing site comprises measuring of errors on the wafer, and modifying a pattern placement on the photolithographic mask by locally applying femtosecond light pulses of a laser system to the photolithographic mask at the wafer processing site.
  • the defined method measures errors on a wafer generated at the illumination of the wafer with a photolithographic mask at the final wafer overlay at the wafer processing site or at the wafer fabrication site. Therefore, the inventive method takes all problems into account which have influenced the measured overlay errors on the wafer. Since the overlay budget will further shrink with future technology nodes, it will be mandatory to determine the overall error for the mask overlay. It will be more and more difficult to separate the various contributions of the overall overlay error and to separately correct them. For example, the inventive principle a priori considers the impact of the pellicle mounting process to the measured data. Furthermore, the problems of the projection device of the photolithographic illumination system are also automatically taken into account.
  • the inventive principle detects errors on the wafer generated by the illumination process of different photolithographic masks.
  • a method known as registration correction (RegC) and described in U.S. Provisional Patent Application 61/351,056 allows the calculation of shifts or displacements of pattern elements, so that the errors detected on the wafer can be corrected by modifying the pattern placement on the respective photolithographic mask(s).
  • femtosecond light pulses of a laser system are applied in order to modify the density of the mask substrate which induces the required pattern placement shifts.
  • the individual masks representing different layers of the integrated circuit can be directly aligned to each other.
  • the defined method reduces the alignment errors of different masks resulting in the minimization of the overlay error.
  • the inventive method avoids to a large extent the involved rewriting of already existing photolithographic masks.
  • the errors on the wafer comprise pattern placement errors and/or critical dimension errors and/or overlay errors.
  • the inventive method is not restricted to the correction of overlay errors originating from pattern placement errors on the photolithographic mask and/or from alignment problems of the projection device used in the photolithographic illumination system. It can also be used to correct a variation of the optical transmission resulting in CD (critical dimension) errors across the wafer. Furthermore, the inventive method allows simultaneously correcting both types of errors.
  • measuring of errors comprises measuring of errors in the active area of a chip (in-die).
  • the 32 nm technology node and future technology nodes will require the detection of the pattern placement not just in the scribe line at the four corners of the die, but at a regular grid on the die itself (in-die).
  • the presented method supports the measurement of the positioning errors of the pattern elements in-die.
  • an overlay metrology system is now available which enables the measurement of positioning errors in the sub-nanometer range, so that contributions to the overlay error can now be detected which have been out of reach up to now.
  • measuring of errors comprises measuring at a developed photoresist layer on the wafer and/or on the wafer.
  • the measurement of overlay errors on a wafer can be performed at the developed photoresist on the wafer.
  • the processing of the wafer can be continued.
  • the last illumination step can be repeated by removing of the photoresist from the wafer and dispensing a new layer of photoresist. Prior to the second illumination, the photolithographic mask is also corrected.
  • the photolithographic mask comprises a pellicle.
  • modifying a pattern placement on the photolithographic mask comprises modifying a pattern placement on and/or an optical transmission of the photolithographic mask by locally applying femtosecond light pulses of a laser system.
  • locally applying of femtosecond light pulses does not introduce a variation of the optical transmission across the photolithographic mask.
  • locally applying of femtosecond light pulses corrects pattern placement errors and/or optical transmission errors of the photolithographic mask.
  • the femtosecond light pulses of a laser system can write an arrangement of local density variations, called pixels, in a substrate of a photolithographic mask which shift pattern elements on the surface of the photolithographic mask to a predetermined position.
  • the induced density variation of the substrate corrects pattern placement errors on the surface of the photolithographic mask, and thus minimizing the overlay error of the mask.
  • an arrangement of pixels can be written in the mask substrate which corrects a variation of the optical transmission across the photolithographic mask, so that CD errors can be corrected without inducing a shift of the pattern elements on the surface of the substrate of the photolithographic mask.
  • an arrangement of pixels can be defined and written which corrects both, pattern placement errors and optical transmission errors.
  • locally applying femtosecond light pulses locally changes a density of a substrate of the photolithographic mask.
  • the density of the substrate of the photolithographic mask is locally changed in a centre of the height of the substrate.
  • the photolithographic mask comprises a transmissive photolithographic mask and/or a reflective photolithographic mask and/or a template for the nanoimprint lithography.
  • the generation of integrated circuits on wafers which rely on a plurality of any kind of masks, has the problem to align pattern elements of different masks.
  • the inventive method can be used to solve or at least significantly reduce overlay errors occurring in these wafer fabrication processes.
  • an apparatus for correcting errors on a wafer processed by a photolithographic mask at a wafer processing site comprises at least one overlay metrology system adapted for measuring of errors on the wafer, at least one computing means adapted for calculating an arrangement of femtosecond light pulses for the photolithographic mask from measured error data, and at least one laser system adapted for modifying a pattern placement on the photolithographic mask by applying the arrangement of femtosecond light pulses on the photolithographic mask.
  • FIG. 1 schematically represents a flow chart of a fabrication process of a set of photolithographic masks according to the prior art
  • FIG. 2 schematically shows a flow chart of a use case of a set of photolithographic masks at a wafer processing site according to the prior art
  • FIG. 4 schematically shows a block diagram of an apparatus used for correcting overlay errors in the substrate of a photolithographic mask
  • FIG. 5 schematically represents a flow chart of a fabrication process of a set of photolithographic masks according to an embodiment of the inventive method
  • FIG. 6 schematically shows a flow chart of a use case of a set of photolithographic masks at a wafer processing site according to an embodiment of the inventive method
  • FIG. 7 schematically represents a displacement vector map measured at the scribe lines of dies.
  • FIG. 8 schematically illustrates a displacement vector map measured at nodes of a regular grid, i.e. at the scribe lines of dies and in-die.
  • integrated circuit is used for all devices fabricated on semiconducting wafers as for example memory or logic components, MEMS (micro-electromechanical systems) including sensors, detectors and displays and PICs (photonic integrated circuits) including lasers and photodiodes.
  • MEMS micro-electromechanical systems
  • PIC photonic integrated circuits
  • the inventive method corrects errors detected on a wafer by applying femtosecond light pulses of a laser system to a photolithographic mask with which a wafer was illuminated.
  • FIG. 1 outlines the fabrication process 100 for a mask set according to the prior art.
  • the process begins at 105 with the writing of the pattern 115 of the first mask 110 .
  • the excessive parts of the absorber layer are removed from the mask substrate, for example by etching.
  • the photolithographic mask is measured in order to determine the positioning errors of the mask pattern.
  • decision block 125 it is decided whether the positioning errors fulfil a predetermined specification. If this is correct, the pellicle is mounted on the mask in step 135 . It is then decided at decision block 145 whether the mask is an overlay critical mask. If mask m is not an overlay critical mask, it is decided at decision block 150 whether mask m is the last mask to be processed.
  • the pattern placement errors are corrected by using a so called RegC (Registration Correction) process, which is described in detail in U.S. Provisional Patent Applications 61/351,056 and 61/363,352. If the positioning errors can not be reduced by a RegC process so that mask m meets the specification, the pattern is written on a new mask and the process proceeds to step 120 where the mask is measured.
  • RegC Registration Correction
  • the process of FIG. 1 is repeated until all M masks of the mask set are fabricated (decision blocks 150 and 175 ).
  • the complete mask set is then supplied to the wafer processing site at block 180 .
  • FIG. 2 briefly illustrates some steps of a mask illumination process for the fabrication of ICs at a wafer processing site.
  • the process 200 begins at 205 with the illumination of a wafer (step 215 ) with the first mask (step 210 ) of the mask set.
  • the photoresist on the wafer is developed and the wafer is processed, for example by performing of an etching process.
  • a second (generally m th ) layer of photoresist is arranged on the wafer (not illustrated in FIG. 2 ).
  • the wafer is illuminated with the second, or generally with the (m+1) th mask.
  • the overlay errors between the first and the second masks, or in general between the m th and the (m+1) th masks on the wafer are measured at step 230 . If it is decided at decision block 240 that the overlay error is below the predetermined overlay budget, it is at decision block 265 checked whether the IC to be fabricated will operate according to its specification. If this is correct, the process proceeds via decision block 255 and step 235 to block 220 where the wafer is illuminated with the next (third or (m+2) th ) mask. When it is determined at decision block 255 that m reaches M which is the number of masks in the respective mask set, the process ends at block 270 .
  • step 290 a new mask m+1 is then written at the mask processing site. The process ends at block 270 . Then the new mask m+1 is supplied from the mask fabrication site to the wafer processing site, the process begins again at block 205 of FIG. 2 .
  • FIG. 3 shows a functional sketch of a registration metrology tool 300 with which pattern placement and overlay errors can be measured.
  • a photolithographic mask 310 is supported by the high precision stage 320 .
  • the stage 320 is actively controlled in all six degrees of freedom and is the only moving part in the metrology system.
  • an excimer laser 330 is used emitting light in the DUV (deep ultraviolet) wavelength range, at approximately 193 nm. This means that the inspection and the illumination of the photolithographic mask 310 occur at the same wavelength, as most masks are presently illuminated with a 193 nm light source.
  • the registration and/or overlay metrology system 300 takes the effect of material properties properly into account.
  • the imaging objective 340 has a numerical aperture (NA) of 0.6, but can be extended to a higher NA in order to gain even more resolving power.
  • NA numerical aperture
  • the short wavelength of the laser system 330 significantly improves the resolution, and at the same time permits a moderate NA, which is beneficial for the CD (critical dimension) metrology and enables a pellicle compatible free working distance of about 7.5 mm.
  • the imaging objective 340 is firmly fixed to the optical tower and is unmovable. Focusing of the laser beam onto the photolithographic mask 310 is done by a stage movement in z direction.
  • a CCD (charge-coupled device) camera 350 is used as a detector device which measures the light reflected from the photolithographic mask 310 .
  • the CCD camera 350 sends its signal to the signal processing unit 355 which calculates an image of the signal detected by the CCD camera 350 .
  • a computer system 360 can display the image calculated by the signal processing unit 355 and may store the measured data. Further, the computer system 360 may contain algorithms, realized in hardware, software or both, which allow to extract control signals from the experimental data. The control signals may control the writing of an arrangement of pixels in the substrate of the photolithographic mask 310 by a second laser system in order to correct the pattern placement errors of photolithographic mask 310 (cf. FIG. 4 below). Further, the computer system 360 may control the laser source 330 and/or the high-precision stage 320 and/or the objective 340 and/or the CCD camera 350 and/or the AF system 370 .
  • the surface of the photolithographic mask 310 may be slightly tilted, and in addition the bending of the mask 310 under its own weight leads to a variation of the best focal position. Therefore, the registration metrology tool 300 has an autofocus (AF) system 370 based on a tilted grating (not shown in FIG. 3 ) which supports the measurement process.
  • the tilted mirrors 390 and the partially transmitting mirrors 395 direct the laser beam into the imaging objective 340 .
  • the registration metrology tool 300 comprises an auxiliary optical system 380 for a coarse alignment of the pattern placement elements on the photolithographic mask 310 .
  • FIG. 4 depicts a schematic block diagram of an apparatus 400 which can be used to correct errors on wafers by modifying the substrate of a photolithographic mask. Further, the apparatus 400 is also able to correct errors of templates used in the nanoimprint lithography.
  • the apparatus 400 comprises a chuck 420 which may be movable in three dimensions.
  • the photolithographic mask 410 or a template for the nanoimprint technique may be fixed to the chuck 420 by using various techniques such as, for example, clamping.
  • the apparatus 400 includes a pulse laser source 430 which produces a beam or a light beam 435 of pulses or light pulses.
  • the laser source 430 generates light pulses of variable duration.
  • Table 1 represents an overview of laser beam parameters of a frequency-doubled Nd-YAG laser system which can be used in an embodiment of the inventive method.
  • the light pulses may be generated by a Ti:Sapphire laser operating at a wavelength of 800 nm.
  • the correction of pattern placement errors is not limited to these laser types, principally all laser types may be used having a photon energy which is smaller than the band gap to the substrate of the photolithographic mask 410 and which are able to generate pulses with durations in the femtosecond range.
  • the steering mirror 490 directs the pulsed laser beam 435 into the focusing objective 440 .
  • the objective 440 focuses the pulsed laser beam 435 onto the photolithographic mask 410 .
  • the NA (numerical aperture) of the applied objectives depends on the predetermined spot size of the focal point and the position of the focal point within the photolithographic mask 410 or of the template. As indicated in table 1, the NA of the objective 440 may be up to 0.9 which results in a focal point spot diameter of essentially 1 ⁇ m and a maximum intensity of essentially 10 20 W/cm 2 .
  • the apparatus 400 also includes a controller 480 and a computer system 460 which manage the translations of the two-axis positioning stage of the sample holder 420 in the plane perpendicular to the laser beam (x and y directions).
  • the controller 480 and the computer system 460 also control the translation of the objective 440 perpendicular to the plane of the chuck 420 (z direction) via the one-axis positioning stage 450 to which the objective 440 is fixed.
  • the chuck 420 may be equipped with a three-axis positioning system in order to move the photolithographic mask 410 to the target location and the objective 440 may be fixed, or the chuck 420 may be fixed and the objective 440 may be moveable in three dimensions.
  • manual positioning stages can also be used for the movement of the mask 410 to the target location of the pulsed laser beam 435 in x, y and z directions and/or the objective 440 may have manual positioning stages for a movement in three dimensions.
  • the computer system 460 may be a microprocessor, a general purpose processor, a special purpose processor, a CPU (central processing unit), a GPU (graphic processing unit), or the like. It may be arranged in the controller 480 , or may be a separate unit such as a PC (personal computer), a workstation, a mainframe, etc.
  • the computer 460 may further comprise I/O (input/output) units like a keyboard, a touchpad, a mouse, a video/graphic display, a printer, etc.
  • the computer system 460 may also comprise a volatile and/or a non-volatile memory.
  • the computer system 460 may be realized in hardware, software, firmware, or any combination thereof.
  • the computer 460 may control the laser source 430 (not indicated in FIG. 4 ).
  • the computer systems 360 of FIGS. 3 and 460 of FIG. 4 may be connected to exchange data.
  • the computer systems 360 and 460 may be combined in a single computer system.
  • the apparatus 400 may also provide a viewing system including a CCD (charge-coupled device) camera 465 which receives light from an illumination source arranged in the chuck 420 via the dichroic mirror 445 .
  • the viewing system facilitates navigation of the photolithographic mask 410 to the target position. Further, the viewing system may also be used to observe the formation of a modified area on the substrate material of the mask 410 by the pulsed laser beam 435 of the light source 430 .
  • CCD charge-coupled device
  • FIG. 5 schematically depicts an example of a fabrication process 500 of photolithographic masks of a mask set according to the inventive method.
  • the process 500 begins at 505 with the first mask in the mask set (step 510 ).
  • a pattern of absorbing elements is written on an absorbing layer on the substrate of a photolithographic mask with a pattern generator.
  • the absorbing pattern elements are formed from the absorbing material (box 515 ).
  • a material often used for the absorbing layer on photolithographic masks is chromium. Tungsten can be used as another absorber material on the surface of mask substrates.
  • the usage of the inventive method is not restricted to these materials; rather any absorber material can be used.
  • the positions of the generated absorbing pattern elements are measured with the registration metrology system of FIG. 3 in order to determine whether the pattern writing process was successful, i.e. the pattern elements have their predetermined size and form and are at the desired positions (box 520 ). If the determined positioning errors exceed a predetermined level (decision box 525 ), the positions of the pattern elements are modified by writing of an arrangement of pixels into the substrate of the photolithographic mask using the laser source 430 of the apparatus 400 of FIG. 4 (box 530 of FIG. 5 ). The arrangement of pixels locally changes the density of the mask substrate and thus shifts the pattern elements on the mask surface to the predetermined positions. Then, it is measured whether the repair of the mask was successful (box 520 ).
  • the pellicle is mounted on the surface of the photolithographic mask carrying the absorbing pattern elements in order to protect them from being damaged (box 535 ).
  • the next mask is processed (boxes 545 , 520 ).
  • the fabricated mask set is ready for the delivery to the wafer processing site (box 550 ).
  • an embodiment of the mask fabrication process according to the inventive method largely avoids rewriting of photolithographic masks. If the positioning errors of a mask exceed the tolerable level, the respective mask is corrected by using the RegC process.
  • the mask fabrication process of FIG. 5 abandons the measurement of positioning errors for overlay-critical photolithographic masks after mounting of the pellicle. This is the decisive distinction between the mask fabrication processes according to FIGS. 1 and 5 .
  • FIG. 6 schematically illustrates a use case of a set of photolithographic masks at the wafer processing site according to an embodiment of the inventive method.
  • the process begins (box 605 ) by illuminating of a wafer with a first mask (box 610 ) using the projection device of the photolithographic illumination system (box 615 ).
  • the first mask may be the first mask of a mask set, or in the general case, it may be any mask, but the last one of the mask set.
  • the photoresist is developed, and the wafer is processed to generate a first layer, or generally an m th layer, respectively, of an integrated circuit. Then a new photoresist layer is dispensed on the wafer (not shown in FIG. 6 ).
  • a second photolithographic mask is aligned with respect to alignment marks on the wafer. Then the second mask, or generally the (m+1) th mask, is illuminated similar to the first mask in order to transfer the structure elements for the second layer, or general (m+1) th , layer of the integrated circuit from the photolithographic mask to the wafer. The photoresist is then developed.
  • the photolithographic masks have overlay targets which are used to determine the overlay of the second mask with respect to the first photolithographic mask.
  • the standard overlay targets are BiB (box-in-box) targets, which allow the detection of shifts or of displacements of the second mask relative to the first mask. Since the BiB targets have a rather coarse structure, they are now more and more replaced by AIM (advanced imaging metrology) and micro AIM overlay targets.
  • FIG. 7 schematically presents a displacement vector map or a displacement vector field measured at overlay targets arranged in scribe lines of integrated circuits.
  • the arrow tips of the individual vectors of the displacement vector field indicate the directions of the displacement of the respective positions of the second mask with respect to the first photolithographic mask.
  • the lengths of the vectors denote the magnitude of the shift of the respective positions of the second mask relative to the first mask. It can be seen from FIG. 7 that the restriction of the placement of the overlay targets to the scribe lines results in an irregular distribution of the overlay measuring points across the wafer.
  • FIG. 8 schematically shows a grid of regular nodes of overlay measuring points where the nodes are arranged in the scribe lines as well as on the die area of the integrated circuit itself.
  • This dense grid of overlay measuring points allows the determination of the overlay error with a high spatial resolution.
  • a dense grid of overlay measuring points is a prerequisite for a beneficial application of the inventive method.
  • the overlay error of the second ((m+1) th ) mask relative to the first (m th ) mask can be measured by using the overlay metrology system 300 of FIG. 3 in order to determine a displacement vector field similar to FIG. 8 (box 630 ).
  • the first and the second masks can be used for the fabrication of the desired integrated circuit. If the wafer has not been illuminated with the last mask (box 655 ), the next mask is fetched (box 635 ) and the wafer is prepared for illumination with the next mask (box 620 ). If the wafer has been illuminated with the last mask (box 635 ), the process 600 ends (box 670 ).
  • the projection device is readjusted in order to reduce the overlay error (box 645 ).
  • a new layer of photoresist material is dispensed on the wafer (not shown in FIG. 6 ).
  • the overlay measurement is repeated (box 660 ).
  • the measured overlay error fulfils the requirement with respect to the predetermined overlay error (box 675 ) and the fabricated integrated circuit works properly (box 685 )
  • the first and the second masks are ready for being used for the production of the respective integrated circuit. If the last mask has been processed (box 695 ), the process 600 ends (box 670 ).
  • the overlay errors are analyzed based on measured displacement vectors as indicated in FIG. 8 in order to determine an arrangement of pixels for the second photolithographic mask (box 680 ).
  • the writing of the arrangement of pixels with the laser source 435 of the apparatus 400 of FIG. 4 in the substrate of the second photolithographic mask shifts the pattern elements on the surface of the second photolithographic (box 690 ).
  • the writing of pixels can be limited to the active area of the photolithographic mask.
  • the correction of pattern placement errors in the active area of the photolithographic masks is very effective, since the correcting pixels can be placed close to the error positions.
  • the flexibility of the error correction process is enhanced. If the writing of the pixels can be limited to the non-active area, the introduction of new errors in the active area of the substrate of the photolithographic mask by the pixel writing process can be avoided. Since the distance between the pattern placement error and the correcting pixels may be large, the effectiveness of the correction process may be lower. This may partly be compensated as the writing of pixels does not have to consider a variation of the optical transmission.
  • the wafer After correcting the second photolithographic mask, the wafer is prepared for a second illumination with the corrected second mask as described above. At the second illumination of the corrected second mask, its overlay error with respect to the first photolithographic mask is significantly reduced, so that the mask combination fulfils the predetermined overlay error.
  • the use case of a set of photolithographic masks according to FIG. 6 eliminates the necessity to send defective photolithographic masks from the wafer processing site to the mask fabrication site. Moreover, since the same metrology tool is used for the measurement of the overlay error and the corrected photolithographic mask, tool related impacts on the measured data are avoided.

Abstract

A method for correcting errors on a wafer processed by a photolithographic mask at a wafer processing site is provided. The method comprises measuring errors on the wafer, and modifying a pattern placement on the photolithographic mask by locally applying femtosecond light pulses of a laser system to the photolithographic mask at the wafer processing site.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • Pursuant to 35 U.S.C. §119, this application claims the benefit of U.S. provisional application 61/424,422, filed on Dec. 17, 2010, which is herein incorporated by reference.
  • TECHNICAL FIELD
  • The present invention relates to the field of correcting errors on a wafer processed by a photolithographic mask.
  • BACKGROUND
  • As a result of the shrinking sizes of integrated circuits, photolithographic masks or templates of the nanoimprint lithography have to project smaller and smaller structures onto a photosensitive layer, i.e. a photoresist dispensed on a wafer. In order to fulfil this demand, the exposure wavelength of photolithographic masks has been shifted from the near ultraviolet across the mean ultraviolet into the far ultraviolet region of the electromagnetic spectrum. Presently, a wavelength of 193 nm is typically used for the exposure of the photoresist on wafers. As a consequence, the manufacturing of photolithographic masks with increasing resolution is becoming more and more complex, and thus more and more expensive as well. In the future, photolithographic masks will use significantly smaller wavelengths in the extreme ultraviolet (EUV) wavelength range of the electromagnetic spectrum (approximately at 13.5 nm).
  • Photolithographic masks have to fulfil highest demands with respect to transmission homogeneity, planarity, pureness and temperature stability. In order to fabricate photolithographic masks with a reasonable yield, defects or errors of masks have to be corrected at the end of the manufacturing process. Various types of errors of photolithographic masks and methods for their corrections are described in U.S. Provisional Patent Application 61/351,056, filed on Jun. 3, 2010, and U.S. Provisional Patent Application 61/363,352, filed on Jul. 12, 2010, which are incorporated herein by reference in their entirety.
  • Typically, the basis of photolithographic masks is an ultra-pure substrate of fused quartz or other low thermal expansion material which has on one surface a thin chromium layer or a layer of another non-light transparent material. The pattern elements of photolithographic masks are generated by a so-called pattern generator based on particle beams, predominantly electrons or a respective laser beam, which write the pattern elements in the absorbing material. In a subsequent etching process, the pattern elements are formed on the substrate of the photolithographic mask. FIG. 1 schematically illustrates a mask fabrication process.
  • The precise position of the pattern elements on the generated mask is measured using a registration metrology tool. When the photolithographic mask exceeds the maximum tolerable positioning error of the pattern elements, the mask has to be rewritten. During the rewriting process, it is at first tried to correct the positioning errors of the first writing process. However, this works only if the positioning errors are systematic. The writing time of a critical photolithographic mask may be very long and may reach a period of up to 20 hours. Thus, the repeated writing of photolithographic masks is an extremely time-consuming and expensive process.
  • In an alternative process, positioning errors of photolithographic masks can be minimized by the application of a so-called registration correction (RegC) process. As described in U.S. Provisional Patent Application 61/361,056, this process uses femtosecond light pulses of a laser system to locally change the density of the substrate of a photolithographic mask which results in a shift of the pattern placement on the substrate surface of the photolithographic mask.
  • In order to protect the structured absorbing layer, a pellicle is mounted on the surface of the photolithographic mask carrying the absorbing pattern elements. For critical masks, or more precisely of overlay-critical masks, the measurement of the position of the pattern elements has to be repeated in order to determine the influence of the pellicle on the positioning errors. This process is schematically represented in FIG. 1.
  • The generation of an integrated circuit on a wafer requires the successive application of several different photolithographic masks for the fabrication of the different layers or levels of the component. The plurality of photolithographic masks necessary for the generation of the integrated circuit is called a mask set. For a complex integrated circuit, the mask set may comprise 20 to 30 different photolithographic masks. At the end of the mask fabrication process, the complete mask set is transferred to the wafer processing site or to the wafer fabrication site.
  • At the wafer processing site, a projection device successively illuminates a wafer by means of the individual photolithographic masks of the mask set in order to transfer the pattern elements of the various masks to the respective photoresistive layer on the wafer. FIG. 2 schematically represents this process. By a lithographic process and a subsequent etching process the pattern elements of the photolithographic mask are copied to the wafer forming the respective layer of the integrated circuit. The overlap accuracy of the different photolithographic masks on the wafer is called overlay, and is determined by means of overlay targets also copied from the photolithographic mask to the wafer or to the photoresist on the wafer, respectively, using an overlay metrology system.
  • If the overlay error of successive masks exceeds a predetermined threshold, the projection device is readjusted, the illumination of the latest mask is repeated and the overlay error is again measured. When the overlay error still surmounts the overlay budget, the root cause of the error has to be analyzed and the overlay specification is tightened. The respective mask is sent back to the mask fabrication site for rewriting of its pattern elements. As already briefly mentioned, this repair or rewriting process is extremely time-consuming and significantly hampers the wafer processing at the wafer processing site.
  • At a wafer processing site, the overlay is presently determined at special AIM (advanced imaging metrology) overlay targets which are arranged at the four corners of the scribe line of the integrated circuit. The article “Meeting overlay requirements for future technology nodes with in-die overlay metrology”, by B. Schulz et al., Proc. SPIE Vol. 6518, 2007 describes that judging the quality of a photolithographic mask by the standard registration measurement in the scribe line is not at all representative of the placement of the structures in the die. This situation can only be improved when the specification of pattern placement errors of photolithographic masks is based on a higher sampling plan including representative structures and especially locations within the die. The authors of this article report also of measurements on the influence of the pellicle to the overlay error. They conclude that this contribution is in the range of 1 nm (3σ value), however, it was too small to be determined with the available methodology and the precision levels of the overlay metrology system.
  • With the extension of the 193 nm ArF (argon fluorine) lithography to the 32 nm technology node highest demands are made to the positioning errors of the photolithographic masks and the overlay accuracy on the wafer. For the 32 nm node, the overlay budget reduces to about 6 nm (3σ value) depending on the device or integrated circuit to be produced. Below the 32 nm node, so called double patterning technologies are applied which require overlay accuracies of below 2.5 nm for some schemes. Moreover, the applicant detected that the contribution of the mounting of the pellicle to the positioning error may be significantly larger than estimated in the above mentioned article. This error may reach a dimension of some nanometers, which may take up more than 50% of the overall overlay budget. This error significantly reduces the yield of the overall wafer fabrication process and can therefore not be tolerated. Furthermore, the situation is complicated as the influence of the pellicle mounting process can only be poorly corrected in advance due to its insufficient systematics.
  • It is therefore one object of the present invention to provide a method and an apparatus for correcting errors on a wafer illuminated by a photolithographic mask which at least partly avoid the problems discussed above.
  • SUMMARY
  • According to a first aspect of the invention, a method for correcting errors on a wafer processed by a photolithographic mask at a wafer processing site comprises measuring of errors on the wafer, and modifying a pattern placement on the photolithographic mask by locally applying femtosecond light pulses of a laser system to the photolithographic mask at the wafer processing site.
  • The defined method measures errors on a wafer generated at the illumination of the wafer with a photolithographic mask at the final wafer overlay at the wafer processing site or at the wafer fabrication site. Therefore, the inventive method takes all problems into account which have influenced the measured overlay errors on the wafer. Since the overlay budget will further shrink with future technology nodes, it will be mandatory to determine the overall error for the mask overlay. It will be more and more difficult to separate the various contributions of the overall overlay error and to separately correct them. For example, the inventive principle a priori considers the impact of the pellicle mounting process to the measured data. Furthermore, the problems of the projection device of the photolithographic illumination system are also automatically taken into account.
  • The inventive principle detects errors on the wafer generated by the illumination process of different photolithographic masks. A method known as registration correction (RegC) and described in U.S. Provisional Patent Application 61/351,056 allows the calculation of shifts or displacements of pattern elements, so that the errors detected on the wafer can be corrected by modifying the pattern placement on the respective photolithographic mask(s). For this purpose, at the wafer processing site, femtosecond light pulses of a laser system are applied in order to modify the density of the mask substrate which induces the required pattern placement shifts. By this process, the individual masks representing different layers of the integrated circuit can be directly aligned to each other. Hence, the defined method reduces the alignment errors of different masks resulting in the minimization of the overlay error. Thus, the inventive method avoids to a large extent the involved rewriting of already existing photolithographic masks.
  • In a further aspect, the errors on the wafer comprise pattern placement errors and/or critical dimension errors and/or overlay errors.
  • The inventive method is not restricted to the correction of overlay errors originating from pattern placement errors on the photolithographic mask and/or from alignment problems of the projection device used in the photolithographic illumination system. It can also be used to correct a variation of the optical transmission resulting in CD (critical dimension) errors across the wafer. Furthermore, the inventive method allows simultaneously correcting both types of errors.
  • According to another aspect, measuring of errors comprises measuring of errors in the active area of a chip (in-die).
  • As already mentioned above, the 32 nm technology node and future technology nodes will require the detection of the pattern placement not just in the scribe line at the four corners of the die, but at a regular grid on the die itself (in-die). The presented method supports the measurement of the positioning errors of the pattern elements in-die. In particular, an overlay metrology system is now available which enables the measurement of positioning errors in the sub-nanometer range, so that contributions to the overlay error can now be detected which have been out of reach up to now.
  • In a further aspect, measuring of errors comprises measuring at a developed photoresist layer on the wafer and/or on the wafer.
  • The measurement of overlay errors on a wafer can be performed at the developed photoresist on the wafer. Thus, when the detected errors are below the predetermined threshold, the processing of the wafer can be continued. If the detected errors exceed the tolerable level, the last illumination step can be repeated by removing of the photoresist from the wafer and dispensing a new layer of photoresist. Prior to the second illumination, the photolithographic mask is also corrected.
  • In another aspect, the photolithographic mask comprises a pellicle.
  • According to a further aspect, modifying a pattern placement on the photolithographic mask comprises modifying a pattern placement on and/or an optical transmission of the photolithographic mask by locally applying femtosecond light pulses of a laser system. In a further aspect, locally applying of femtosecond light pulses does not introduce a variation of the optical transmission across the photolithographic mask. According to still another aspect, locally applying of femtosecond light pulses corrects pattern placement errors and/or optical transmission errors of the photolithographic mask.
  • As already briefly mentioned above, the femtosecond light pulses of a laser system can write an arrangement of local density variations, called pixels, in a substrate of a photolithographic mask which shift pattern elements on the surface of the photolithographic mask to a predetermined position. The induced density variation of the substrate corrects pattern placement errors on the surface of the photolithographic mask, and thus minimizing the overlay error of the mask. On the other hand, an arrangement of pixels can be written in the mask substrate which corrects a variation of the optical transmission across the photolithographic mask, so that CD errors can be corrected without inducing a shift of the pattern elements on the surface of the substrate of the photolithographic mask. Moreover, an arrangement of pixels can be defined and written which corrects both, pattern placement errors and optical transmission errors.
  • In another aspect, locally applying femtosecond light pulses locally changes a density of a substrate of the photolithographic mask. According to a further aspect, the density of the substrate of the photolithographic mask is locally changed in a centre of the height of the substrate.
  • The writing of pixels in the centre of the mask substrate avoids a bending of the substrate which might introduce image defects resulting in further errors on the wafer illuminated with the respective photolithographic mask.
  • In still a further aspect, the photolithographic mask comprises a transmissive photolithographic mask and/or a reflective photolithographic mask and/or a template for the nanoimprint lithography.
  • The generation of integrated circuits on wafers, which rely on a plurality of any kind of masks, has the problem to align pattern elements of different masks. Thus, the inventive method can be used to solve or at least significantly reduce overlay errors occurring in these wafer fabrication processes.
  • According to a further aspect, an apparatus for correcting errors on a wafer processed by a photolithographic mask at a wafer processing site comprises at least one overlay metrology system adapted for measuring of errors on the wafer, at least one computing means adapted for calculating an arrangement of femtosecond light pulses for the photolithographic mask from measured error data, and at least one laser system adapted for modifying a pattern placement on the photolithographic mask by applying the arrangement of femtosecond light pulses on the photolithographic mask.
  • Further aspects of the invention are described in further dependent claims.
  • The details of one or more embodiments of the invention are set forth in the accompanying drawings and the description below. Other features, objects, and advantages of the invention will be apparent from the description and drawings, and from the claims.
  • DESCRIPTION OF DRAWINGS
  • In order to better understand the present invention and to appreciate its practical applications, the following Figures are provided and referenced hereafter. It should be noted that the Figures are given as examples only and in no way limit the scope of the invention.
  • FIG. 1 schematically represents a flow chart of a fabrication process of a set of photolithographic masks according to the prior art;
  • FIG. 2 schematically shows a flow chart of a use case of a set of photolithographic masks at a wafer processing site according to the prior art;
  • FIG. 3 schematically represents a block diagram of some of the major components of an apparatus used for measuring overlay errors on a wafer;
  • FIG. 4 schematically shows a block diagram of an apparatus used for correcting overlay errors in the substrate of a photolithographic mask;
  • FIG. 5 schematically represents a flow chart of a fabrication process of a set of photolithographic masks according to an embodiment of the inventive method;
  • FIG. 6 schematically shows a flow chart of a use case of a set of photolithographic masks at a wafer processing site according to an embodiment of the inventive method;
  • FIG. 7 schematically represents a displacement vector map measured at the scribe lines of dies; and
  • FIG. 8 schematically illustrates a displacement vector map measured at nodes of a regular grid, i.e. at the scribe lines of dies and in-die.
  • DETAILED DESCRIPTION
  • In the following, the present invention will be more fully described hereinafter with reference to the accompanying Figures, in which exemplary embodiments of the invention are illustrated. However, the present invention may be embodied in different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and will convey the scope of the invention to persons skilled in the art.
  • This section describes an embodiment of the inventive method. To illustrate the inventive principle, differences are highlighted in the fabrication of a set of photolithographic masks with respect to the fabrication according the prior art. Further, these differences are also exemplified for a use case of a mask set. The inventive method is explained for the utilization of transmissive photolithographic masks. However, the person skilled in the art will appreciate that this is just an example and that the above defined method can also be applied to reflective photolithographic masks. Moreover, the inventive method is also well suited to correct overlay errors of templates for the nanoimprint lithography at a wafer processing site or at a wafer fabrication site.
  • In the following, the term integrated circuit (IC) is used for all devices fabricated on semiconducting wafers as for example memory or logic components, MEMS (micro-electromechanical systems) including sensors, detectors and displays and PICs (photonic integrated circuits) including lasers and photodiodes.
  • The inventive method corrects errors detected on a wafer by applying femtosecond light pulses of a laser system to a photolithographic mask with which a wafer was illuminated.
  • For the correction feature of the present invention, the specification refers to U.S. Provisional Patent Application 61/363,352. This document describes in detail how pattern placement errors can be corrected by the writing of a respective arrangement of pixels in the substrate of photolithographic masks. Some of the problems of photolithographic masks and of templates for the nanoimprint lithography are also briefly discussed in the above mentioned document.
  • FIG. 1 outlines the fabrication process 100 for a mask set according to the prior art. The process begins at 105 with the writing of the pattern 115 of the first mask 110. Then, also at step 115, the excessive parts of the absorber layer are removed from the mask substrate, for example by etching. In the next step 120, the photolithographic mask is measured in order to determine the positioning errors of the mask pattern. At decision block 125, it is decided whether the positioning errors fulfil a predetermined specification. If this is correct, the pellicle is mounted on the mask in step 135. It is then decided at decision block 145 whether the mask is an overlay critical mask. If mask m is not an overlay critical mask, it is decided at decision block 150 whether mask m is the last mask to be processed. The fabrication process is complete and ends at step 180 when m=M, indicating that the last mask has been processed. If m is not equal to M, then m is incremented by 1 in step 140, and the process proceeds to step 115, where the next mask is processed. If mask m is overlay critical (decision block 145), the mask is again measured at step 155 to check the effect of the pellicle mounting on the positioning errors. When it is determined at decision block 165 that mask m does not fulfil the specification, at step 160, the pellicle is removed and the pattern is rewritten in a new mask. If mask m fulfils the specification, it is decided at decision block 175 whether mask m is the last mask to be processed. The fabrication process is complete and ends at step 180 when m=M, indicating that the last mask has been processed. If m is not equal to M, then m is incremented by 1 in step 170, and the process proceeds to step 115, where the next mask is processed.
  • When it is determined at decision block 125 that the positioning errors of mask m do not fulfil the predetermined specification, at step 130, the pattern placement errors are corrected by using a so called RegC (Registration Correction) process, which is described in detail in U.S. Provisional Patent Applications 61/351,056 and 61/363,352. If the positioning errors can not be reduced by a RegC process so that mask m meets the specification, the pattern is written on a new mask and the process proceeds to step 120 where the mask is measured.
  • The process of FIG. 1 is repeated until all M masks of the mask set are fabricated (decision blocks 150 and 175). The complete mask set is then supplied to the wafer processing site at block 180.
  • FIG. 2 briefly illustrates some steps of a mask illumination process for the fabrication of ICs at a wafer processing site. The process 200 begins at 205 with the illumination of a wafer (step 215) with the first mask (step 210) of the mask set. The photoresist on the wafer is developed and the wafer is processed, for example by performing of an etching process. Then a second (generally mth) layer of photoresist is arranged on the wafer (not illustrated in FIG. 2). At step 220, the wafer is illuminated with the second, or generally with the (m+1)th mask. The overlay errors between the first and the second masks, or in general between the mth and the (m+1)th masks on the wafer are measured at step 230. If it is decided at decision block 240 that the overlay error is below the predetermined overlay budget, it is at decision block 265 checked whether the IC to be fabricated will operate according to its specification. If this is correct, the process proceeds via decision block 255 and step 235 to block 220 where the wafer is illuminated with the next (third or (m+2)th) mask. When it is determined at decision block 255 that m reaches M which is the number of masks in the respective mask set, the process ends at block 270.
  • If it is decided at decision block 265 that the IC will not operate according to its specification, the root cause of the problem is analyzed at block 280 and the positioning specification for mask m+1 is tightened. In step 290, a new mask m+1 is then written at the mask processing site. The process ends at block 270. Then the new mask m+1 is supplied from the mask fabrication site to the wafer processing site, the process begins again at block 205 of FIG. 2.
  • When it is decided at decision block 240 that the overlay error does not meet the specification, the projection device of the illumination system is readjusted at block 245. Then, at step 260, the overlay error measurement is repeated. If it is determined at decision block 275 that the overlay error does still not fulfil the predetermined error budget, the process proceeds to step 280 and the cause of the problem is analyzed. In case the overlay error meets the specification, it is at decision block 285 determined whether the IC to be fabricated will operate according to its specification. If this is true, the process proceeds via decision block 295 across step 225 to block 220 where the wafer is illuminated with the next (third or (m+2)th) mask of the mask set. Alternatively, when the illuminated mask is the last mask of the mask set (m=M), the process ends at block 270.
  • FIG. 3 shows a functional sketch of a registration metrology tool 300 with which pattern placement and overlay errors can be measured. A photolithographic mask 310 is supported by the high precision stage 320. The stage 320 is actively controlled in all six degrees of freedom and is the only moving part in the metrology system. As a light source an excimer laser 330 is used emitting light in the DUV (deep ultraviolet) wavelength range, at approximately 193 nm. This means that the inspection and the illumination of the photolithographic mask 310 occur at the same wavelength, as most masks are presently illuminated with a 193 nm light source. Hence, the registration and/or overlay metrology system 300 takes the effect of material properties properly into account.
  • The imaging objective 340 has a numerical aperture (NA) of 0.6, but can be extended to a higher NA in order to gain even more resolving power. The short wavelength of the laser system 330 significantly improves the resolution, and at the same time permits a moderate NA, which is beneficial for the CD (critical dimension) metrology and enables a pellicle compatible free working distance of about 7.5 mm. The imaging objective 340 is firmly fixed to the optical tower and is unmovable. Focusing of the laser beam onto the photolithographic mask 310 is done by a stage movement in z direction.
  • A CCD (charge-coupled device) camera 350 is used as a detector device which measures the light reflected from the photolithographic mask 310. The CCD camera 350 sends its signal to the signal processing unit 355 which calculates an image of the signal detected by the CCD camera 350.
  • A computer system 360 can display the image calculated by the signal processing unit 355 and may store the measured data. Further, the computer system 360 may contain algorithms, realized in hardware, software or both, which allow to extract control signals from the experimental data. The control signals may control the writing of an arrangement of pixels in the substrate of the photolithographic mask 310 by a second laser system in order to correct the pattern placement errors of photolithographic mask 310 (cf. FIG. 4 below). Further, the computer system 360 may control the laser source 330 and/or the high-precision stage 320 and/or the objective 340 and/or the CCD camera 350 and/or the AF system 370.
  • The surface of the photolithographic mask 310 may be slightly tilted, and in addition the bending of the mask 310 under its own weight leads to a variation of the best focal position. Therefore, the registration metrology tool 300 has an autofocus (AF) system 370 based on a tilted grating (not shown in FIG. 3) which supports the measurement process. The tilted mirrors 390 and the partially transmitting mirrors 395 direct the laser beam into the imaging objective 340.
  • Furthermore, the registration metrology tool 300 comprises an auxiliary optical system 380 for a coarse alignment of the pattern placement elements on the photolithographic mask 310.
  • FIG. 4 depicts a schematic block diagram of an apparatus 400 which can be used to correct errors on wafers by modifying the substrate of a photolithographic mask. Further, the apparatus 400 is also able to correct errors of templates used in the nanoimprint lithography. The apparatus 400 comprises a chuck 420 which may be movable in three dimensions. The photolithographic mask 410 or a template for the nanoimprint technique may be fixed to the chuck 420 by using various techniques such as, for example, clamping.
  • The apparatus 400 includes a pulse laser source 430 which produces a beam or a light beam 435 of pulses or light pulses. The laser source 430 generates light pulses of variable duration. The adjustable range of several import parameters of the laser source 430 is summarized in the following table. Table 1 represents an overview of laser beam parameters of a frequency-doubled Nd-YAG laser system which can be used in an embodiment of the inventive method.
  • TABLE 1
    Numerical values of selected laser beam parameters for a
    Nd-YAG laser system
    Overview
    Parameter Numerical value Unit
    Pulse energy 0.05-5   μJ
    Pulse length 0.05-100  ps
    Repetition rate    1-10 000 kHz
    Pulse density
       1 000-10 000 000 mm−2
    NA (numerical aperture) 0.1-0.9
    Wavelength 532 nm
  • In an alternative embodiment of the laser system the light pulses may be generated by a Ti:Sapphire laser operating at a wavelength of 800 nm. However, the correction of pattern placement errors is not limited to these laser types, principally all laser types may be used having a photon energy which is smaller than the band gap to the substrate of the photolithographic mask 410 and which are able to generate pulses with durations in the femtosecond range.
  • The steering mirror 490 directs the pulsed laser beam 435 into the focusing objective 440. The objective 440 focuses the pulsed laser beam 435 onto the photolithographic mask 410. The NA (numerical aperture) of the applied objectives depends on the predetermined spot size of the focal point and the position of the focal point within the photolithographic mask 410 or of the template. As indicated in table 1, the NA of the objective 440 may be up to 0.9 which results in a focal point spot diameter of essentially 1 μm and a maximum intensity of essentially 1020 W/cm2.
  • The apparatus 400 also includes a controller 480 and a computer system 460 which manage the translations of the two-axis positioning stage of the sample holder 420 in the plane perpendicular to the laser beam (x and y directions). The controller 480 and the computer system 460 also control the translation of the objective 440 perpendicular to the plane of the chuck 420 (z direction) via the one-axis positioning stage 450 to which the objective 440 is fixed. It should be noted that in other embodiments of the apparatus 400 the chuck 420 may be equipped with a three-axis positioning system in order to move the photolithographic mask 410 to the target location and the objective 440 may be fixed, or the chuck 420 may be fixed and the objective 440 may be moveable in three dimensions. Although not economical, it is also conceivable to equip both the objective 440 and the chuck 420 with three-axis positioning systems. It should be noted that manual positioning stages can also be used for the movement of the mask 410 to the target location of the pulsed laser beam 435 in x, y and z directions and/or the objective 440 may have manual positioning stages for a movement in three dimensions.
  • The computer system 460 may be a microprocessor, a general purpose processor, a special purpose processor, a CPU (central processing unit), a GPU (graphic processing unit), or the like. It may be arranged in the controller 480, or may be a separate unit such as a PC (personal computer), a workstation, a mainframe, etc. The computer 460 may further comprise I/O (input/output) units like a keyboard, a touchpad, a mouse, a video/graphic display, a printer, etc. In addition, the computer system 460 may also comprise a volatile and/or a non-volatile memory. The computer system 460 may be realized in hardware, software, firmware, or any combination thereof. Moreover, the computer 460 may control the laser source 430 (not indicated in FIG. 4). The computer systems 360 of FIGS. 3 and 460 of FIG. 4 may be connected to exchange data. Moreover, the computer systems 360 and 460 may be combined in a single computer system.
  • Further, the apparatus 400 may also provide a viewing system including a CCD (charge-coupled device) camera 465 which receives light from an illumination source arranged in the chuck 420 via the dichroic mirror 445. The viewing system facilitates navigation of the photolithographic mask 410 to the target position. Further, the viewing system may also be used to observe the formation of a modified area on the substrate material of the mask 410 by the pulsed laser beam 435 of the light source 430.
  • FIG. 5 schematically depicts an example of a fabrication process 500 of photolithographic masks of a mask set according to the inventive method. The process 500 begins at 505 with the first mask in the mask set (step 510). As already briefly explained in the second section of this specification, a pattern of absorbing elements is written on an absorbing layer on the substrate of a photolithographic mask with a pattern generator. In a subsequent etching process, the absorbing pattern elements are formed from the absorbing material (box 515). A material often used for the absorbing layer on photolithographic masks is chromium. Tungsten can be used as another absorber material on the surface of mask substrates. The usage of the inventive method is not restricted to these materials; rather any absorber material can be used.
  • The positions of the generated absorbing pattern elements are measured with the registration metrology system of FIG. 3 in order to determine whether the pattern writing process was successful, i.e. the pattern elements have their predetermined size and form and are at the desired positions (box 520). If the determined positioning errors exceed a predetermined level (decision box 525), the positions of the pattern elements are modified by writing of an arrangement of pixels into the substrate of the photolithographic mask using the laser source 430 of the apparatus 400 of FIG. 4 (box 530 of FIG. 5). The arrangement of pixels locally changes the density of the mask substrate and thus shifts the pattern elements on the mask surface to the predetermined positions. Then, it is measured whether the repair of the mask was successful (box 520). If the measured positioning error is now below the predetermined threshold (decision box 525), the pellicle is mounted on the surface of the photolithographic mask carrying the absorbing pattern elements in order to protect them from being damaged (box 535). The next mask is processed (boxes 545, 520). When all masks of the mask set are processed according to this scheme (box 540), the fabricated mask set is ready for the delivery to the wafer processing site (box 550).
  • As can be seen from FIG. 5, an embodiment of the mask fabrication process according to the inventive method largely avoids rewriting of photolithographic masks. If the positioning errors of a mask exceed the tolerable level, the respective mask is corrected by using the RegC process.
  • The mask fabrication process of FIG. 5 abandons the measurement of positioning errors for overlay-critical photolithographic masks after mounting of the pellicle. This is the decisive distinction between the mask fabrication processes according to FIGS. 1 and 5.
  • FIG. 6 schematically illustrates a use case of a set of photolithographic masks at the wafer processing site according to an embodiment of the inventive method. The process begins (box 605) by illuminating of a wafer with a first mask (box 610) using the projection device of the photolithographic illumination system (box 615). The first mask may be the first mask of a mask set, or in the general case, it may be any mask, but the last one of the mask set. The photoresist is developed, and the wafer is processed to generate a first layer, or generally an mth layer, respectively, of an integrated circuit. Then a new photoresist layer is dispensed on the wafer (not shown in FIG. 6).
  • In the next step (box 620), similar to the first photolithographic mask, a second photolithographic mask is aligned with respect to alignment marks on the wafer. Then the second mask, or generally the (m+1)th mask, is illuminated similar to the first mask in order to transfer the structure elements for the second layer, or general (m+1)th, layer of the integrated circuit from the photolithographic mask to the wafer. The photoresist is then developed.
  • The photolithographic masks have overlay targets which are used to determine the overlay of the second mask with respect to the first photolithographic mask. The standard overlay targets are BiB (box-in-box) targets, which allow the detection of shifts or of displacements of the second mask relative to the first mask. Since the BiB targets have a rather coarse structure, they are now more and more replaced by AIM (advanced imaging metrology) and micro AIM overlay targets.
  • Up to now, the overlay targets are positioned in the scribe lines of the integrated circuits. FIG. 7 schematically presents a displacement vector map or a displacement vector field measured at overlay targets arranged in scribe lines of integrated circuits. The arrow tips of the individual vectors of the displacement vector field indicate the directions of the displacement of the respective positions of the second mask with respect to the first photolithographic mask. The lengths of the vectors denote the magnitude of the shift of the respective positions of the second mask relative to the first mask. It can be seen from FIG. 7 that the restriction of the placement of the overlay targets to the scribe lines results in an irregular distribution of the overlay measuring points across the wafer.
  • With shrinking sizes of the structures of integrated circuits and, on the other hand, increasing sizes of integrated circuits, it will no longer be sufficient to determine the overlay at the scribe line, but not on the die on the integrated circuit itself (in-die measurement). FIG. 8 schematically shows a grid of regular nodes of overlay measuring points where the nodes are arranged in the scribe lines as well as on the die area of the integrated circuit itself. This dense grid of overlay measuring points allows the determination of the overlay error with a high spatial resolution. A dense grid of overlay measuring points is a prerequisite for a beneficial application of the inventive method.
  • Now back to FIG. 6, the overlay error of the second ((m+1)th) mask relative to the first (mth) mask can be measured by using the overlay metrology system 300 of FIG. 3 in order to determine a displacement vector field similar to FIG. 8 (box 630). When the measured overlay error is below a predetermined threshold (box 640) and the fabricated integrated circuit is at the end working properly (box 665), the first and the second masks can be used for the fabrication of the desired integrated circuit. If the wafer has not been illuminated with the last mask (box 655), the next mask is fetched (box 635) and the wafer is prepared for illumination with the next mask (box 620). If the wafer has been illuminated with the last mask (box 635), the process 600 ends (box 670).
  • If the measured overlay error does not fulfil the predetermined specification (box 640), the projection device is readjusted in order to reduce the overlay error (box 645). After removing the photoresist from the wafer, a new layer of photoresist material is dispensed on the wafer (not shown in FIG. 6). Then, the overlay measurement is repeated (box 660). When the measured overlay error fulfils the requirement with respect to the predetermined overlay error (box 675) and the fabricated integrated circuit works properly (box 685), the first and the second masks are ready for being used for the production of the respective integrated circuit. If the last mask has been processed (box 695), the process 600 ends (box 670).
  • In case the overlay error is still too high (box 675), the overlay errors are analyzed based on measured displacement vectors as indicated in FIG. 8 in order to determine an arrangement of pixels for the second photolithographic mask (box 680). The writing of the arrangement of pixels with the laser source 435 of the apparatus 400 of FIG. 4 in the substrate of the second photolithographic mask shifts the pattern elements on the surface of the second photolithographic (box 690).
  • The writing of pixels can be limited to the active area of the photolithographic mask. The correction of pattern placement errors in the active area of the photolithographic masks is very effective, since the correcting pixels can be placed close to the error positions. On the other hand, when the writing of pixels is not restricted to the active area, the flexibility of the error correction process is enhanced. If the writing of the pixels can be limited to the non-active area, the introduction of new errors in the active area of the substrate of the photolithographic mask by the pixel writing process can be avoided. Since the distance between the pattern placement error and the correcting pixels may be large, the effectiveness of the correction process may be lower. This may partly be compensated as the writing of pixels does not have to consider a variation of the optical transmission.
  • After correcting the second photolithographic mask, the wafer is prepared for a second illumination with the corrected second mask as described above. At the second illumination of the corrected second mask, its overlay error with respect to the first photolithographic mask is significantly reduced, so that the mask combination fulfils the predetermined overlay error.
  • In contrast to FIG. 2, the use case of a set of photolithographic masks according to FIG. 6 eliminates the necessity to send defective photolithographic masks from the wafer processing site to the mask fabrication site. Moreover, since the same metrology tool is used for the measurement of the overlay error and the corrected photolithographic mask, tool related impacts on the measured data are avoided.
  • A number of implementations have been described. Nevertheless, it will be understood that various modifications may be made. For example, elements of one or more implementations may be combined, deleted, modified, or supplemented to form further implementations. As yet another example, additional steps may be provided, or steps may be eliminated, from the described process flows, and other components may be added to, or removed from, the described systems. Accordingly, other implementations are within the scope of the following claims.

Claims (20)

1. A method for correcting errors on a wafer processed by a photolithographic mask at a wafer processing site, the method comprising:
a. measuring errors on the wafer; and
b. modifying a pattern placement on the photolithographic mask by locally applying femtosecond light pulses of a laser system to the photolithographic mask at the wafer processing site.
2. The method according to claim 1, wherein the errors on the wafer comprise at least one of pattern placement errors, critical dimension errors, or overlay errors.
3. The method according to claim 1, wherein measuring errors comprises measuring errors in the active area of a chip (in-die).
4. The method according to claim 1, wherein measuring errors comprises measuring at a developed photoresist layer on the wafer and/or on the wafer.
5. The method according to claim 1, wherein the photolithographic mask comprises a pellicle.
6. The method according to claim 1, wherein modifying a pattern placement on the photolithographic mask comprises modifying a pattern placement on and/or an optical transmission of the photolithographic mask by locally applying femtosecond light pulses of a laser system.
7. The method according to claim 1, wherein locally applying femtosecond light pulses does not introduce a variation of the optical transmission across the photolithographic mask.
8. The method according to claim 1, wherein locally applying femtosecond light pulses corrects pattern placement errors and/or optical transmission errors of the photolithographic mask.
9. The method according to claim 1, wherein locally applying femtosecond light pulses locally changes a density of a substrate of the photolithographic mask.
10. The method according to claim 9, wherein the density of the substrate of the photolithographic mask is locally changed in a centre of the height of the substrate.
11. The method according to claim 1, wherein the photolithographic mask comprises at least one of a transmissive photolithographic mask, a reflective photolithographic mask, or a template for a nanoimprint lithography.
12. An apparatus for correcting errors on a wafer processed by a photolithographic mask at a wafer processing site, comprising:
a. at least one overlay metrology system adapted for measuring errors on the wafer;
b. at least one computing means adapted for calculating an arrangement of femtosecond light pulses for the photolithographic mask from measured error data; and
c. at least one laser system adapted for modifying a pattern placement on the photolithographic mask by applying the arrangement of femtosecond light pulses on the photolithographic mask.
13. The apparatus according to claim 12, wherein the apparatus is configured to measure at least one of pattern placement errors, critical dimension errors, or overlay errors on the wafer.
14. The apparatus according to claim 12, wherein the apparatus is configured to measure errors in the active area of a chip (in-die).
15. The apparatus according to claim 12, wherein the apparatus is configured to measure errors at a developed photoresist layer on the wafer and/or on the wafer.
16. The apparatus according to claim 12, wherein applying the arrangement of femtosecond light pulses on the photolithographic mask corrects pattern placement errors and/or optical transmission errors of the photolithographic mask.
17. The apparatus according to claim 12, wherein applying the arrangement of femtosecond light pulses on the photolithographic mask locally changes a density of a substrate of the photolithographic mask.
18. The apparatus according to claim 17, wherein the density of the substrate of the photolithographic mask is locally changed in a centre of the height of the substrate.
19. The apparatus according to claim 12, wherein the photolithographic mask comprises at least one of a transmissive photolithographic mask, a reflective photolithographic mask, or a template for a nanoimprint lithography.
20. The apparatus according to claim 12, wherein the overlay metrology system comprises an ultra-precision stage, at least one laser source and at least one charge-coupled device camera operating in the ultraviolet wavelength range.
US13/310,071 2010-12-17 2011-12-02 Method and apparatus for correcting errors on a wafer processed by a photolithographic mask Abandoned US20120154773A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/310,071 US20120154773A1 (en) 2010-12-17 2011-12-02 Method and apparatus for correcting errors on a wafer processed by a photolithographic mask

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201061424422P 2010-12-17 2010-12-17
US13/310,071 US20120154773A1 (en) 2010-12-17 2011-12-02 Method and apparatus for correcting errors on a wafer processed by a photolithographic mask

Publications (1)

Publication Number Publication Date
US20120154773A1 true US20120154773A1 (en) 2012-06-21

Family

ID=45315753

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/310,071 Abandoned US20120154773A1 (en) 2010-12-17 2011-12-02 Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
US13/994,556 Active 2033-01-15 US9436080B2 (en) 2010-12-17 2011-12-02 Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
US15/229,234 Active 2032-01-14 US10061192B2 (en) 2010-12-17 2016-08-05 Method and apparatus for correcting errors on a wafer processed by a photolithographic mask

Family Applications After (2)

Application Number Title Priority Date Filing Date
US13/994,556 Active 2033-01-15 US9436080B2 (en) 2010-12-17 2011-12-02 Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
US15/229,234 Active 2032-01-14 US10061192B2 (en) 2010-12-17 2016-08-05 Method and apparatus for correcting errors on a wafer processed by a photolithographic mask

Country Status (4)

Country Link
US (3) US20120154773A1 (en)
JP (1) JP5821100B2 (en)
KR (2) KR101885394B1 (en)
WO (1) WO2012080008A2 (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110216187A1 (en) * 2008-06-24 2011-09-08 Khs Gmbh Redundant inspection
US20120227014A1 (en) * 2011-03-02 2012-09-06 Rainer Pforr Method and apparatus for minimizing overlay errors in lithography
DE102012014768A1 (en) * 2012-07-23 2014-01-23 Carl Zeiss Sms Gmbh Overview optic apparatus of microscope for investigating lithography mask used in semiconductor manufacturing, has imaging optic units into which lighting radiation is irradiated for illuminating associated object field
DE102012022603B3 (en) * 2012-11-19 2014-05-08 Acquifer Ag Apparatus and method for microscopy of a plurality of samples
WO2014143312A3 (en) * 2012-12-20 2014-12-11 Kla-Tencor Corporation Methods of measuring overlay errors in area-imaging e-beam lithography
WO2014200821A1 (en) * 2013-06-11 2014-12-18 Cymer, Llc Wafer-based light source parameter control
US9052595B2 (en) * 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US20150273767A1 (en) * 2014-04-01 2015-10-01 Stratasys, Inc. Electrophotography-based additive manufacturing with overlay control
US20160018730A1 (en) * 2014-07-18 2016-01-21 Kabushiki Kaisha Toshiba Mask processing apparatus, mask processing method and recording medium
CN105372945A (en) * 2014-08-28 2016-03-02 台湾积体电路制造股份有限公司 Lithography Process and System with Enhanced Overlay Quality
US20160339646A1 (en) * 2014-04-01 2016-11-24 Stratasys, Inc. Registration and overlay error correction of electrophotographically formed elements in an additive manufacturing system
CN106461549A (en) * 2014-03-10 2017-02-22 诺威量测设备股份有限公司 Test structures and metrology technique utilizing the test structures for measuring in patterned structures
CN107209451A (en) * 2015-02-03 2017-09-26 Asml荷兰有限公司 Mask assembly and associated method
US20170284957A1 (en) * 2014-08-29 2017-10-05 Denso Corporation Gas sensor
US9817318B2 (en) 2014-03-19 2017-11-14 Toshiba Memory Corporation Mask manufacturing equipment and mask manufacturing method
WO2018141450A1 (en) * 2017-01-31 2018-08-09 Asml Netherlands B.V. Method and system for increasing accuracy of pattern positioning
US10429747B2 (en) * 2016-11-11 2019-10-01 Applied Materials, Inc. Hybrid laser and implant treatment for overlay error correction
CN111090216A (en) * 2018-10-23 2020-05-01 卡尔蔡司Sms有限公司 Method and apparatus for determining the position of a plurality of pixels introduced into a photolithographic mask substrate
US10955753B2 (en) 2018-03-20 2021-03-23 Toshiba Memory Corporation Substrate processing control apparatus, recording medium, and method of manufacturing photomask
US11036127B2 (en) 2017-08-15 2021-06-15 AGC Inc. Reflective mask blank and reflective mask

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5943306B2 (en) * 2012-10-30 2016-07-05 大日本印刷株式会社 Method for manufacturing reflective mask and method for manufacturing mask blank
US9176396B2 (en) * 2013-02-27 2015-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay sampling methodology
US9740108B2 (en) 2013-05-27 2017-08-22 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
WO2014193854A1 (en) * 2013-05-27 2014-12-04 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
US8863043B1 (en) * 2013-05-30 2014-10-14 Kabushiki Kaisha Toshiba Inspection data generator, inspection data generating method and pattern inspecting method
US9053284B2 (en) 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
WO2015109036A1 (en) 2014-01-15 2015-07-23 Kla-Tencor Corporation Overlay measurement of pitch walk in multiply patterned targets
US9262578B2 (en) * 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US20170146465A1 (en) * 2014-06-19 2017-05-25 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
WO2015195272A1 (en) * 2014-06-20 2015-12-23 Applied Materials, Inc. Methods for reducing semiconductor substrate strain variation
EP3221897A1 (en) 2014-09-08 2017-09-27 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
US9305884B1 (en) * 2014-09-26 2016-04-05 United Microelectronics Corp. Overlay mark and method for forming the same
US9490116B2 (en) 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US9842724B2 (en) 2015-02-03 2017-12-12 Kla-Tencor Corporation Method and system for imaging of a photomask through a pellicle
WO2016207891A1 (en) 2015-06-22 2016-12-29 Nova Measuring Instruments Ltd. Method for use in process control of manufacture of patterned samples
US10062543B2 (en) * 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
CN205556762U (en) * 2016-05-05 2016-09-07 鄂尔多斯市源盛光电有限责任公司 Mask plate, mother board, mask plate manufacture equipment and display substrates coating by vaporization system
WO2018063625A1 (en) 2016-09-28 2018-04-05 Kla-Tencor Corporation Direct focusing with image binning in metrology tools
US10103166B1 (en) 2017-04-10 2018-10-16 Macronix International Co., Ltd. Semiconductor device and critical dimension defining method thereof
US11067902B2 (en) * 2017-08-07 2021-07-20 Asml Netherlands B.V. Computational metrology
TWI824334B (en) * 2018-08-17 2023-12-01 荷蘭商Asml荷蘭公司 Non-transitory computer readable medium
JP7224712B2 (en) 2018-12-03 2023-02-20 信越化学工業株式会社 A method for manufacturing a pellicle, a pellicle, a photomask with a pellicle, an exposure method, a method for manufacturing a semiconductor device, a method for manufacturing a liquid crystal display, and a method for manufacturing an organic EL display.
KR20210008678A (en) * 2019-07-15 2021-01-25 삼성전자주식회사 Method of manufacturing photomasks and method of manufacturing semiconductor devices
TW202129404A (en) 2020-01-21 2021-08-01 日商信越化學工業股份有限公司 Pellicle frame, pellicle, exposure original plate with pellicle, exposure method, and semiconductor or liquid-crystal-display manufacturing method
KR20210131798A (en) * 2020-04-24 2021-11-03 삼성전자주식회사 EUV(extreme ultraviolet) exposure apparatus, and method for correcting overlay and method for fabricating semiconductor device using the exposure apparatus
KR20220099005A (en) 2021-01-05 2022-07-12 삼성전자주식회사 Semiconductor device manufacturing method using thereof
CN114911139A (en) * 2021-02-09 2022-08-16 普思半导体股份有限公司 Method and system for overlay correction of lithography patterns and method for generating mask patterns
CN114758942B (en) * 2022-03-24 2023-05-30 中国科学院光电技术研究所 Reactive ion etching mask
CN114815496B (en) * 2022-04-08 2023-07-21 中国科学院光电技术研究所 Pixelated optical proximity effect correction method and system applied to super-resolution lithography

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040214094A1 (en) * 2002-10-07 2004-10-28 Kim Soonho Photomasks including shadowing elements therein and related methods and systems
US20050084767A1 (en) * 2002-02-20 2005-04-21 Eitan Zait Method and system for reparing defected photomasks
US20110102753A1 (en) * 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0728226A (en) 1993-04-30 1995-01-31 Internatl Business Mach Corp <Ibm> Equipment and method for measuring regional image
US6440612B1 (en) * 1999-09-01 2002-08-27 Micron Technology, Inc. Field correction of overlay error
EP2264523A3 (en) * 2000-07-16 2011-11-30 Board Of Regents, The University Of Texas System A method of forming a pattern on a substrate in imprint lithographic processes
JP4022374B2 (en) * 2001-01-26 2007-12-19 株式会社ルネサステクノロジ Semiconductor device manufacturing method and system
KR100486270B1 (en) 2002-10-07 2005-04-29 삼성전자주식회사 Method for manufacturing photo mask having capability of controlling critical dimension on wafer and photomask thereby, and exposuring method therewith
CN1726431A (en) * 2002-10-21 2006-01-25 纳米墨水公司 Nanometer-scale engineered structures, methods and apparatus for fabrication thereof, and applications to mask repair, enhancement, and fabrications
JP4302965B2 (en) * 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ Semiconductor device manufacturing method and manufacturing system thereof
CN1997878A (en) 2003-07-18 2007-07-11 Uclt有限责任公司 Method for correcting critical dimension variations in photomasks
KR101056142B1 (en) * 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 Computerized method for detecting defects in reticle design data
NL1027836C2 (en) 2004-12-21 2006-06-22 Stichting Fund Ond Material Multi-layer mirror for radiation in the soft X-ray and XUV wavelength range.
US7303842B2 (en) * 2005-04-13 2007-12-04 Kla-Tencor Technologies Corporation Systems and methods for modifying a reticle's optical properties
KR100735532B1 (en) 2006-03-21 2007-07-04 삼성전자주식회사 A photomask including expansion region in substrate and method for flating the surface of a photomask
DE102006054820B4 (en) 2006-11-21 2011-11-24 Advanced Mask Technology Center Gmbh & Co. Kg Method for correcting placement errors
KR100886219B1 (en) * 2007-06-07 2009-02-27 삼성전자주식회사 Method of forming a fine pattern employing self-aligned double patterning
US20090046281A1 (en) * 2007-08-16 2009-02-19 Joseph Straub Method and System for Automated Inspection System Characterization and Monitoring
US20090168034A1 (en) * 2007-12-28 2009-07-02 Jens Staecker Methods and Apparatus of Manufacturing a Semiconductor Device
JP5353230B2 (en) 2008-12-25 2013-11-27 大日本印刷株式会社 Photomask pattern position correction method and position-corrected photomask
DE102011078927B4 (en) * 2010-07-12 2019-01-31 Carl Zeiss Sms Ltd. Method for correcting errors of a photolithographic mask

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050084767A1 (en) * 2002-02-20 2005-04-21 Eitan Zait Method and system for reparing defected photomasks
US20040214094A1 (en) * 2002-10-07 2004-10-28 Kim Soonho Photomasks including shadowing elements therein and related methods and systems
US20110102753A1 (en) * 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110216187A1 (en) * 2008-06-24 2011-09-08 Khs Gmbh Redundant inspection
US8773528B2 (en) * 2008-06-24 2014-07-08 Khs Gmbh Redundant inspection
US20120227014A1 (en) * 2011-03-02 2012-09-06 Rainer Pforr Method and apparatus for minimizing overlay errors in lithography
US8539394B2 (en) * 2011-03-02 2013-09-17 Carl Zeiss Sms Ltd. Method and apparatus for minimizing overlay errors in lithography
DE102012014768A1 (en) * 2012-07-23 2014-01-23 Carl Zeiss Sms Gmbh Overview optic apparatus of microscope for investigating lithography mask used in semiconductor manufacturing, has imaging optic units into which lighting radiation is irradiated for illuminating associated object field
DE102012014768B4 (en) * 2012-07-23 2014-03-20 Carl Zeiss Sms Gmbh Microscope with an overview optics
DE102012022603B3 (en) * 2012-11-19 2014-05-08 Acquifer Ag Apparatus and method for microscopy of a plurality of samples
US9824259B2 (en) 2012-11-19 2017-11-21 Karlsruher Institut Fuer Technologie Device and method for microscopy on a plurality of samples
WO2014143312A3 (en) * 2012-12-20 2014-12-11 Kla-Tencor Corporation Methods of measuring overlay errors in area-imaging e-beam lithography
US9081287B2 (en) 2012-12-20 2015-07-14 Kla-Tencor Corporation Methods of measuring overlay errors in area-imaging e-beam lithography
US9869939B2 (en) 2013-03-15 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9052595B2 (en) * 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9715180B2 (en) 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control
US10036960B2 (en) 2013-06-11 2018-07-31 Cymer, Llc Wafer-based light source parameter control
WO2014200821A1 (en) * 2013-06-11 2014-12-18 Cymer, Llc Wafer-based light source parameter control
CN106461549A (en) * 2014-03-10 2017-02-22 诺威量测设备股份有限公司 Test structures and metrology technique utilizing the test structures for measuring in patterned structures
US9817318B2 (en) 2014-03-19 2017-11-14 Toshiba Memory Corporation Mask manufacturing equipment and mask manufacturing method
WO2015153030A1 (en) * 2014-04-01 2015-10-08 Stratasys, Inc. Electrophotography-based additive manufacturing with overlay control
CN106133617A (en) * 2014-04-01 2016-11-16 斯特拉塔西斯公司 There is the increasing material manufacture based on electrophotography of Overlapped control
US9688027B2 (en) * 2014-04-01 2017-06-27 Stratasys, Inc. Electrophotography-based additive manufacturing with overlay control
US9919479B2 (en) * 2014-04-01 2018-03-20 Stratasys, Inc. Registration and overlay error correction of electrophotographically formed elements in an additive manufacturing system
US20160339646A1 (en) * 2014-04-01 2016-11-24 Stratasys, Inc. Registration and overlay error correction of electrophotographically formed elements in an additive manufacturing system
US20150273767A1 (en) * 2014-04-01 2015-10-01 Stratasys, Inc. Electrophotography-based additive manufacturing with overlay control
US20160018730A1 (en) * 2014-07-18 2016-01-21 Kabushiki Kaisha Toshiba Mask processing apparatus, mask processing method and recording medium
US9632407B2 (en) * 2014-07-18 2017-04-25 Kabushiki Kaisha Yoshiba Mask processing apparatus and mask processing method
CN105372945A (en) * 2014-08-28 2016-03-02 台湾积体电路制造股份有限公司 Lithography Process and System with Enhanced Overlay Quality
US10146141B2 (en) 2014-08-28 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process and system with enhanced overlay quality
US20170284957A1 (en) * 2014-08-29 2017-10-05 Denso Corporation Gas sensor
US11029595B2 (en) 2015-02-03 2021-06-08 Asml Netherlands B.V. Mask assembly and associated methods
CN107209451A (en) * 2015-02-03 2017-09-26 Asml荷兰有限公司 Mask assembly and associated method
US11635681B2 (en) 2015-02-03 2023-04-25 Asml Netherlands B.V. Mask assembly and associated methods
US11086213B2 (en) 2015-02-03 2021-08-10 Asml Netherlands B.V. Mask assembly and associated methods
US10429747B2 (en) * 2016-11-11 2019-10-01 Applied Materials, Inc. Hybrid laser and implant treatment for overlay error correction
WO2018141450A1 (en) * 2017-01-31 2018-08-09 Asml Netherlands B.V. Method and system for increasing accuracy of pattern positioning
US10996573B2 (en) 2017-01-31 2021-05-04 Asml Netherlands B.V. Method and system for increasing accuracy of pattern positioning
US20200124989A1 (en) * 2017-01-31 2020-04-23 Asml Netherlands B.V. Method and system for increasing accuracy of pattern positioning
CN110235061A (en) * 2017-01-31 2019-09-13 Asml荷兰有限公司 For increasing the method and system of the accuracy of pattern positioning
TWI662357B (en) * 2017-01-31 2019-06-11 荷蘭商Asml荷蘭公司 Method, non-transitory computer program product and system for increasing accuracy of pattern positioning
US11036127B2 (en) 2017-08-15 2021-06-15 AGC Inc. Reflective mask blank and reflective mask
US10955753B2 (en) 2018-03-20 2021-03-23 Toshiba Memory Corporation Substrate processing control apparatus, recording medium, and method of manufacturing photomask
CN111090216A (en) * 2018-10-23 2020-05-01 卡尔蔡司Sms有限公司 Method and apparatus for determining the position of a plurality of pixels introduced into a photolithographic mask substrate

Also Published As

Publication number Publication date
KR101983525B1 (en) 2019-05-28
KR20180088527A (en) 2018-08-03
KR20130132907A (en) 2013-12-05
WO2012080008A2 (en) 2012-06-21
US9436080B2 (en) 2016-09-06
US10061192B2 (en) 2018-08-28
KR101885394B1 (en) 2018-08-03
US20140036243A1 (en) 2014-02-06
WO2012080008A3 (en) 2012-08-09
JP2014504376A (en) 2014-02-20
JP5821100B2 (en) 2015-11-24
US20160342080A1 (en) 2016-11-24

Similar Documents

Publication Publication Date Title
US20120154773A1 (en) Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
US8539394B2 (en) Method and apparatus for minimizing overlay errors in lithography
US7940374B2 (en) Parallel process focus compensation
JP5507387B2 (en) Lithographic apparatus and device manufacturing method
US20140017604A1 (en) Lithography process
JP2006157014A (en) Substrate table for reducing overlay or usage os surface flatness information of mask table
JP4551834B2 (en) Calibration method for measuring system
JP2007027718A (en) Method for calibrating or testing lithographic device or part thereof and method for manufacturing device
US9354048B2 (en) Method for measuring a lithography mask or a mask blank
US8477287B2 (en) Device manufacturing method, lithographic apparatus and a computer program
US20190294059A1 (en) Method of determining pellicle compensation corrections for a lithographic process, metrology apparatus and computer program
JP2004006892A (en) Lithography apparatus, manufacturing method of device, and device manufactured thereby
US20190384164A1 (en) Method of determining pellicle degradation compensation corrections, and associated lithographic apparatus and computer program
US8208118B2 (en) Method for determining exposure settings, lithographic exposure apparatus, computer program and data carrier
JP2006186368A (en) Exposure device, tilt equipment, method for conducting tilt convergence test, and device manufactured thereby
KR102227779B1 (en) Alignment mark recovery method and lithographic apparatus
CN108292111B (en) Method and apparatus for processing a substrate in a lithographic apparatus
US11307507B2 (en) Method to obtain a height map of a substrate having alignment marks, substrate alignment measuring apparatus and lithographic apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: CARL ZEISS SMS GMBH, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BEYER, DIRK;REEL/FRAME:027672/0894

Effective date: 20120201

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION