KR101901729B1 - 조밀 피처들의 핫스폿들의 감소 - Google Patents

조밀 피처들의 핫스폿들의 감소 Download PDF

Info

Publication number
KR101901729B1
KR101901729B1 KR1020167033522A KR20167033522A KR101901729B1 KR 101901729 B1 KR101901729 B1 KR 101901729B1 KR 1020167033522 A KR1020167033522 A KR 1020167033522A KR 20167033522 A KR20167033522 A KR 20167033522A KR 101901729 B1 KR101901729 B1 KR 101901729B1
Authority
KR
South Korea
Prior art keywords
ils
lwr
lithography
features
illumination
Prior art date
Application number
KR1020167033522A
Other languages
English (en)
Other versions
KR20170002517A (ko
Inventor
시-엔 청
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20170002517A publication Critical patent/KR20170002517A/ko
Application granted granted Critical
Publication of KR101901729B1 publication Critical patent/KR101901729B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70133Measurement of illumination distribution, in pupil plane or field plane
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Abstract

리소그래피 장치에서 라인-폭 거칠기(LWR)를 조정하는 컴퓨터-구현 방법이 제공되며, 본 방법은 리소그래피 공정에서 패터닝 디바이스를 이용하여 기판 상으로 이미징될 패턴의 복수의 상이한 피처들의 각각의 피처에 대한 LWR 및/또는 이미지 로그 슬로프(ILS)의 값을 수신하는 단계; 및 리소그래피 파라미터 및 LWR 및/또는 ILS의 값들을 포함하는 비용 함수를 평가하여, (i) 상이한 피처들의 LWR 및/또는 ILS 간의 바이어스를 감소시키는, 또는 (ⅱ) 상이한 리소그래피 장치들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시키는, 또는 (ⅲ) 상이한 패터닝 디바이스들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시키는, 또는 (iv) (i) 내지 (ⅲ)으로부터 선택된 여하한의 조합으로, 리소그래피 파라미터의 값을 결정하는 단계를 포함한다.

Description

조밀 피처들의 핫스폿들의 감소{REDUCTION OF HOTSPOTS OF DENSE FEATURES}
본 출원은 2014년 5월 2일에 출원된 미국 가출원 61/988,029의 이익을 주장하며, 이는 본 명세서에서 전문이 인용 참조된다.
본 발명은 조밀 피처들의 핫스폿들 및 라인-폭 거칠기(line-width roughness)를 감소시키는 방법들 및 장치들에 관한 것이다.
리소그래피 장치는 기판 상으로, 통상적으로는 기판의 타겟부 상으로 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 이 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별 층에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼)의 (예를 들어, 다이의 일부분, 한 개 또는 수 개의 다이들을 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 한번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는, 소위 스테퍼들, 및 방사선 빔을 통해 주어진 방향("스캐닝"- 방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향(같은 방향으로 평행한 방향) 또는 역-평행 방향(반대 방향으로 평행한 방향)으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는, 소위 스캐너들을 포함한다. 또한, 기판 상으로 패턴을 임프린트(imprint)함으로써, 패터닝 디바이스로부터 기판으로 패턴을 전사할 수도 있다.
일 실시형태에서, 리소그래피 장치에서 라인-폭 거칠기(LWR)를 조정하는 컴퓨터-구현 방법이 제공되며, 본 방법은:
리소그래피 공정에서 패터닝 디바이스를 이용하여 기판 상으로 이미징될 패턴의 복수의 상이한 피처들의 각각의 피처에 대한 LWR 및/또는 이미지 로그 슬로프(image log slope: ILS)의 값을 수신하는 단계; 및
리소그래피 파라미터 및 LWR 및/또는 ILS의 값들을 포함하는 비용 함수(cost function)를 평가하여, (i) 상이한 피처들의 LWR 및/또는 ILS 간의 바이어스(bias)를 감소시키는, 또는 (ⅱ) 상이한 리소그래피 장치들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시키는, 또는 (ⅲ) 상이한 패터닝 디바이스들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시키는, 또는 (iv) (i) 내지 (ⅲ)으로부터 선택된 여하한의 조합으로, 리소그래피 파라미터의 값을 결정하는 단계를 포함한다.
일 실시형태에서, 리소그래피 시스템에서 라인-폭 거칠기(LWR)를 조정하는 컴퓨터-구현 방법이 제공되며, 본 방법은:
조명 소스에 의해 형성되는 패턴 내의 상이한 피처들의 세트에 대한 이미지 로그 슬로프(ILS) 값을 수신하는 단계; 및
LWR을 감소시키도록 상이한 피처들의 ILS 값들 간의 바이어스를 최적화하기 위해 리소그래피 시스템 파라미터를 조절(tune)하는 단계를 포함한다.
이제, 해당 기술분야의 당업자가 실시예들을 실시할 수 있도록 예시적인 예시들로서 제공되는 도면들을 참조하여 실시예들이 더 자세히 설명될 것이다. 특히, 아래의 도면들 및 예시들은 단일 실시예의 범위를 제한하는 것을 의미하는 것이 아니며, 설명된 또는 예시된 요소들의 일부 또는 전부를 교환하는 방식으로 다른 실시예들이 가능하다. 편리하다면, 동일한 참조 범호들이 도면들 전반에 걸쳐 동일한 또는 같은 부분들을 지칭하는 데 사용될 것이다.
도 1은 리소그래피 장치의 일 실시예를 개략적으로 도시하는 도면;
도 2는 리소그래피 셀(lithographic cell) 또는 클러스터(cluster)의 일 실시예를 개략적으로 도시하는 도면;
도 3은 리소그래피 장치의 투영 시스템을 가로지르는 전자기파의 위상을 변화시키도록 구성된 위상 조정기를 개략적으로 예시하는 도면;
도 4는 위상 조정기 내에 포함된 광학 요소를 개략적으로 예시하는 도면;
도 5는 위상 조정기 내에 포함된 광학 요소의 온도 제어가능한 부분들을 개략적으로 도시한 도면;
도 6은 개별적으로 제어가능한 복수의 요소들을 포함하는 공간 방사선 변조기를 개략적으로 도시하는 도면;
도 7은 시뮬레이션 모델들의 예시적인 블록도;
도 8은 리소그래피 시뮬레이션 모델의 기능적 모듈들을 나타내는 예시적인 블록도;
도 9는 리소그래피 장치를 수반하는 제조 공정을 최적화하는 일반적인 방법의 흐름도;
도 10은 모든 설계 변수들의 최적화가 번갈아(alternately) 수행되는 제조 공정을 최적화하는 방법의 흐름도;
도 11은 조밀 피처들에 대한 포커스의 함수로서 이미지 로그-슬로프 및 CD의 그래프를 개략적으로 도시하는 도면;
도 12는 최적 포커스(dF = 0)에서 또한 디포커스(defocus)(dF = +/-30 nm)에서의 개개의 제르니케(Zernike)에 대한 수평 및 수직 조밀 피처들에 대한 시뮬레이션된 ILS 바이어스를 도시하는 도면; 및
도 13은 리소그래피 시스템에서 라인-폭 거칠기(LWR)를 조정하는 방법의 흐름도를 개략적으로 도시하는 도면이다.
실시예들을 더 상세히 설명하기 전에, 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)를 개략적으로 나타낸다. 장치는:
- 방사선 빔(B)(예를 들어, DUV 방사선 또는 EUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
패터닝 디바이스 지지 구조체는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 패터닝 디바이스 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 패터닝 디바이스 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 패터닝 디바이스 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 패턴이 위상-시프팅 피처(phase-shifting feature) 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입, 및 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향들로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 포괄하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에 도시된 바와 같이, 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 테이블(예를 들어, 2 이상의 기판 테이블, 2 이상의 패터닝 디바이스 지지 구조체, 또는 기판 테이블 및 메트롤로지 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있거나, 하나 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계들이 수행될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 담겨야 함을 의미하는 것이라기보다는, 노광 시 액체가 투영 시스템과 기판 사이에 놓이기만 하면 된다는 것을 의미한다.
도 1을 참조하면, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 소스는 리소그래피 장치의 통합부일 수 있다. 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(integrator: IN) 및 콘덴서(condenser: CO)와 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)[예를 들어, 간섭계 디바이스(interferometric device), 리니어 인코더(linear encoder), 2-D 인코더 또는 용량성 센서(capacitive sensor)]의 도움으로, 기판 테이블(WTa)은 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WTa)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)는 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(예를 들어, 마스크)(MA)에 하나 이상의 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다. 또한, 디바이스 피처들 사이에서 다이들 내에 작은 정렬 마커들이 포함될 수도 있으며, 이 경우 마커들은 인접한 피처들과 상이한 여하한의 이미징 또는 공정 조건들을 필요로 하지 않고, 가능한 한 작은 것이 바람직하다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WTa)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한 번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WTa)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WTa)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WTa)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WTa)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WTa)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
리소그래피 장치(LA)는 2 개의 테이블(WTa, WTb)(예를 들어, 2 개의 기판 테이블), 및 테이블들이 교환될 수 있는 2 개의 스테이션 - 노광 스테이션 및 측정 스테이션 - 을 갖는 소위 듀얼 스테이지 타입으로 이루어진다. 예를 들어, 하나의 테이블 상의 기판이 노광 스테이션에서 노광되고 있는 동안, 또 다른 기판이 측정 스테이션에서 다른 기판 테이블 상으로 로딩(load)되고 다양한 준비작업 단계들이 수행될 수 있다. 준비작업 단계들은 레벨 센서(LS)를 이용하여 기판의 표면 제어를 매핑(map)하는 단계, 및 정렬 센서(AS)를 이용하여 기판 상의 정렬 마커들의 위치를 측정하는 단계를 포함할 수 있고, 두 센서들은 기준 프레임(reference frame: RF)에 의해 지지된다. 위치 센서(IF)가 노광 스테이션뿐 아니라 측정 스테이션에 있는 동안 테이블의 위치를 측정할 수 없는 경우, 두 스테이션들에서 테이블의 위치들이 추적될 수 있도록 제 2 위치 센서가 제공될 수 있다. 또 다른 예시로서, 하나의 테이블 상의 기판이 노광 스테이션에서 노광되고 있는 동안, 기판이 없는 또 다른 테이블이 측정 스테이션에서 대기한다(이때, 선택적으로 측정 활동이 발생할 수 있음). 이 다른 테이블은 하나 이상의 측정 디바이스를 가지며, 선택적으로 다른 툴들(예를 들어, 세정 장치)을 가질 수 있다. 기판이 노광을 완료한 경우, 기판이 없는 테이블은 예를 들어 측정들을 수행하도록 노광 스테이션으로 이동하고, 기판을 갖는 테이블은 기판이 언로딩되고 또 다른 기판이 로딩되는 위치(예를 들어, 측정 스테이션)로 이동한다. 이 다수-테이블 구성들은 장치의 스루풋을 상당히 증가시킬 수 있다.
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 리소클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성하며, 이는 기판 상에 하나 이상의 전-(pre-) 및 후-노광(post-exposure) 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층을 증착시키는 하나 이상의 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 하나 이상의 디벨로퍼(developer: DE), 하나 이상의 칠 플레이트(chill plate: CH) 및 하나 이상의 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판을 집어올리고, 기판을 상이한 공정 디바이스들 사이에서 이동시킨 후, 리소그래피 장치의 로딩 베이(loading bay: LB)로 기판을 전달한다. 흔히 집합적으로 트랙이라고도 하는 이러한 디바이스들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다.
일 실시예에서, 도 1의 장치의 광학 구성은 퀼러 조명(Koehler illumination)을 사용할 수 있다. 도 3을 참조하면, 조명 시스템(IL) 내의 퓨필 평면(PPi)이 투영 시스템(PS)의 퓨필 평면(PPp)과 켤레(conjugate)이다. 퓨필 평면(PPp)은 패터닝 디바이스(MA)가 위치되는 대상물 평면의 푸리에 변환(Fourier transform) 평면이다. 장치의 조명 모드는 조명 시스템의 퓨필 평면(PPi)에서의 빔(B)의 방사선 세기의 분포를 포함할 수 있다. 투영 시스템(PS)의 퓨필 평면(PPp)에서의 세기 분포는 패터닝 디바이스(MA)의 패턴의 회절 효과들을 거치는 조명 시스템의 퓨필 평면(PPi)에서의 세기 분포와 실질적으로 동일할 것이다. 또한, 조명 모드는 편광, 세기 등과 같은 조명의 다른 특징들을 설명할 수 있다.
방사선 빔이 리소그래피 장치 광학 시스템을 통해 또한 기판 표면 상으로 가로지를 때, 광학 수차들이 패턴을 왜곡 및/또는 시프트(shift)할 수 있다. 수차들이 패터닝 디바이스 패턴 디자인에서 어느 정도 결정되고 사전-보상(pre-compensate)될 수 있지만, 상이한 리소그래피 장치들 등 간에 (예를 들어, 빔 경로 내의 광학 요소들의 가열로 인해) 리소그래피 장치의 작동 시 수차 차이가 존재할 수 있다. 예를 들어, 수차들은 공정 진행 동안 변동할 수 있으며, 이러한 수차들에 대한 패터닝 디바이스 패턴을 사전-보상하는 것이 가능하지 않을 수 있다. 이에 따라, 예를 들어 이러한 수차들로 인한 패턴 왜곡 및 시프트 및/또는 포커스 시프트를 보정하기 위해 빔의 파면을 조절하는 것이 바람직하다. 하지만, 더 일반적으로, 빔의 파면을 조절하는 것이 바람직하다. 이러한 조절은, 예를 들어 본 명세서에 설명되는 바와 같이 패터닝 디바이스 패턴의 이미징을 변화시키는 데 사용될 수 있다.
일 실시예에서, 리소그래피 장치는 광학 방사선 빔의 전기장의 위상을 조정하도록 구성되고 배치된 위상 조정기(110)를 포함할 수 있다. 일 실시예에서, 위상 조정기는 투영 시스템에 위치된다.
파면을 조절하기 위해 렌즈를 조작하는 한 가지 방법은, 예를 들어 투영 시스템의 퓨필 평면에 근접하게 투영 시스템에 위치된 광학 요소의 일부분들을 국부적으로 가열하는 것을 포함한다. 광학 요소는 냉각될 수 있고, 이와 병행하여 둘러싼 광학 요소들에 대한 열 중립(thermal neutrality) 및 일관된 제어를 보장하도록 도울 수 있다. 이는 도 3 및 도 4에 예시된다.
도 3에 개략적으로 나타낸 바와 같이, 위상 조정기(110)는 빔(B)의 방사선에 대해 실질적으로 투과성인 재료의 광학 요소(310)를 포함할 수 있다. 일 실시예에서, 광학 요소(310)는 빔의 방사선에 대해 반사성일 수 있다. 위상 조정기(110)는 제어기(340)를 더 포함할 수 있다. 제어기(340)에 의해 공급되는 신호에 응답하여, 요소(310)를 가로지르는 파동에 대한 광학 경로 길이가 조정가능하다. 광학 요소(310)는, 예를 들어 실질적으로 퓨필 PPp와 같은 푸리에 변환 평면에 배치되거나 배치가능할 수 있으며, - 사용 시 - 패터닝 디바이스로부터 방출된 회절 빔(DB)에 의해 가로질러진다. 하지만, 광학 요소(310)는 상이한 평면일 수 있다.
도 4는 위상 조정기(110)를 더 상세히 예시하며, 광학 요소(310)의 Z-축을 따른 평면도를 나타낸다. 광학 요소(310)의 일부분(320)에 열을 적용하거나 이로부터 열을 제거하여, 일부분(320)에 인접한 재료의 굴절률에 대해 요소의 재료의 굴절률의 국부적인 변화를 도입함으로써, 요소(310)를 가로지르는 광파의 위상 조정이 얻어질 수 있다. 열의 적용은, 예를 들어 옴 저항(Ohmic resistance)을 갖는 와이어(330)를 통해 전류를 전달함으로써 실현될 수 있으며, 와이어(330)에 전류를 제공하도록 배치된 제어기(340) 및 요소의 일부분(320)과 접촉하여 배치된다.
광학 요소의 복수의 인접부들에는 여하한의 다른 부분으로부터 독립적으로 여하한의 부분을 가열하기 위해 대응하는 복수의 와이어들이 제공될 수 있다. 예를 들어, 도 5에 개략적으로 예시된 바와 같이, 인접부들(320-1 내지 320-44)이 인접한 열(row)들로 배치되고, 왼쪽에서 오른쪽으로, 또한 위에서 아래로 번호가 매겨진다. 인접부들(320-1 내지 320-44)의 각각의 부분(320)에는 대응하여 번호가 매겨진 가열 와이어들(330-1 내지 330-44)이 제공된다(하지만, 도 5는 간명함을 위해 부분들 320-4 및 320-37만을 예시함). 제어기(340)는 각각의 와이어가 독립적으로 전류-활성화(current-activate)될 수 있도록 구성되고 배치된다. 이는 X,Y 평면에서 요소(310)에 걸친 온도의 공간 분포에 따라 요소(310)를 가로지르는 광파에 공간 위상 분포를 적용할 수 있게 한다. 따라서, 파면은 고분해능으로 조작될 수 있고, 이에 따라 제르니케의 큰 범위가 위상 조정기(및/또는 심지어는 파면에 대한 자유 형태 조정)를 이용하여 생성될 수 있다.
추가적으로 또는 대안적으로, 광학 요소(310)는 냉각 유체를 포함하도록 배치된 채널을 포함할 수 있다. 위상 조정기(110)는 채널에 연결되고 채널을 통해 제어된 온도에서 냉각 유체를 순환시키도록 배치된 냉각 유체 공급기 및 회수 시스템을 포함할 수 있다. 와이어들(330)과 같이, 냉각 채널이 각각의 부분(320)과 연계될 수 있다; 하지만, 대안적으로 모든 부분들(320)에 대해 단일 냉각 채널이 배치될 수 있다. 요소(310)의 일부분(320)의 가열과 조합한 요소(310)의 냉각이 공칭 온도 위아래로 연장되는 온도 범위 내에서 일부분(320)의 온도를 조정하게 할 수 있다. 공칭 온도는, 예를 들어 장치(100) 또는 투영 시스템(PS)의 광학 요소들의 재료의 특정화된 바람직한 작동 온도일 수 있다.
위상 조정기(110)의 실시예들은 본 명세서에서 전문이 인용 참조되는 미국 특허 7,525,640호에서 찾을 수 있다. 부분들(320)의 총 개수는 44 개로 제한되지 않는다. 대신, 일반적으로 온도 분포들의 바람직한 공간 분해능에 의존할 수 있다. 예를 들어, 투영 시스템(PS)의 퓨필 평면(PPi) 내의 클리어 영역(clear area)의 크기에 대한 부분들(320) 각각의 영역의 비는 100 내지 1000일 수 있다.
본 발명의 일 실시예는 본 명세서에 설명되는 위상 조정기의 특정 실시예로 제한되지 않음을 유의한다. 해당 기술(들) 분야의 당업자라면, 여기에 포함된 교시에 기초하여 추가 실시예들을 수행할 수 있을 것이다. 예를 들어, 추가적으로 또는 대안적으로, 위상 조정기(110)는 퓨필(PPp) 부근에 배치된 광학 요소(310)의 부분들(320)을 선택적으로 가열하도록 배치된 적외선 레이저를 포함할 수 있다. 적외선 방사선은, 예를 들어 하나 이상의 중공 광섬유(hollow optical fiber)에 의해 광학 요소의 선택된 부분들로 안내될 수 있다. 이 실시예에 대한 적외선 레이저 구성부(arrangement)의 세부내용은 본 명세서에서 전문이 인용 참조되는 일본 특허 출원 공개공보 JP 2007-317847에서 찾을 수 있다. 냉각 구성부가 존재하지 않는 경우, 상이한 부분들(320)의 온도는 대응하는 서로 상이한 양의 적외선 방사선 에너지를 대응하는 상이한 부분들에 공급함으로써 서로 상이하게 배치될 수 있다. 이후, 공칭 온도가, 예를 들어 서로 상이한 온도의 평균 온도 값으로서 특정화될 수 있다. 추가적으로 또는 대안적으로, [예를 들어, 선택적 압축, 및/또는 선택적 확장, 및/또는 선택적 비틀림(twisting) 등에 의해] 하나 이상의 광학 요소를 조정하여 위상을 조정하기 위해 하나 이상의 기계적 액추에이터들이 사용될 수 있다.
또한, 앞서 설명된 바와 같이, 리소그래피 장치는 일반적으로 조명 시스템을 포함한다. 조명 시스템은 소스, 예를 들어 레이저로부터 방사선을 수용하고, 패터닝 디바이스를 조명하기에 적합한 방사선 빔을 생성한다. 통상적인 조명 시스템 내에서, 퓨필 평면에서 방사선 빔이 의도한 공간 세기 분포를 갖도록 방사선 빔이 성형되고 제어된다. 조명 모드들에 대한 공간 세기 분포의 타입들의 예시는 통상의 2-중극(dipole), 비대칭, 4-중극(quadrupole), 6-중극(hexapole) 및 환형 공간 세기 분포이다. 퓨필 평면에서의 공간 세기 분포는 실제적으로 방사선 빔을 생성하기 위한 2차 방사선 소스로서 작용한다. 퓨필 평면에 후속하여, 통상적으로, 이후 "커플링 광학기(coupling optics)"라고 칭해지는 광학 요소(예를 들어, 렌즈) 그룹에 의해 방사선이 포커스된다. 커플링 광학기는 석영 로드(quartz rod)와 같은 인티그레이터 내로 포커스된 방사선을 커플링한다. 인티그레이터의 기능은 방사선 빔의 각도 세기 분포 및/또는 공간의 균질성(homogeneity)을 개선하는 것이다. 퓨필 평면이 커플링 광학기의 초점 평면과 실질적으로 일치하기 때문에, 퓨필 평면에서의 공간 세기 분포는 인티그레이터에서 각도 세기 분포로 전환된다.
패터닝 디바이스의 이미지가 기판 상으로 투영될 때 공정 관용도(processing latitude)를 개선하기 위해 퓨필 평면에서의 공간 세기 분포의 제어가 수행될 수 있다. 특히, 2-중극, 환형 또는 4-중극 오프-액시스 조명 모드들이 투영 시스템의 분해능 및/또는 다른 파라미터들, 예컨대 투영 시스템 수차에 대한 민감도, 노광 관용도 및 초점심도(depth of focus)를 향상시킬 수 있다.
일 실시예에서, 공간 세기 분포는 조명 시스템에서 공간 방사선 변조기에 의해 생성될 수 있다. 몇몇 실시예들에서, 공간 방사선 변조기는 [예를 들어, 터릿(turret) 또는 다른 핸들링 기구를 통해] 2 이상의 광학 요소들의 조합으로서 또는 단일적으로 빔 경로 내에 개재될 수 있는 하나 이상의 광학 요소(예를 들어, 굴절 광학 요소)를 포함할 수 있다. 예를 들어, 각각의 광학 요소는 2-중극, 환형 등과 같은 특정 공간 세기 분포 또는 조명을 위해 특정적으로 구성될 수 있다.
일 실시예에서, 공간 방사선 변조기는 공간 세기 분포를 생성하는 데 사용될 수 있는 개별적으로 제어가능한 복수의 요소들을 포함할 수 있다. 도 6은 조명 시스템(IL)의 일부분을 형성하는 장치의 개략적 예시이다. 장치는 마이크로렌즈 어레이(13), 개별적으로 제어가능한 (반사) 요소들(15)(이후 거울들이라고 칭해짐)의 어레이 및 광학기(16)를 포함한다. 작동 시, 방사선 빔(B)은 마이크로렌즈 어레이(13)를 통과하고, 이는 방사선 빔을 개별적으로 시준되는 다수의 방사선 서브-빔들로 분리하며, 그 각각은 개별적으로 제어가능한 거울들(15)의 어레이의 상이한 반사 거울(15a 내지 15e)에 입사한다.
도 6은 제 1 거울(15a)에 입사하는 제 1 방사선 서브-빔을 나타낸다. 개별적으로 제어가능한 거울들(15)의 어레이의 다른 거울들(15b 내지 15e)처럼, 거울(15a)은 광학기(16)를 통해 서브-빔을 중간 평면(17)으로 반사시킨다(광학기는 예를 들어 포커싱 렌즈를 포함함). 중간 평면(17)은, 예를 들어 조명 시스템의 퓨필 평면일 수 있으며, 이는 리소그래피 장치의 2차 방사선 소스로서 작용한다. 다른 거울들(15b 내지 15e)은 전향 광학기(re-directing optics: 16)를 통해 다른 서브-빔을 평면(17)의 다른 영역들로 반사시킨다. 거울들(15a 내지 15e)의 방위를 조정하고, 이에 따라 서브-빔들이 입사하는 평면(17)의 위치들을 결정함으로써, 평면(17) 내에 거의 모든 공간 세기 분포가 생성될 수 있다. 따라서, 거의 모든 공간 세기 분포가 형성될 수 있음에 따라, 리소그래피 장치의 공정 관용도의 개선을 제공할 수 있다. 거울들(15a 내지 15e)의 방위를 제어하기 위해 제어기(CT1)가 사용될 수 있다.
개별적으로 제어가능한 거울들(15)의 어레이가 도 6에 5 개의 거울(15a 내지 15e)로 나타나 있지만, 실제로는 훨씬 더 많은 수의 거울들이 어레이에 제공될 수 있다. 개별적으로 제어가능한 거울들(15)의 어레이는, 예를 들어 2-차원 어레이를 포함할 수 있다. 거울들(15)의 어레이는, 예를 들어 100 개 이상의 거울을 포함할 수 있고, 예를 들어 1000 개 이상의 거울을 포함할 수 있다. 거울들(15)의 어레이는 직사각형일 수 있다.
마이크로렌즈 어레이(13)는 상이한 마이크로렌즈가 개별적으로 제어가능한 거울들(15)의 어레이의 각각의 거울과 연계되도록 구성될 수 있다. 마이크로렌즈 어레이(13)는, 예를 들어 2-차원 어레이를 포함할 수 있다. 마이크로렌즈 어레이(13)는, 예를 들어 100 개 이상의 마이크로렌즈 어레이를 포함할 수 있으며, 예를 들어 1000 개의 마이크로렌즈를 포함할 수 있다. 마이크로렌즈 어레이는 직사각형일 수 있다.
공간 세기 분포의 특정 형상이 설명되었지만, 공간 세기 분포는 일반적으로 자유형태일 수 있다. 자유형태 조명에서, 조명 퓨필에 방사선의 세기 및 위치의 본질적으로 제한되지 않는 자유가 존재한다. 공간 방사선 변조기는 다수의 또는 여하한의 자유형태 조명 퓨필 형상을 생성하는 것이 가능할 수 있다. 이러한 자유형태 조명은 기판 표면 상에 적절한 디바이스 디자인 패턴을 얻기 위해 조명 및 패터닝 디바이스 패턴 최적화와 연계하여 유용할 수 있다.
점점 더 작은 피처들의 생성을 가능하게 하기 위해, 정교한 미세-조절 단계들이 리소그래피 투영 장치, 제조 공정 및/또는 디자인 레이아웃에 적용될 수 있다. 이들은, 예를 들어 개구수 및 광학 코히어런스 세팅(optical coherence setting)의 최적화, 맞춤 조명 모드(customized illumination mode), 파면 맞춤, 위상 시프팅 패터닝 디바이스의 사용, 디자인 레이아웃에서의 광 근접성 보정(OPC)(때로는 "광학 및 공정 보정"이라고도 칭해짐), 또는 일반적으로 "분해능 향상 기술(RET)"로서 정의되는 다른 방법들(단, 이로 제한되지 않음)을 포함한다.
일 예시로서, OPC는 기판 상에 투영된 디자인 레이아웃의 이미지의 최종 크기 및 배치가 단순히 패터닝 디바이스 상의 디자인 레이아웃의 크기 및 배치에만 의존하거나 이와 동일하지 않을 것이라는 사실을 설명한다. 리소그래피 시뮬레이션/최적화에서는 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라 디자인 레이아웃이 물리적 패터닝 디바이스를 나타내는 데 사용될 수 있기 때문에, 해당 기술분야의 당업자라면, 특히 리소그래피 시뮬레이션/최적화와 관련하여 "마스크", "패터닝 디바이스" 및 "디자인 레이아웃"이라는 용어가 교환가능하게 이용될 수 있다는 것을 알 것이다. 일부 디자인 레이아웃에 존재하는 작은 피처 크기 및 높은 피처 밀도에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재나 부재에 의해 어느 정도 영향을 받을 수 있다. 이 근접 효과들은 한 피처에서 다른 피처로 커플링된 미세한 양의 방사선, 및/또는 회절 및 간섭과 같은 비-기하학적 광학 효과들로부터 발생한다. 유사하게, 근접 효과들은 일반적으로 리소그래피에 후속되는 노광-후 베이크(post-exposure bake: PEB), 레지스트 현상, 및 에칭 시의 확산 및 다른 화학적 영향들로부터 발생할 수 있다.
디자인 레이아웃의 투영 이미지가 주어진 타겟 회로 디자인의 요건들에 부합될 것을 보장하기 위해, 정교한 수치 모델들, 디자인 레이아웃의 보정들 또는 전치-왜곡(pre-distortion)들을 이용하여 근접 효과들이 예측되고 보상될 필요가 있을 수 있다. 이 변형들은 라인 폭 또는 에지 위치의 시프팅 또는 바이어싱(biasing), 디자인 레이아웃의 전반적 바이어스의 조정, 다른 피처들의 투영을 돕도록 의도되는 "어시스트" 피처들의 적용 등을 포함할 수 있다. 패터닝 디바이스 패턴으로 형성되는 디자인 결함의 가능성을 최소화하기 위해, OPC의 효과, 예를 들어 OPC 및 여하한의 다른 RET의 적용 후 디자인 레이아웃들이 디자인 검사, 즉 캘리브레이션된 수치 공정 모델(calibrated numerical process model)들을 이용하는 집약적 풀-칩 시뮬레이션(intensive full-chip simulation)에 의해 검증된다. OPC 및 풀-칩 RET 검증 둘 모두는 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
디자인 레이아웃들 또는 패터닝 디바이스들에 대한 최적화(예를 들어, OPC)에 추가적으로 또는 대안적으로, 전체 리소그래피 충실도(lithography fidelity)를 개선하려는 노력으로, 패터닝 디바이스 최적화와 함께 또는 개별적으로, 조명 소스도 최적화될 수 있다. 즉, 공간 세기 분포 타입(예를 들어, 환형, 4-중극, 2-중극 등), 공간 세기 분포 특성[예를 들어, 시그마 세팅(예를 들어, 종래의 원형 조명 패턴에 대한 σ, 환형 조명에 대한 외측 σr 및 내측 σc, 선택된 내측 및 외측 반경 간의 극(pole)들 중 하나 이상에 대한(subtend) 각도를 정의하는 다양한 σ 세팅 및 극 각도(pole angle: α)], 및 다른 조명 모드 세팅들이 디자인 레이아웃으로 최적화될 수 있어, 예를 들어 더 미세한 분해능과 감소된 방사선 세기 간의 최적 균형을 달성할 수 있다. 조명 구성의 변형은 분해능, 초점심도, 콘트라스트(contrast) 및 프린트된 이미지의 다른 특성의 개선을 제공하는 데 사용될 수 있다. 하지만, 각각의 조명 타입은 특정한 트레이드오프(tradeoff)를 갖는다. 예를 들어, 개선된 콘트라스트는 초점심도의 희생으로 얻어될 수 있다.
일 실시예에서, 조명 모드와 디자인 레이아웃 둘 모두의 최적화는 임계 회로 패턴들의 투영을 위한 실행가능한 공정 윈도우를 보장하는 데 유용하다. 이러한 최적화의 일 예시로서, 조명은 수 개의 영역들로 나뉠 수 있으며, 그 각각은 퓨필 스펙트럼의 특정 영역에 대응한다. 이후, 조명 분포는 각각의 영역에서 균일하다고 가정하며, 각각의 영역의 밝기는 공정 윈도우에 대해 최적화된다. 또 다른 예시에서, 조명 픽셀에 기초하여, 조명 최적화 문제는 일련의 비-음수 최소 제곱 최적화(series of non-negative least square optimization)들로 전환된다. 또 다른 예시에서, 공간 주파수 도메인에서 조명을 독립적인 조명 지점들로, 그리고 디자인 레이아웃을 회절 차수들로 분할(discretize)하고, 조명 지점 세기들 및 디자인 레이아웃 회절 차수들로부터의 광학 이미징 모델들에 의해 예측될 수 있는 노광 관용도와 같은 공정 윈도우 메트릭(process window metric)에 기초하여 개별적으로 비용 함수(이는 선택된 디자인 변수들의 함수로서 정의됨)를 공식화한다. "디자인 변수"라는 용어는 리소그래피 투영 장치 및 공정의 파라미터들, 예를 들어 리소그래피 투영 장치의 사용자가 조정할 수 있는 파라미터들의 세트를 포함한다. 조명, 패터닝 디바이스, 투영 광학기의 특성들 및/또는 레지스트 특성들을 포함하는 리소그래피 장치 또는 공정의 여하한의 특성들이 최적화에서의 디자인 변수들에 속할 수 있음을 이해하여야 한다. 비용 함수는 흔히 디자인 변수들의 비-선형 함수이다. 이때, 비용 함수를 최소화하기 위해 표준 최적화 기술들이 사용될 수 있다.
디자인 레이아웃 및/또는 조명 모드의 최적화에 추가적으로 또는 대안적으로, 다른 디자인 변수들이 최적화될 수 있음을 이해할 것이다. 예를 들어, 투영 시스템의 파면 및/또는 하나 이상의 후-노광 변수(예를 들어, 에칭, 베이크 등)들이 단독으로 또는 디자인 레이아웃, 조명 모드 또는 다른 디자인 변수와 연계하여 최적화될 수 있다.
리소그래피 투영 장치에서 리소그래피를 시뮬레이션하는 예시적인 흐름도가 도 7에 예시된다. 조명 모델(31)은 조명의 광학적 특성들(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 조명 모델(31)은 개구수 세팅, 시그마(σ) 세팅, 특정 조명 형상(예를 들어, 환형, 4-중극, 2-중극 등과 같은 오프-액시스 조명 형상)과 같은(단, 이로 제한되지 않음) 조명의 광학적 특성을 나타낼 수 있다.
투영 광학기 모델(32)은 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 투영 광학기 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수, 흡수 등과 같은 투영 광학기의 광학적 특성을 나타낼 수 있다. 투영 광학기 모델(32)은 다양한 인자들, 예를 들어 투영 광학기의 구성요소들의 가열, 투영 광학기의 구성요소들의 기계적 연결들에 의해 야기되는 응력 등에 의해 야기되는 수차를 포함할 수 있다. 조명 모델(31) 및 투영 광학기 모델(32)은 TCC(transmission cross coefficient) 모델로 조합될 수 있다.
디자인 레이아웃 모델(33)이 디자인 레이아웃의 광학적 특성들(주어진 디자인 레이아웃에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타내고, 이는 패터닝 디바이스의 피처들의 구성(arrangement)을 나타낸다. 디자인 레이아웃 모델(33)은 디바이스 디자인 피처들이 어떻게 패터닝 디바이스의 패턴에 레이 아웃(lay out)되는지를 포착할 수 있으며, 예를 들어 본 명세서에서 전문이 인용 참조되는 미국 특허 7,587,704에 설명된 바와 같은 물리적 패터닝 디바이스의 물리적 속성들을 나타낼 수 있다.
조명 모델(31), 투영 광학기 모델(32) 및 디자인 레이아웃 모델(33)로부터 에어리얼 이미지(36)가 시뮬레이션될 수 있으며; 에어리얼 이미지(AI)는 기판 상에서의 방사선 세기 분포이다. 리소그래피 투영 장치의 광학 속성들(예를 들어, 조명, 패터닝 디바이스 및 투영 광학기의 속성들)은 에어리얼 이미지를 좌우한다(dictate). 리소그래피 투영 장치에 사용되는 패터닝 디바이스가 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 조명 및 투영 광학기를 포함하는 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다.
기판 상에 레지스트 층이 노광되고, 그 안에 잠재적인 "레지스트 이미지"(RI)로서 에어리얼 이미지가 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 가용성(solubility)의 공간 분포로서 정의될 수 있다. 레지스트 이미지(38)는 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 시뮬레이션될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있다. 레지스트 모델은 레지스트 층의 속성들[예를 들어, 노광, 노광-후 베이크(PEB) 및 현상 등 동안 일어나는 화학 공정들의 효과들]에만 관련된다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 윤곽 및 CD를 예측할 수 있다.
시뮬레이션의 목적은, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치 및 임계 치수(CD)를 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 전-OPC 디자인 레이아웃으로서 정의되며, 이는 GDSⅡ 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
이 시스템(예를 들어, 소프트웨어 및/또는 하드웨어)은 패터닝 디바이스 패턴의 리소그래피를 수반하는 제조 공정을 시뮬레이션하기 위해 더 확장되거나 일반화될 수 있다. 주요 제조 시스템 구성요소들 및/또는 공정들은, 예를 들어 도 8에 예시된 바와 같은 다양한 기능적 모듈들에 의해 설명될 수 있다. 도 8을 참조하면, 기능적 모듈들은 마이크로전자 디바이스(등등) 디자인 패턴을 정의하는 디자인 레이아웃 모듈(71); 디바이스 디자인에 기초하여 패터닝 디바이스 패턴이 어떻게 다각형들로 레이 아웃되는지를 정의하는 패터닝 디바이스 레이아웃 모듈(72); 시뮬레이션 공정 동안 이용될 픽실레이팅된 그리고 연속-톤인(pixilated and continuous-tone) 패터닝 디바이스의 물리적 속성들을 모델링하는 패터닝 디바이스 모델 모듈(73); 리소그래피 시스템의 광학 구성요소들의 성능을 정의하는 광학 모델 모듈(74); 주어진 공정에서 이용되는 레지스트의 성능을 정의하는 레지스트 모델 모듈(75); 및 후-레지스트 현상 공정들(예를 들어, 에칭)의 성능을 정의하는 공정 모델 모듈(76)을 포함할 수 있다. 시뮬레이션 모듈들 중 하나 이상의 결과, 예를 들어 예측된 윤곽, 임계 치수, 라인 폭 거칠기 등이 결과 모듈(77)에서 제공된다.
조명 및 투영 광학기들의 속성들은 [조명 모델(31), 투영 광학기 모델(32) 및 디자인 레이아웃 모델(33)과 유사하게] 광학 모델 모듈(74)에서 포착된다. 앞서 설명된 바와 같이, 속성들은 개구수 및/또는 시그마(σ) 세팅 및 여하한의 특정한 조명 형상을 포함할 수 있으며(단, 이로 제한되지 않음), 이때 σ(또는 시그마)는 공간 세기 분포의 외반경 크기이다. 또한, 기판 상에 코팅된 포토-레지스트 층의 광학 속성들 --즉, 굴절률, 필름 두께, 전파 및 편광 효과들-- 이 광학 모델 모듈(74)의 일부로서 포착될 수 있는 반면, [레지스트 모델(37)과 유사하게] 레지스트 모델 모듈(75)은 예를 들어 기판 상에 형성되는 레지스트 피처들의 윤곽을 예측하기 위해 레지스트 노광, 노광후 베이크(PEB) 및 현상 동안 일어나는 화학적 공정들의 효과들을 설명할 수 있다.
일반적으로, 광학 및 레지스트 모델 간의 연결은 레지스트 층 내의 시뮬레이션된 에어리얼 이미지 세기이며, 이는 기판 상으로의 방사선의 투영, 레지스트 계면에서의 굴절, 및 레지스트 필름 스택에서의 다수 반사로부터 발생한다. 방사선 세기 분포(에어리얼 이미지 세기)는 광자들의 흡수에 의해 잠재 레지스트 이미지가 되며, 이는 확산 공정들 및 다양한 로딩 효과들에 의해 더 변경된다. 풀-칩 어플리케이션들을 위해 충분히 빠른 효율적인 시뮬레이션 방법들은 2-차원 에어리얼(및 레지스트) 이미지에 의해 레지스트 스택에서 실제적 3-차원 세기 분포를 근사화한다(approximate).
따라서, 모델 공식화(model formulation)는 전체 공정의 알려진 물리학 및 화학의 - 전부는 아니더라도 - 대부분을 설명하고, 모델 파라미터들의 각각은 바람직하게 별개의 물리적 또는 화학적 효과에 대응한다. 따라서, 모델 공식화는 전체 제조 공정을 시뮬레이션하기 위해 모델이 얼마나 잘 사용될 수 있는지에 대한 상한계(upper bound)를 설정한다. 하지만, 때때로 모델 파라미터들은 측정 및 판독 오차들로부터 부정확할 수 있으며, 시스템 내에 다른 미비점(imperfection)들이 존재할 수 있다. 모델 파라미터들의 정밀한 캘리브레이션으로, 매우 정확한 시뮬레이션들이 수행될 수 있다.
최적화에서, 시스템(예를 들어, 제조 공정 및/또는 리소그래피 장치)의 성능 지수(figure of merit)가 비용 함수로서 표현될 수 있다. 최적화 공정은 비용 함수를 최소화하는 시스템의 파라미터들(디자인 변수들)의 세트를 발견하는 공정으로 압축된다. 비용 함수는 최적화의 목표에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 소정 특성들의 의도된 값들(예를 들어, 이상적인 값들)에 대한 이러한 특성[평가 지점(evaluation point)]들의 편차들의 가중(weighted) RMS(root mean square)일 수 있다; 또한, 비용 함수는 이 편차들의 최대값일 수도 있다. 본 명세서에서 "평가 지점들"이라는 용어는 시스템의 여하한의 특성을 포함하는 것으로 폭넓게 해석되어야 한다. 시스템의 디자인 변수들은 시스템 구현의 실용성(practicality)들로 인해 상호의존적이고, 및/또는 유한한 범위로 한정될 수 있다. 리소그래피 투영 장치의 경우, 제약은 흔히 패터닝 디바이스 제조가능 디자인 규칙들, 및/또는 조절가능한 범위들과 같은 하드웨어의 물리적 속성들 및 특성들과 관련되며, 평가 지점들은 기판 상의 레지스트 이미지에 대한 물리적 지점들, 그리고 도즈 및 포커스와 같은 비-물리적 특성들을 포함할 수 있다.
하나 이상의 실시예들에서, 최적화는 다음과 같은 비용 함수를 이용하여 수행될 수 있다:
Figure 112016117206952-pct00001
(수학식 1)
이때, (z 1,z 2,…,z N )는 N 개의 디자인 변수들 또는 그 값들이고; f P (z 1,z 2,…,z N )는 (z 1,z 2,…,z N )의 디자인 변수들의 값들의 일 세트에 대한 p-번째 평가 지점에서의 특성의 실제 값과 의도된 값 간의 차의 함수일 수 있다. w P p-번째 평가 지점에 할당된 가중치 상수이다. 다른 것들보다 더 임계적인 평가 지점 또는 패턴에 더 높은 w P 값이 할당될 수 있다. 발생 수가 더 많은 패턴들 및/또는 평가 지점들에도 더 높은 w P 값이 할당될 수 있다. 평가 지점들의 예시들은 웨이퍼 상의 여하한의 물리적 지점 또는 패턴, 또는 디자인 레이아웃 또는 레지스트 이미지 또는 에어리얼 이미지 상의 여하한의 지점일일 수 있다. f p (z 1,z 2,...,z N )의 정규 가중(normal weighted) 제곱평균제곱근(RMS)은
Figure 112016117206952-pct00002
으로서 정의되며, 따라서 f p (z 1,z 2,...,z N )의 가중 RMS를 최소화하는 것은 수학식 1에 정의된 비용 함수 CF(z 1,z 2,...,z N ) =
Figure 112016117206952-pct00003
를 최소화하는 것과 같다.
비용 함수는 여하한의 적절한 특성들, 예를 들어 포커스, 임계 치수, 이미지 로그 슬로프, 임계 치수, 이미지 시프트, 이미지 왜곡, 이미지 회전 등을 나타낼 수 있다. 예를 들어, 비용 함수는 다음의 리소그래피 메트릭: 에지 배치 오차, 임계 치수, 레지스트 윤곽 거리, 최악의 결함 크기, 이미지 로그 슬로프, 라인 폭 거칠기, 확률적 영향, 패터닝 디바이스의 3-차원 효과, 레지스트의 3-차원 효과, 최적 포커스 시프트, 퓨필 충진율(pupil fill factor), 노광 시간, 및 스루풋으로부터 하나 이상 선택된 함수일 수 있다. 흔히 기판 상의 회로 패턴을 좌우하는 것이 레지스트 이미지이기 때문에, 비용 함수는 흔히 레지스트 이미지의 몇몇 특성들을 나타내는 함수들을 포함한다. 예를 들어, 이러한 평가 지점의 f P (z 1,z 2,…,z N)는 단순히 레지스트 이미지 내의 지점과 그 지점의 의도된 위치 간의 거리[즉, 에지 배치 오차 EPE P (z 1,z 2,…,z N )]일 수 있다. 디자인 변수들은 조명, 패터닝 디바이스, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터들과 같은 여하한의 조정가능한 파라미터들일 수 있다.
또한, PW(공정 윈도우)가 최대화되는 경우, 상이한 PW 조건들로부터의 동일한 물리적 위치를 수학식 1의 비용 함수에서의 상이한 평가 지점들로 간주할 수 있다. 예를 들어, N 개의 PW 조건들이 고려되는 경우, 평가 지점들은 그들의 PW 조건들에 따라 분류될 수 있으며, 비용 함수들은 다음과 같이 기록될 수 있다:
Figure 112016117206952-pct00004
(수학식 2)
이때, f Pu (z 1,z 2,…,z N )는 u-번째 PW 조건(u=1,…,U) 하의 (z 1,z 2,…,z N )의 디자인 변수들의 값들의 일 세트에 대한 p i -번째 평가 지점의 실제 값과 의도된 값 간의 차의 함수이다. 이 차가 에지 배치 오차(EPE)인 경우, 상기의 비용 함수를 최소화하는 것은 다양한 PW 조건들 하에서 에지 시프트를 최소화하는 것과 같으며, 이에 따라 이는 PW를 최대화하는 것을 유도한다. 특히, PW가 상이한 패터닝 디바이스 바이어스로도 구성되는 경우, 상기의 비용 함수를 최소화하는 것은 MEEF(Mask Error Enhancement Factor)의 최소화를 또한 포함하며, 이는 기판 EPE와 유도된 패터닝 디바이스 패턴 에지 바이어스 간의 비로서 정의된다.
디자인 변수들 또는 이의 함수들은 제약들을 가질 수 있으며, 이는 (z 1,z 2,…,z N ) ∈ Z로서 표현될 수 있고, 이때 Z는 디자인 변수들의 가능한 값들의 일 세트이다. 제약들은 리소그래피 투영 장치의 하드웨어 구현에서 물리적 제한들을 나타낼 수 있다. 제약들은: 조절 범위들, 패터닝 디바이스 제조가능성을 통제하는 규칙들, 및 디자인 변수들 간의 상호의존성 중 하나 이상을 포함할 수 있다. 그러므로, 최적화 공정은 제약들 (z 1,z 2,…,z N ) ∈ Z 하에서 비용 함수를 최소화하는 디자인 변수들의 값들의 일 세트, 즉 다음을 발견하는 것이다:
Figure 112016117206952-pct00005
(수학식 3)
일 실시예에 따른 최적화의 일반적인 방법이 도 9에 예시된다. 이 방법은 복수의 디자인 변수들의 다변수 비용 함수를 정의하는 단계(302)를 포함한다. 디자인 변수들은 조명의 하나 이상의 특성(300A)[예를 들어, 공간 세기 분포 타입, 공간 세기 분포 특성, 퓨필 충진율(예를 들어, 퓨필 또는 어퍼처를 통과하는 조명의 방사선의 백분율) 등], 투영 광학기의 하나 이상의 특성(300B), 및/또는 디자인 레이아웃의 하나 이상의 특성(300C)으로부터 선택되는 여하한의 적절한 조합을 포함할 수 있다. 예를 들어, 디자인 변수들은 조명의 특성(300A) 및 디자인 레이아웃의 특성(300C)(예를 들어, 전역적 바이어스, CD 등)을 포함하지만, 투영 광학기의 특성(300B)은 포함하지 않을 수 있으며, 이는 "소스 마스크 최적화(source mask optimization: SMO)"를 초래한다. 대안적으로, 디자인 변수들은 조명의 특성(300A), 투영 광학기의 특성(300B), 및 디자인 레이아웃의 특성(300C)을 포함할 수 있고, 이는 "소스-마스크-렌즈 최적화(source-mask-lens optimization: SMLO)"를 초래한다. 단계 304에서, 적용가능한 디자인 변수들은 비용 함수가 수렴을 향해 이동되도록 동시에 조정된다. 단계 306에서, 사전설정된 종료 조건을 만족하는지가 판단된다. 사전설정된 종료 조건은 다양한 가능성들을 포함할 수 있으며, 즉 비용 함수의 값이 임계값과 동일하거나 임계값을 넘었을 때, 비용 함수의 값이 사전설정된 오차 한계 내에 도달했을 때, 사전설정된 반복 수에 도달할 때, 또는 사용되는 수치해석 기술(numerical technique)의 요구에 따라 비용 함수가 최소화 또는 최대화될 때일 수 있다. 단계 306에서의 조건들 중 어느 하나가 만족되는 경우 방법이 종료된다. 단계 306에서의 어떤 조건도 만족되지 않는 경우, 원하는 결과가 얻어질 때까지 단계 304 및 단계 306이 반복적으로 되풀이된다. 최적화는 반드시 디자인 변수들에 대한 값들의 단일 세트를 초래하지는 않는데, 이는 퓨필 충진율, 레지스트 화학적 성질, 스루풋 등과 같은 인자들에 의해 야기되는 물리적 한계들이 존재할 수 있기 때문이다. 최적화는 디자인 변수들에 대한 값들의 다수 세트 및 연계된 성능 특성(예를 들어, 스루풋)을 제공하고, 리소그래피 장치의 사용자로 하여금 하나 이상의 세트를 고르게 할 수 있다.
일 실시예에서, 투영 광학기 및/또는 조명의 광학적 특성에 대한 영향을 계산 및/또는 결정하는 대신에, 또는 이에 추가하여, 투영 광학기 및/또는 조명의 조정가능한 광학적 특성이 디자인 변수들에 포함될 수 있는 것으로 생각된다. 예시적인 조정가능한 광학적 특성은 위상 조정기, 공간 세기 변조기, 하나 이상의 디바이스, 예를 들어 가열기의 온도 데이터와 연계된 온도 데이터 또는 신호를 포함할 수 있으며, 이는 투영 시스템의 광학 요소의 온도, 제르니케 계수(Zernike coefficient) 등을 제어하는 데 이용된다. 이후, 최적화 절차가 수행될 수 있고, 조정가능한 광학적 특성을 포함하는 디자인 변수들은 비용 함수가 수렴에 접근하도록 동시에 조정될 수 있다.
도 9에서, 모든 디자인 변수들의 최적화는 동시에 실행된다. 이러한 흐름은 동시 최적화, 공동 최적화, 또는 합동-최적화라 칭해질 수 있다. 본 명세서에서 사용되는 바와 같은 "동시", "동시에", "공동" 및 "공동으로"라는 용어들은, 조명, 패터닝 디바이스, 투영 광학기의 특성의 디자인 변수들 및/또는 여하한의 다른 디자인 변수들이 동일한 시간에 변화되도록 허용된다는 것을 의미한다. 대안적으로, 모든 디자인 변수들의 최적화는 도 10에 예시된 바와 같이 교대로 실행될 수 있다. 이 흐름에서는, 각각의 단계에서 몇몇 디자인 변수들은 고정되는 한편, 다른 디자인 변수들은 비용 함수를 최소화하도록 최적화된다; 이후, 다음 단계에서 변수들의 상이한 세트가 고정되는 한편, 다른 것들은 비용 함수를 최소화하도록 최적화된다. 이 단계들은 수렴 또는 특정 종료 조건들이 충족될 때까지 교대로 실행된다. 비-제한적인 예시의 도 10의 흐름도에 나타낸 바와 같이, 우선 디자인 레이아웃(단계 402)이 얻어진 후, 조명 최적화의 단계가 단계 404에서 실행되며, 이때 조명의 모든 디자인 변수들이 비용 함수를 최소화하도록 최적화되는 한편["소스 최적화(SO)"], 모든 다른 디자인 변수들은 고정된다. 이후, 다음 단계 406에서 패터닝 디바이스 최적화["마스크 최적화(MO)"]가 수행되며, 이때 패터닝 디바이스의 모든 디자인 변수들이 비용 함수를 최소화하도록 최적화되는 한편, 모든 다른 디자인 변수들은 고정된다. 이 두 단계들은 단계 408에서 특정 종료 조건들이 충족될 때까지 교대로 실행된다. 비용 함수의 값이 임계값과 동일하게 되는 것, 비용 함수의 값이 임계값을 넘는 것, 비용 함수의 값이 사전설정된 오차 한계 내에 도달하는 것, 또는 사전설정된 반복 수에 도달하는 것 등과 같은 다양한 종료 조건들이 사용될 수 있다. 교대 흐름에 대한 일 예시로서 SO-MO 교대 최적화가 사용됨을 유의한다. 교대 흐름은 많은 상이한 형태, 예를 들어 SO-LO-MO 교대 최적화를 취할 수 있으며, 이때 SO, LO(투영 광학기 최적화를 수반하는 "렌즈 최적화")가 실행되고, MO가 교대로 및 반복적으로 실행된다; 또는 우선 SMO가 한 번 실행된 후, LO 및 MO가 교대로 및 반복적으로 실행될 수 있다; 그 밖에도 여러 가지가 있다. 최종적으로, 최적화 결과의 출력이 단계 410에서 얻어지고, 공정이 정지된다.
앞서 설명된 바와 같은 패턴 선택 알고리즘은 동시 또는 교대 최적화와 통합될 수 있다. 예를 들어, 교대 최적화가 채택되는 경우, 우선 풀-칩 SO가 수행될 수 있으며, '핫 스폿' 및/또는 '웜 스폿'이 식별되고, 이후 MO가 수행된다. 본 발명의 관점에서, 의도한 최적화 결과들을 달성하기 위해 서브-최적화들의 다수 순열 및 조합이 가능하다.
앞서 설명된 바와 같이, 리소그래피 장치는 "파면 머니퓰레이터(wavefront manipulator)"[예를 들어, 조명 시스템의 공간 방사선 변조기 및/또는 위상 조정기(110)]라 하는 구성요소들을 포함할 수 있으며, 이는 방사선 빔의 위상 시프트 및/또는 파면 및 세기 분포의 형상을 조정하는 데 사용될 수 있다. 파면 머니퓰레이터는 패터닝 디바이스 앞, 퓨필 평면 부근, 이미지 평면 부근, 초점면 부근과 같은 리소그래피 장치의 광학 경로를 따르는 여하한의 위치에서 파면 및 세기 분포를 조정할 수 있다. 파면 머니퓰레이터는, 예를 들어 조명, 패터닝 디바이스, 리소그래피 장치에서의 온도 변동, 및/또는 리소그래피 장치의 구성요소들의 열 팽창에 의해 야기되는 파면 및 세기 분포의 특정 왜곡들을 보정 또는 보상하는 데 사용될 수 있다. 파면 및 세기 분포를 조정하는 것이 비용 함수 및 평가 지점들의 값들을 변화시킬 수 있다. 이러한 변화들은 모델로부터 시뮬레이션되거나, 또는 실제로 측정될 수 있다.
앞서 설명된 바와 같이, 투영되는 패터닝 디바이스 패턴 이미지는 이미지 평면에서의 공간 위치의 함수로서 방사선 세기의 분포이며, 레지스트 내로 노출되는 정보의 근원이다. 따라서, 투영 시스템이 고품질 이미지를 생성하는 것이 바람직하다. 투영 시스템에 의해 투영되는 이미지의 품질을 측정하는 데 사용될 수 있는 한 가지 파라미터는 이미지 슬로프(IS)이며, 이는 이미지 내의 공칭 라인 에지(nominal line edge) 부근에서의 이미지 세기의 슬로프이다. 이 계측(measure)은 세기 의존적이며; 예를 들어 세기가 배가되는 경우, IS가 배가된다. IS를 세기로 나누면 이 효과를 정규화할 수 있다. 결과적인 메트릭을 이미지 로그-슬로프(ILS)라고 한다:
Figure 112016117206952-pct00006
(수학식 4)
이때, 이 로그-슬로프는 공칭 라인 에지에서 측정되고, I는 x의 함수로서 세기이다. ILS는, 명백하게, 특정 이미지에 대한 x 및/또는 y 치수에 대해 계산될 수 있다. 또한, 레지스트 에지 위치(라인폭)의 변동이 공칭 라인폭의 백분율로서 표현될 수 있다. 이에 따라, 위치 좌표 x는 공칭 라인폭 w와 로그-슬로프를 곱함으로써 정규화될 수 있어, 정규화된 이미지 로그-슬로프(normalized image log-slope: NILS)를 제공한다.
Figure 112016117206952-pct00007
(수학식 5)
여기서 설명은 ILS에 집중될 것이다. 설명이 ILS의 일반적인 의미와 그 변이형(variant)을 구별하는데 구체적으로 집중되지 않는다면, ILS는 NILS 또는 ILS 기반의 여하한의 다른 변이형을 포함하는 것으로 이해되어야 한다.
특정 이미지에 대해, 라인이 "선명(sharp)"하지 않다면, ILS가 비교적 작은 값을 가질 것이며, 이는 라인 에지에 걸친 세기가 "신속하게" 변하지 않기 때문이다. 이러한 경우, 특정 라인의 라인-폭은 그 공칭 값으로부터 변동할 수 있다. 라인-폭의 변동성(variability)이 라인-폭 거칠기(LWR)에 의해 측정되며, 부연하면 LWR은 공칭 라인폭으로부터의 피처 라인 폭의 계측이다. 따라서, LWR이 감소하면, ILS가 증가함을 알 수 있으며, 그 반대로도 가능하다(LWR 및 ILS는 항상 서로 비례적으로 변동하지 않을 수 있음). 패터닝 디바이스 패턴의 조밀 피처들의 통상적인 이미징 거동(imaging behavior)은 도 11에 나타낸 바와 같이 포커스에 걸쳐 일반적으로 비감응적 CD 반응이다. 하지만, 도 11에 나타낸 바와 같이, ILS는 동일한 포커스 범위에 걸쳐 상당한 변화를 보일 수 있다. 또한, 작은 ILS는 라인들을 따라 큰 LWR을 야기할 것이다. 그러므로, LWR을 최소화하기 위해,ILS가 최대화되어야 한다.
특정 포커스에 대해, 피처들에 대한 CD가 실질적으로 동일하더라도 상이한 조밀 피처들 간에 LWR의 차이가 존재할 수 있음이 발견되었다. 특히, 수평 조밀 피처들 및 수직 조밀 피처들(이때, 이 경우에서 수평 및 수직은 패터닝 디바이스 패턴들의 이미징에서 통상적으로 이해되는 것과 동일한 평면을 지칭함)은, 수평 및 수직 피처들에 대한 CD가 실질적으로 동일하더라도 상이한 LWR을 가질 수 있다. 따라서, 동일한 피치 및 패터닝 디바이스 패턴 CD의 수평 라인들 및 수직 라인들은 상이한 콘트라스트(ILS) 및 상이한 LWR을 가질 수 있다. 따라서, 동일한 평균 CD를 갖는 상이한 조밀 구조체들(예를 들어, 수평 및 수직 조밀 라인들)은 상이한 ILS로 인해 상이한 LWR을 겪을 수 있다. "조밀"이라는 용어는 "이격(isolated)"에 대해 상대적이다. 그러므로, 예를 들어 주기적인 1D 라인들 및 공간들에 대해, L을 라인 폭이라 가정하고, S를 라인들 간의 공간이라고 가정하면, L/S 비 = 1:2, 1:1.5, 1:1 또는 미만(예를 들어, 1.25:1, 1.5:1, 2:1, 2.5:1, 3:1, 4:1, 등)을 갖는 피처들은, 예를 들어 L/S = 1:5를 갖는 이격 피처들에 대해 조밀한 것으로 고려될 수 있다. 다시 말해, 피처 크기가 인접한 피처들 간의 공간(간격)에 필적하는 경우(comparable), 피처들은 조밀한 것으로 간주된다. 간격이 증가하면, 피처가 더 이격된다.
수평 조밀 피처들 및 수직 피처들에 대한 특성의 차이가 수평-수직(HV) 바이어스로서 알려져 있다. HV 바이어스는 일반적으로 기판의 이미지에 왜곡을 야기할 수 있어, 허용될 수 없는 디바이스 고장을 야기하고, 공정 수율에 부정적인 영향을 준다. 또한, 너무 큰 LWR 또는 ILS 바이어스(예를 들어, LWR 또는 ILS HV 바이어스)는 라인 핀칭(line pinching)과 같은 핫스폿을 야기할 수 있고, 전기적 수율에 영향을 줄 수 있다. 따라서, 이 LWR 또는 ILS 바이어스는 낮은 k1 이미징에 대해 중대한 문제일 수 있다. 상이한(예를 들어, 수직 및 수평) 조밀 피처들 간에 관찰가능한 CD 차이가 존재하지 않을 수 있더라도, 두 피처들 간에 LWR 또는 ILS 바이어스가 존재할 수 있으며, 이에 따라 이는 이미징된 기판에 대해 기판 승인 테스트 부적격(substrate acceptance test failure)을 초래할 수 있다.
이미지에서의 라인-폭/ILS의 변동성(예를 들어, LWR 또는 ILS 바이어스)은 다양한 원인들로부터 발생할 수 있다. 예를 들어, 조명 모드의 변동(예를 들어, 파장의 변화, 조명 공간 세기 분포의 변동 등), 투영 시스템의 포커스의 변동, 투영 시스템의 하나 이상의 구성요소의 광학 수차, 존스 퓨필(Jones pupil)의 변동 등이 있다. 예를 들어, 조명 모드는 동일한 타겟 조명 모드 사양에 대해서라도 리소그래피 장치마다 다를 수 있다. 또한, 또 다른 예시에서, 수차들이 정의된 사양 내에 있다 하더라도, 투영 시스템 수차들은 리소그래피 장치 의존적이다.
특히, 조밀 피처들에 대해, 조명 모드 및 투영 시스템 수차는, 상이한 조밀 피처들(예를 들어, 수평 라인들 및 수직 라인들) 간에 CD 차이가 거의 관찰되지 않았다해도 LWR 또는 ILS에 대한 주 기여자일 수 있다. 조명 모드의 변동은 수직 조밀 피처들에 대해서보다 수평 조밀 피처들에 대해 LWR 또는 ILS의 더 큰 저하를 야기할 수 있다. 투영 시스템 수차는 몇몇 경우에서 LWR 또는 ILS 바이어스에 기여할 수 있으며, 다른 경우에서는 LWR 또는 ILS 바이어스에 실질적인 기여를 갖지 않는다. 일반적으로, 조명 모드에 의한 LWR 또는 ILS 바이어스에 대한 기여는 투영 시스템 수차의 기여보다 크다. 또한, 존스 퓨필은 LWR 또는 ILS 바이어스에 대한 기여자일 수 있다. 존스 퓨필 모드의 변동은 수평 조밀 피처들에 대해서보다 수직 조밀 피처들에 대해 LWR 또는 ILS의 더 큰 저하를 야기할 수 있다. 따라서, LWR 또는 ILS 바이어스는 조명 모드를 조정하고, 및/또는 투영 시스템 수차를 보상하며, 및/또는 존스 퓨필의 변동을 보상함으로써 보상될 수 있다.
따라서, 일 실시예에서, 리소그래피 장치의 하나 이상의 파라미터는 LWR 또는 ILS 바이어스를 보상하도록 조정될 수 있다. 일 실시예에서, 조명 모드는 (선택적으로, 방사선 소스를 포함하는) 조명 시스템의 파라미터를 조정함으로써 조정될 수 있다. 예를 들어, 본 명세서에 설명되는 조명 시스템의 공간 방사선 변조기는 조명 모드를 조정, 예를 들어 공간 세기 분포의 특성을 변화시켜, LWR 또는 ILS 바이어스를 감소시키는 데 사용될 수 있다.
일 실시예에서, 방사선의 파면이 조정될 수 있다. 예를 들어, 본 명세서에서 설명되는 바와 같은 위상 조정기는 파면의 특성을 변화시켜, 예를 들어 투영 시스템 수차를 보상함으로써 LWR 또는 ILS 바이어스를 감소시키는 데 사용될 수 있다. 도 12를 참조하면, 최적 포커스(dF = 0)에서 또한 디포커스(dF = +/-30 nm)에서의 개개의 제르니케에 대한 수평 및 수직 조밀 피처들에 대한 시뮬레이션된 ILS 바이어스가 도시된다. 도 12에 도시된 바와 같이, 기준 조건[공칭 조명 모드 및 공칭 투영 시스템 수차 + 역학(dynamics)]에서, 1200으로 나타낸 바와 같은 ILS HV 바이어스가 존재한다. 이 ILS HV 바이어스는 주로 조명 모드 오차에 의해 야기된다. 또한, 도 12는 1210에서 ILS HV 바이어스가 2θ 비점수차(astigmatism)(Z5)에 민감하고, 포커스를 통해 확대됨을 나타낸다. 따라서, 유효 보정은 파면에 2θ 비점수차(Z5)의 적용일 수 있다. 나타난 바와 같이, 하나 이상의 추가 또는 대안적인 제르니케를 이용한 보정이 가능하다(예를 들어, Z12, Z21 및 Z32). 하지만, 도 12에 나타난 바와 같이, ILS의 효과는 포커스에 비해 디포커스에서 매우 상이할 수 있다. 이에 따라, 이러한 제르니케의 사용은, 디포커스가 존재하는 경우 현저한 효과로 인해 효과적이지 않을 수 있다.
일 실시예에서, 기판이 이미징되는 포커스 값이 조정될 수 있다. 예를 들어, 투영 시스템의 비교적 고정된 포커스 지점에 대한 기판의 위치가 조정될 수 있고, 투영 시스템의 초점이 이동될 수 있으며, 어떤 포커스에서 패턴이 이미징되는지에 영향을 주기 위해 파면이 변경될 수 있는 등이 있다. 예를 들어, 파면 보정이 적용되는 경우, 기판은 ILS HV 바이어스를 감소시키기 위해 ILS의 특정 차이 변화를 얻도록 특정 디포커스에서 이미징될 수 있다. 예를 들어, 도 12에 나타낸 바와 같이, 제르니케 Z5는 상이한 포커스 값들에서 ILS의 상이한 변화를 갖는다. 그러므로, 예를 들어 2θ 비점수차(Z5) 보정이 적용되는 경우, 기판은 특정 디포커스 양에서 이미징될 수 있으며, 이는 2θ 비점수차(Z5)에 대한 그 디포커스에서 ILS의 상이한 변화를 이용한다.
일 실시예에서, 조명 모드에 의해 야기되는 LWR 또는 ILS 바이어스가 통상적으로 제르니케에 의해(즉, 파면 변형에 의해) 변화될 수 있는 LWR 또는 ILS 바이어스보다 크다고 간주하면, 퓨필 오차를 최소화하기 위해, 예를 들어 조명 시스템의 공간 방사선 변조기를 이용하여 조명 모드를 조정하는 것이 제안된다. 이후, 파면을 변경(예를 들어, 앞서 설명된 바와 같이, 위상 조정기를 통해 2θ 비점수차를 적용)함으로써 및/또는 포커스의 미세 조절을 통해 효과를 확대시킴으로써, 추가 보정이 적용될 수 있다. 조명 모드 및/또는 파면 변형을 이용하면, CD 및/또는 공정 윈도우 성능에 크게 영향을 주지 않고 조밀 피처들의 LWR 또는 ILS 바이어스가 보정될 수 있다.
조명 모드, 파면 및/또는 포커스 변형이 설명되었지만, LWR 또는 ILS 바이어스를 보정하기 위해 리소그래피 장치의 하나 이상의 추가적인 또는 대안적인 파라미터가 변형될 수 있다. 예를 들어, 적절하다면, 도즈, 파장, 개구수(NA), 역학 등이 고려 및 조정될 수 있는 파라미터들일 수 있다.
조명 모드, 파면, 포커스 및/또는 다른 리소그래피 장치 또는 공정 파라미터의 적절한 변형에 도달하기 위해, 시뮬레이션이 수행될 수 있다. 이에 따라, 시뮬레이션에서는, 조밀 피처들의 LWR 제어를 가능하게 하기 위해 최적화 알고리즘의 비용 함수에 ILS 또는 LWR이 포함될 수 있다. 예를 들어, 비용 함수는 조밀 피처들의 LWR 또는 ILS 바이어스를 최소화할 수 있다. 또 다른 예시에서, 비용 함수는 매칭 함수(matching function)를 실행할 수 있으며, 이는, 예를 들어 상이한 리소그래피 장치들 등에 사용되는 것과 같이, 상이한 패터닝 디바이스 상에서 동일한 패턴에, 조밀 피처들의 다양한 세트들의 LWR 또는 ILS 바이어스 간의 차이를 최소화한다. 비용 함수는 식별된 특정 피처들(때로는 임계 피처 또는 핫 스폿이라고도 함)에 대해 적용될 수 있으며, 이는 사용자에 의해 식별될 수 있거나, 시스템에 의해 식별될 수 있다.
하나 이상의 리소그래피 장치 또는 공정 파라미터(예를 들어, 조명 모드, 파면, 포커스 등)가, 예를 들어 하나 이상의 파라미터에 대한 최적 세트의 값에 도달하기 위해 시뮬레이션의 일부로서 변동됨은 물론이다. ILS 외에도, 비용 함수는 CD와 같은 다른 계측을 포함할 수 있다. 이에 따라, 예를 들어 타겟으로부터의 패턴의 특정 피처들에 대한 CD 차이가 LWR 또는 ILS 바이어스의 최적화와 연계하여 최소화될 수 있다. 따라서, ILS는 CD 및 LWR을 동시에 또는 순차적으로 매칭하기 위해 타겟으로부터 CD 차이를 최소화하는 비용 함수의 일부분으로서 포함될 수 있다. 비용 함수들 및 최적화 기술들의 예시들이 본 명세서에 설명된다.
일 예시로서, ASML 패턴 매처 시스템(Pattern Matcher system)(하드웨어 및/또는 소프트웨어)은 일 세트의 리소그래피 장치 파라미터들을 이용하여 임계 피처들에 대한 타겟으로부터의 CD 편차를 최소화하며, 이 임계 피처들은 사용자에 의해 식별될 수 있고, 및/또는 시스템에 의해 자체적으로 식별될 수 있다. 예를 들어, 시스템은 패턴 내의 임계 구조체들의 CD 차이를 최소화할 수 있다. 예를 들어, 시스템은 리소그래피 장치들 간의(즉, 매칭) 임계 구조체들의 CD 차이를 최소화할 수 있다. 이러한 시스템은 LWR을 제어하기 위해 그 최적화 알고리즘 내에 ILS 또는 LWR을 포함함으로써 확장될 수 있다.
이후, 결과적인 파라미터 값들이 적절한 제어 시스템에 (예를 들어, 적절한 제어 시스템에 적절한 신호로서) 제공될 수 있어, 특정 파라미터가 리소그래피 장치의 적용가능한 구성요소(예를 들어, 위상 조정기, 공간 방사선 변조기 등)에 의해 조정될 수 있다.
이에 따라, 일 실시예에서, 시뮬레이션은 제조 시스템의 하나 이상의 파라미터를 제어함으로써 LWR을 감소 또는 매칭하는 방법을 포함할 수 있다. 예를 들어, 일 실시예에서, 본 방법은 이미지 평면에서 선택되는 복수의 평가 지점들의 각각에서 패턴 내의 피처들의 세트에 대해 ILS을 수신 또는 결정하는 단계, 패턴 내의 수평 피처들의 세트에 대한 ILS와 패턴 내의 수직 피처들의 세트에 대한 ILS 간의 차이에 기초하여 ILS의 바이어스 함수를 결정하는 단계, 및 LWR을 감소시키면서 바이어스 함수를 최적화하기 위해, 리소그래피 파라미터를 조절하는 단계를 포함할 수 있다. 일 실시예에서, 리소그래피 파라미터는 공간 세기 분포 특성과 같은 조명 모드의 특성을 포함할 수 있다. 일 실시예에서, 리소그래피 파라미터는 파면 특성을 포함할 수 있다.
일 실시예에서, 본 방법은 조명 소스에 의해 형성된 패턴 내의 상이한 피처들의 세트에 대한 이미지 로그 슬로프(ILS) 값을 수신하는 단계; 및 LWR(예를 들어, LWR 바이어스)을 감소시키도록 상이한 피처들의 ILS 값들 간의 바이어스를 최적화하기 위해 리소그래피 시스템 파라미터를 조절하는 단계를 포함할 수 있다. 일 실시예에서, 본 방법은 리소그래피 공정에서 패터닝 디바이스를 이용하여 기판 상으로 이미징될 패턴의 복수의 상이한 피처들의 각각의 피처에 대한 LWR 및 이미지 로그 슬로프(ILS)의 값을 수신하는 단계; 및 리소그래피 파라미터 및 LWR 및/또는 ILS의 값들을 포함하는 비용 함수를 평가하여, (i) 상이한 피처들의 LWR 및/또는 ILS 간의 바이어스를 감소시키는, 또는 (ⅱ) 상이한 리소그래피 장치들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시키는, 또는 (ⅲ) 상이한 패터닝 디바이스들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시키는, 또는 (iv) (i) 내지 (ⅲ)으로부터 선택된 여하한의 조합으로, 리소그래피 파라미터의 값을 결정하는 단계를 포함한다.
도 13은 리소그래피 시스템에서 라인-폭 거칠기를 제어하는 공정의 일 실시예를 예시한다. 블록 1310에서, 이미지 평면에서 선택되는 복수의 평가 지점들의 각각에서 패턴 내의 피처들의 세트에 대한 ILS 또는 LWR가 결정 또는 수신된다. 블록 1320에서, ILS 또는 LWR에 대한 바이어스가 패턴의 상이한 피처에 대한 ILS 또는 LWR 간의, 예를 들어 수평 피처들의 ILS 또는 LWR과 수직 피처들에 대한 ILS 또는 LWR 간의 차이에 기초하여 결정된다. 블록 1330에서, 예를 들어 LWR(예를 들어, LWR 바이어스)이 감소되거나, 바이어스가 (예를 들어 리소그래피 장치들 간에) 매칭되도록 바이어스를 최적화하는 리소그래피 파라미터의 값이 결정될 수 있다. 이는 바이어스를 최적화하기 위해 리소그래피 파라미터를 조절함으로써 수행될 수 있다. 예를 들어, (i) 상이한 피처들의 LWR 및/또는 ILS 간의 바이어스를 감소시키는, 또는 (ⅱ) 상이한 리소그래피 장치들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시키는, 또는 (ⅲ) 상이한 패터닝 디바이스들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시키는, 또는 (iv) (i) 내지 (ⅲ)으로부터 선택된 여하한의 조합으로, 리소그래피 파라미터의 값을 결정하기 위해, 리소그래피 파라미터 및 LWR 및/또는 ILS의 값들을 포함하는 비용 함수가 평가될 수 있다. 일 실시예에서, 리소그래피 파라미터는 공간 세기 분포 특성과 같은 조명 모드의 특성을 포함할 수 있다. 일 실시예에서, 리소그래피 파라미터는 파면 특성을 포함할 수 있다. 1340에서, 리소그래피 파라미터가 적용되며, 예를 들어 적절한 리소그래피 장치 구성요소를 이용하여 타겟 조명 모드 및/또는 파면이 적용될 수 있다.
앞서 언급된 바와 같이, 수평 피처들에 대한 ILS 또는 LWR 및 수직 피처들에 대한 ILS 또는 LWR은 전반적인 ILS 또는 LWR 피크에서 상이할 수 있다. 이 차이는 바이어스 함수를 이용하여 정의될 수 있다. 본 명세서에서 설명되는 바와 같이, 이 차이는 (예를 들어, 사용 시 투영 시스템 내의 다양한 광학 요소들의 가열에 의해 야기되는) 광학 수차들에 의해 또는 공간 세기 분포의 형상의 변동에 의해 야기될 수 있다. 피처 지오메트리를 신뢰성 있게 달성하고 공정 수율을 개선하기 위해, 바이어스 함수를 최적화(즉, 수평 피처들에 대한 ILS 또는 LWR과 수직 피처들에 대한 ILS 또는 LWR 간의 차이를 최소화)하는 것이 바람직하다.
요약하면, 패턴의 수평 조밀 피처들에 대한 라인-폭 거칠기와 수직 조밀 피처들에 대한 라인-폭 거칠기 간의 차이가 최소화되도록 라인-폭 거칠기를 최적화함으로써 제조 공정의 수율을 개선하는 기술이 제공된다. 리소그래피에서 조밀 피처들의 중대한 문제는 수평 조밀 피처들과 수직 피처들에 대한 LWR 간의 차이이다. 이러한 차이는 기판에 비신뢰 및 비일관적 피처 재현을 야기할 수 있음에 따라, 기판 승인 테스트에서 부적격을 야기할 수 있다. 이러한 차이는 공정 시 적절한 리소그래피 장치, 예를 들어 기판에 투영되는 파면 및/또는 조명 모드를 적절히 조절함으로써 보상될 수 있다.
앞서 설명된 바와 같이, 디자인 레이아웃의 하나 이상의 부분이 식별될 수 있으며, 이는 임계 피처 또는 핫 스폿이라고 칭해진다. 일 실시예에서, 임계 피처 또는 핫 스폿의 일 세트가 추출되고, 이는 디자인 레이아웃 내의 복잡한 패턴들을 나타낸다(예를 들어, 약 50 내지 1000 개의 임계 피처 또는 핫 스폿이 사용되지만, 여하한의 수의 임계 피처 또는 핫 스폿이 사용될 수 있음). 해당 기술분야의 당업자라면 이해하는 바와 같이, 이러한 임계 피처 또는 핫 스폿은 디자인의 작은 부분들(즉, 회로, 셀 또는 패턴)을 나타내며, 특히 임계 피처 또는 핫 스폿은 각별한 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다. 임계 피처 또는 핫 스폿은 경험에 의해(사용자에 의해 제공되는 임계 피처 또는 핫 스폿을 포함함), 시행착오에 의해, 또는 풀-칩 시뮬레이션 실행에 의해 식별될 수 있다.
본 발명의 일 실시예는 본 명세서에 기재된 바와 같은 방법을 구현하는 기계-판독가능한 명령어들의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다. 또한, 기계 판독가능한 명령어는 2 이상의 컴퓨터 프로그램들로 구현될 수 있다. 2 이상의 컴퓨터 프로그램들은 하나 이상의 상이한 메모리 및/또는 데이터 저장 매체에 저장될 수 있다.
본 명세서에서 설명되는 여하한의 제어기들은 각각 또는 조합하여, 하나 이상의 컴퓨터 프로그램이 리소그래피 장치의 적어도 하나의 구성요소 내에 위치되는 하나 이상의 컴퓨터 프로세서에 의해 판독되는 경우에 작동가능할 수 있다. 제어기들은 각각 또는 조합하여, 신호들을 수신, 처리 및 송신하는 여하한의 적절한 구성을 가질 수 있다. 하나 이상의 프로세서가 제어기들 중 적어도 하나와 통신하도록 구성된다. 예를 들어, 각각의 제어기가 앞서 설명된 방법들에 대한 기계-판독가능한 명령어들을 포함하는 컴퓨터 프로그램들을 실행하는 하나 이상의 프로세서를 포함할 수 있다. 제어기들은 이러한 컴퓨터 프로그램들을 저장하는 데이터 저장 매체, 및/또는 이러한 매체를 수용하는 하드웨어를 포함할 수 있다. 이에 따라, 제어기(들)는 하나 이상의 컴퓨터 프로그램의 기계 판독가능한 명령어들에 따라 작동할 수 있다.
본 발명은 다음의 조항들을 이용하여 더 설명될 수 있다:
1. 리소그래피 장치에서 라인-폭 거칠기(LWR)를 조정하는 컴퓨터-구현 방법에서,
리소그래피 공정에서 패터닝 디바이스를 이용하여 기판 상으로 이미징될 패턴의 복수의 상이한 피처들의 각각의 피처에 대한 LWR 및/또는 이미지 로그 슬로프(image log slope: ILS)의 값을 수신하는 단계; 및
리소그래피 파라미터 및 LWR 및/또는 ILS의 값들을 포함하는 비용 함수를 평가하여, (i) 상이한 피처들의 LWR 및/또는 ILS 간의 바이어스를 감소시키는, 또는 (ⅱ) 상이한 리소그래피 장치들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시키는, 또는 (ⅲ) 상이한 패터닝 디바이스들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시키는, 또는 (iv) (i) 내지 (ⅲ)으로부터 선택된 여하한의 조합으로, 리소그래피 파라미터의 값을 결정하는 단계를 포함한다.
2. 조항 1의 방법에서, 평가는 상이한 피처들의 LWR 및/또는 ILS 간의 바이어스를 감소시킨다.
3. 조항 1의 방법에서, 평가는 상이한 리소그래피 장치들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시킨다.
4. 조항 1의 방법에서, 평가는 상이한 패터닝 디바이스들 간의 상이한 피처들의 LWR 및/또는 ILS의 차이를 감소시킨다.
5. 조항 1 내지 4 중 어느 한 조항의 방법에서, 비용 함수는 피처들의 임계 치수(CD)를 더 포함하고, 평가는 타겟에 대한 CD의 차이를 감소시킨다.
6. 조항 1 내지 5 중 어느 한 조항의 방법에서, 리소그래피 파라미터는 패터닝 디바이스에 적용되는 방사선 빔의 조명 모드를 포함한다.
7. 조항 6의 방법에서, 리소그래피 파라미터는 조명 모드의 공간 세기 분포 특성을 포함한다.
8. 조항 1 내지 7 중 어느 한 조항의 방법에서, 리소그래피 파라미터는 파면 특성을 포함한다.
9. 조항 1 내지 8 중 어느 한 조항의 방법에서, 평가된 리소그래피 파라미터는 비점수차를 포함한다.
10. 조항 1 내지 9 중 어느 한 조항의 방법에서, 평가된 리소그래피 파라미터는 포커스를 포함한다.
11. 조항 1 내지 10 중 어느 한 조항의 방법에서, 리소그래피 공정의 초점심도를 통해 비용 함수를 평가하는 단계를 포함한다.
12. 조항 1 내지 11 중 어느 한 조항의 방법에서, 상이한 피처들은 각각 수평 조밀 피처 및 수직 조밀 피처이다.
13. 리소그래피 시스템에서 라인-폭 거칠기(LWR)를 조정하는 컴퓨터-구현 방법에서,
조명 소스에 의해 형성되는 패턴 내의 상이한 피처들의 세트에 대한 이미지 로그 슬로프(ILS) 값을 수신하는 단계; 및
LWR을 감소시키도록 상이한 피처들의 ILS 값들 간의 바이어스를 최적화하기 위해 리소그래피 시스템 파라미터를 조절하는 단계를 포함한다.
14. 조항 13의 방법에서, 리소그래피 파라미터는 패터닝 디바이스에 적용되는 방사선 빔의 조명 모드를 포함한다.
15. 조항 14의 방법에서, 리소그래피 파라미터는 조명 모드의 공간 세기 분포 특성을 포함한다.
16. 조항 14 또는 15의 방법에서, 리소그래피 파라미터를 조절하는 단계는 리소그래피 시스템의 조명 시스템에서 빔 경로 내의 개별적으로 제어가능한 복수의 요소들을 조정하는 단계를 포함한다.
17. 조항 13 내지 16 중 어느 한 조항의 방법에서, 리소그래피 파라미터는 파면 특성을 포함한다.
18. 조항 17의 방법에서, 파면 특성은 비점수차를 포함한다.
19. 조항 17 또는 18의 방법에서, 리소그래피 파라미터를 조절하는 단계는 리소그래피 시스템의 광학 시스템에서의 광학 수차를 보상하는 단계를 포함한다.
20. 조항 17 내지 19 중 어느 한 조항의 방법에서, 리소그래피 파라미터를 조절하는 단계는 투영 시스템 내의 광학 요소를 국부적으로 가열하는 단계를 포함한다.
21. 조항 13 내지 20 중 어느 한 조항의 방법에서, 리소그래피 파라미터는 포커스를 포함한다.
22. 조항 21의 방법에서, 리소그래피 파라미터를 조절하는 단계는 패턴이 기판에 이미징되는 포커스 위치를 조정하는 단계를 포함한다.
23. 조항 13 내지 22 중 어느 한 조항의 방법에서, 상이한 피처들은 패턴 내의 수평 피처들의 세트 및 패턴 내의 수직 피처들의 세트를 포함한다.
24. 조항 13 내지 23 중 어느 한 조항의 방법에서, 이미지 평면에서 선택되는 복수의 평가 지점들의 각각에서 피처들의 세트에 대한 ILS를 결정하는 단계를 더 포함한다.
25. 조항 13 내지 24 중 어느 한 조항의 방법에서, 상이한 피처들 간의 LWR 바이어스를 감소시키도록 상이한 피처들의 ILS 값들 간의 바이어스를 최적화하기 위해 리소그래피 시스템 파라미터를 조절하는 단계를 포함한다.
26. 조항 13 내지 25 중 어느 한 조항의 방법에서, 상이한 피처들은 조밀 피처들이다.
27. 프로세서가 조항 1 내지 26 중 어느 한 조항의 방법을 수행하도록 유도하는 컴퓨터 프로그램이 저장된 비-일시(non-transitory) 데이터 저장 매체.
이상, 광학 리소그래피와 관련하여 실시예들의 특정 사용예를 언급하였지만, 본 발명의 일 실시예는 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피는 기판 상에 생성되는 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트로부터 이동되고, 레지스트가 경화된 후에 그 안에 패턴을 남긴다.
또한, 본 명세서에서는 IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한 번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 칭할 수도 있다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 355, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
이러한 실시예들의 특정 요소들이 알려진 구성요소들을 이용하여 부분적으로 또는 전체적으로 구현될 수 있는 경우, 실시예들의 이해에 필요한 이러한 알려진 구성요소들의 그 부분들만이 설명되며, 이러한 알려진 구성요소들의 다른 부분들의 자세한 설명은 실시예들의 설명을 모호하지 않게 하기 위해 생략된다. 본 명세서에서, 단수의 구성요소를 나타내는 실시예가 제한하는 것으로 고려되지 않아야 한다; 오히려, 본 명세서에서 달리 명시적으로 언급되지 않는다면, 복수의 동일한 구성요소를 포함하는 다른 실시예들을 포함하도록 그 범위가 의도되며, 그 반대로도 가능하다. 또한, 범위는 예시의 방식으로 본 명세서에 언급된 구성요소들에 대해 현재 및 미래에 알려질 등가물을 포괄한다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 리소그래피의 결과들 및/또는 공정들이 기판 상의 디자인 레이아웃의 더 높은 투영 정확도, 더 큰 공정 윈도우 등과 같은 더 바람직한 특성들을 갖도록 리소그래피 투영 장치 또는 공정을 조정하는 것을 의미한다.
임계 치수(CD)는 라인 또는 홀의 최소 폭, 또는 2 개의 라인 또는 2 개의 홀 간의 최소 간격으로서 정의될 수 있다; 따라서, CD는 통상적으로 설계된 디바이스의 전체 크기 및 밀도를 결정한다.
앞선 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수 있다는 것을 분명히 알 것이다. 예를 들어, 하나 이상의 실시예의 하나 이상의 실시형태가, 적절하다면, 하나 이상의 다른 실시예의 하나 이상의 실시형태와 조합 또는 치환될 수 있다. 그러므로, 이러한 응용예 및 변형예들은 본 명세서에 나타낸 교시 및 안내에 기초하여, 기재된 실시예들의 균등물의 의미 및 범위 내에 있도록 의도된다. 본 명세서에서, 어구 또는 전문 용어는 예시에 의한 설명을 위한 것이며 제한하려는 것이 아니므로, 당업자라면 본 명세서의 전문 용어 또는 어구가 교시 및 안내를 고려하여 해석되어야 한다는 것을 이해하여야 한다. 본 발명의 범위와 폭은 상술된 예시적인 실시예들 중 어느 것에 의해서도 제한되지 않아야 하며, 다음의 청구항 및 그 균등물에 따라서만 정의되어야 한다.

Claims (15)

  1. 리소그래피 장치에서 라인-폭 거칠기(line-width roughness: LWR)를 조정하는 컴퓨터-구현 방법에 있어서,
    리소그래피 공정에서 패터닝 디바이스를 이용하여 기판 상으로 이미징될 패턴의 복수의 상이한 피처(feature)들의 각각의 피처에 대한 LWR 또는 이미지 로그 슬로프(image log slope: ILS)의 값을 수신하는 단계; 및
    리소그래피 파라미터 및 LWR 또는 ILS의 값들을 포함하는 비용 함수(cost function)를 평가하여, (i) 상기 상이한 피처들의 LWR 또는 ILS 간의 바이어스(bias)를 감소시키는, 또는 (ⅱ) 상이한 리소그래피 장치들 간의 상기 상이한 피처들의 LWR 또는 ILS의 차이를 감소시키는, 또는 (ⅲ) 상이한 패터닝 디바이스들 간의 상기 상이한 피처들의 LWR 또는 ILS의 차이를 감소시키는, 또는 (iv) (i) 내지 (ⅲ)으로부터 선택된 여하한의 조합으로, 상기 리소그래피 파라미터의 값을 결정하는 단계를 포함하는 컴퓨터-구현 방법.
  2. 제 1 항에 있어서,
    상기 평가는 상기 상이한 피처들의 LWR 또는 ILS 간의 바이어스를 감소시키거나, 상기 평가는 상기 상이한 리소그래피 장치들 간의 상기 상이한 피처들의 LWR 또는 ILS의 차이를 감소시키거나, 상기 평가는 상기 상이한 패터닝 디바이스들 간의 상기 상이한 피처들의 LWR 또는 ILS의 차이를 감소시키는 컴퓨터-구현 방법.
  3. 제 1 항에 있어서,
    상기 비용 함수는 상기 피처들의 임계 치수(CD)를 더 포함하고, 상기 평가는 타겟에 대한 임계 치수(CD)의 차이를 감소시키는 컴퓨터-구현 방법.
  4. 제 1 항에 있어서,
    상기 리소그래피 파라미터는 상기 패터닝 디바이스에 적용되는 방사선 빔의 조명 모드를 포함하거나, 상기 리소그래피 파라미터는 상기 조명 모드의 공간 세기 분포 특성을 포함하는 컴퓨터-구현 방법.
  5. 제 1 항에 있어서,
    상기 리소그래피 파라미터는 파면 특성을 포함하는 컴퓨터-구현 방법.
  6. 제 1 항에 있어서,
    평가된 리소그래피 파라미터는 비점수차(astigmatism)를 포함하거나, 평가된 리소그래피 파라미터는 포커스를 포함하는 컴퓨터-구현 방법.
  7. 제 1 항에 있어서,
    상기 리소그래피 공정의 초점심도(depth of focus)를 통해 상기 비용 함수를 평가하는 단계를 포함하는 컴퓨터-구현 방법.
  8. 리소그래피 시스템에서 라인-폭 거칠기(LWR)를 조정하는 컴퓨터-구현 방법에 있어서,
    조명 소스에 의해 형성되는 패턴 내의 상이한 피처들의 세트에 대한 이미지 로그 슬로프(ILS) 값을 수신하는 단계; 및
    라인-폭 거칠기(LWR)를 감소시키도록 상기 상이한 피처들의 이미지 로그 슬로프(ILS) 값들 간의 바이어스를 최적화하기 위해 리소그래피 시스템 파라미터를 조절하는 단계를 포함하는 컴퓨터-구현 방법.
  9. 제 8 항에 있어서,
    상기 리소그래피 시스템 파라미터는 패터닝 디바이스에 적용되는 방사선 빔의 조명 모드를 포함하거나, 상기 리소그래피 시스템 파라미터는 조명 모드의 공간 세기 분포 특성을 포함하는 컴퓨터-구현 방법.
  10. 제 9 항에 있어서,
    상기 리소그래피 시스템 파라미터를 조절하는 단계는 상기 리소그래피 시스템의 조명 시스템에서 빔 경로 내의 개별적으로 제어가능한 복수의 요소들을 조정하는 단계를 포함하는 컴퓨터-구현 방법.
  11. 제 8 항에 있어서,
    상기 리소그래피 시스템 파라미터는 파면 특성을 포함하는 컴퓨터-구현 방법.
  12. 제 11 항에 있어서,
    상기 리소그래피 시스템 파라미터를 조절하는 단계는 상기 리소그래피 시스템의 광학 시스템에서의 광학 수차를 보상하는 단계를 포함하거나, 상기 리소그래피 시스템 파라미터를 조절하는 단계는 투영 시스템 내의 광학 요소를 국부적으로 가열하는 단계를 포함하는 컴퓨터-구현 방법.
  13. 제 8 항에 있어서,
    상기 리소그래피 시스템 파라미터는 포커스를 포함하고, 상기 리소그래피 시스템 파라미터를 조절하는 단계는 상기 패턴이 기판에 이미징되는 포커스 위치를 조정하는 단계를 포함하는 컴퓨터-구현 방법.
  14. 제 8 항에 있어서,
    이미지 평면에서 선택되는 복수의 평가 지점들의 각각에서 상기 피처들의 세트에 대한 ILS를 결정하는 단계를 더 포함하는 컴퓨터-구현 방법.
  15. 프로세서가 제 1 항 내지 제 14 항 중 어느 한 항의 방법을 수행하도록 유도하는 컴퓨터 프로그램이 저장된 비-일시(non-transitory) 데이터 저장 매체.
KR1020167033522A 2014-05-02 2015-04-08 조밀 피처들의 핫스폿들의 감소 KR101901729B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461988029P 2014-05-02 2014-05-02
US61/988,029 2014-05-02
PCT/EP2015/057573 WO2015165699A1 (en) 2014-05-02 2015-04-08 Reduction of hotspots of dense features

Publications (2)

Publication Number Publication Date
KR20170002517A KR20170002517A (ko) 2017-01-06
KR101901729B1 true KR101901729B1 (ko) 2018-09-28

Family

ID=52811133

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167033522A KR101901729B1 (ko) 2014-05-02 2015-04-08 조밀 피처들의 핫스폿들의 감소

Country Status (5)

Country Link
US (1) US9946166B2 (ko)
KR (1) KR101901729B1 (ko)
CN (1) CN106255925B (ko)
TW (1) TWI654494B (ko)
WO (1) WO2015165699A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107111240A (zh) * 2014-12-17 2017-08-29 Asml荷兰有限公司 使用图案形成装置形貌引入的相位的方法和设备
JP6827785B2 (ja) * 2016-11-30 2021-02-10 キヤノン株式会社 インプリント装置、インプリント方法、および物品の製造方法
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
CN110879511B (zh) * 2019-11-28 2021-05-28 昆山龙腾光电股份有限公司 薄膜的定点去除装置、显示基板及其制作方法
CN115598923B (zh) * 2022-12-12 2023-03-21 华芯程(杭州)科技有限公司 一种光掩膜优化方法及装置、电子设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100617909B1 (ko) 2003-02-11 2006-09-13 에이에스엠엘 네델란즈 비.브이. 리소그래피장치 및 포토리소그래피 시뮬레이션을 이용하여조명소스를 최적화시키는 방법
JP2007212617A (ja) 2006-02-08 2007-08-23 Fuji Xerox Co Ltd 画像形成装置
US20120052418A1 (en) 2010-08-31 2012-03-01 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002134395A (ja) 2000-10-25 2002-05-10 Sony Corp 半導体装置の製造方法および半導体装置の製造システム
SG110121A1 (en) 2003-09-10 2005-04-28 Asml Netherlands Bv Method for exposing a substrate and lithographic projection apparatus
CN102662309B (zh) 2005-09-09 2014-10-01 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
JP4812470B2 (ja) * 2006-03-08 2011-11-09 ルネサスエレクトロニクス株式会社 フォトマスクおよび露光方法
JP2007317847A (ja) 2006-05-25 2007-12-06 Nikon Corp 露光装置及びデバイス製造方法
US7525640B2 (en) 2006-11-07 2009-04-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4700672B2 (ja) * 2006-11-08 2011-06-15 エーエスエムエル マスクツールズ ビー.ブイ. ライン幅粗さおよびレジストパターン不良を予測する方法、プログラム、および装置、ならびにそのリソグラフィシミュレーションプロセスでの使用
NL2009982A (en) * 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100617909B1 (ko) 2003-02-11 2006-09-13 에이에스엠엘 네델란즈 비.브이. 리소그래피장치 및 포토리소그래피 시뮬레이션을 이용하여조명소스를 최적화시키는 방법
JP2007212617A (ja) 2006-02-08 2007-08-23 Fuji Xerox Co Ltd 画像形成装置
US20120052418A1 (en) 2010-08-31 2012-03-01 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope

Also Published As

Publication number Publication date
CN106255925A (zh) 2016-12-21
CN106255925B (zh) 2019-03-15
KR20170002517A (ko) 2017-01-06
TW201546567A (zh) 2015-12-16
WO2015165699A1 (en) 2015-11-05
US9946166B2 (en) 2018-04-17
TWI654494B (zh) 2019-03-21
US20170052455A1 (en) 2017-02-23

Similar Documents

Publication Publication Date Title
US20220147665A1 (en) Process window optimizer
US20200218849A1 (en) Computational wafer inspection
KR102269301B1 (ko) 리소그래피 방법 및 리소그래피 장치
TWI616716B (zh) 用於調適圖案化器件之設計的方法
TWI682473B (zh) 處理參數之間接判定
KR102087310B1 (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
TWI636334B (zh) 使用圖案化裝置形貌誘導相位之方法及設備
KR102132373B1 (ko) 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
KR102059018B1 (ko) 패터닝 공정 오차를 보정하는 장치 및 방법
TWI767163B (zh) 用於預測藉由掃描電子顯微鏡(sem)進行後續掃描之缺陷位置之方法、用於檢測晶圓之系統、及相關電腦程式產品
KR101901729B1 (ko) 조밀 피처들의 핫스폿들의 감소
KR102585099B1 (ko) 측정 방법 및 장치
KR20180072768A (ko) 패터닝 공정 오차를 보정하는 장치 및 방법
KR20170096004A (ko) 패터닝 디바이스 토포그래피 유도 위상을 이용하는 장치 및 방법
KR20170096002A (ko) 패터닝 디바이스 토포그래피 유도 위상을 이용하는 장치 및 방법
TW201632984A (zh) 使用圖案化裝置形貌誘導相位之方法及設備
KR20170095360A (ko) 패터닝 디바이스 토포그래피 유도 위상을 이용하는 장치 및 방법
TWI833388B (zh) 判定效能參數分布及/或相關聯分位數函數之方法以及相關聯電腦程式

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
GRNT Written decision to grant