TWI682473B - 處理參數之間接判定 - Google Patents

處理參數之間接判定 Download PDF

Info

Publication number
TWI682473B
TWI682473B TW105132691A TW105132691A TWI682473B TW I682473 B TWI682473 B TW I682473B TW 105132691 A TW105132691 A TW 105132691A TW 105132691 A TW105132691 A TW 105132691A TW I682473 B TWI682473 B TW I682473B
Authority
TW
Taiwan
Prior art keywords
substrate
processing parameter
features
lithography
value
Prior art date
Application number
TW105132691A
Other languages
English (en)
Other versions
TW201717297A (zh
Inventor
王德勝
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201717297A publication Critical patent/TW201717297A/zh
Application granted granted Critical
Publication of TWI682473B publication Critical patent/TWI682473B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Abstract

本文中揭示一種方法,其包含:自藉由一圖案化程序所生產之一基板之一部分量測該圖案化程序之一可直接量測處理參數之一值;獲得該可直接量測處理參數與一不可直接量測處理參數之間的一關係;及自該可直接量測處理參數之該值及該關係判定該不可直接量測處理參數之一值。

Description

處理參數之間接判定
本發明係關於一種改良圖案化程序(諸如半導體製造程序)之效能之方法。該方法可結合微影裝置而使用。
微影裝置為將所要圖案施加至基板之目標部分上之機器。微影裝置可用於(例如)積體電路(IC)製造中。在彼情況下,被替代地稱作光罩或倍縮光罩之圖案化器件可用以產生對應於(例如)IC之個別層之圖案,且此圖案可成像至具有輻射敏感材料(抗蝕劑)層之基板(例如,矽晶圓)之目標部分(例如,包含晶粒之部分、一個晶粒或若干晶粒)上。一般而言,單一基板將含有經順次地曝光之鄰近目標部分之網路。已知的微影裝置包括:所謂的步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂的掃描器,其中藉由在給定方向(「掃描」方向)上經由光束而掃描圖案同時平行或反平行於此方向而同步地掃描基板來輻照每一目標部分。
在將圖案自圖案化器件轉印至基板之前,基板可經歷各種工序,諸如上底漆、抗蝕劑塗佈,及軟烘烤。在曝光之後,基板可經受其他工序,諸如曝光後烘烤(PEB)、顯影、硬烘烤,及經轉印電路圖案之量測/檢測。此工序陣列用作製成一器件(例如,IC)之個別層的基礎。基板可接著經歷 各種程序,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械拋光等等,該等程序皆意欲精整器件之個別層。若在器件中需要若干層,則針對每一層重複整個工序或其變體。最終,在基板上之每一目標部分中將存在一器件。接著藉由諸如切割或鋸切之技術來使此等器件彼此分離,由此,可將個別器件安裝於載體上、連接至銷釘等等。
因此,製造諸如半導體器件之器件通常涉及使用數個製作程序來處理基板(例如,半導體晶圓)以形成該等器件之各種特徵及多個層。通常使用(例如)沈積、微影、蝕刻、化學機械拋光及離子植入來製造及處理此等層及特徵。可在一基板上之複數個晶粒上製作多個器件,且接著將其分離成個別器件。此器件製造程序可被認為是圖案化程序。圖案化程序涉及用以將圖案化器件上之圖案轉印至基板之圖案化步驟,諸如使用微影裝置中之圖案化器件之光學及/或奈米壓印微影,且圖案化程序通常但視情況涉及一或多個相關圖案處理步驟,諸如由顯影裝置進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻裝置而使用圖案進行蝕刻等等。
為了監測圖案化程序之一或多個步驟,檢測經圖案化基板且量測經圖案化基板之一或多個參數。一或多個參數可包括(例如)形成於經圖案化基板中或上之順次層之間的疊對誤差、用以將圖案曝光至基板上之焦點、用以將圖案曝光至基板上之劑量,及/或形成於基板上之圖案之臨界尺寸(例如,線寬)。可對基板自身之器件產品部分之目標及/或對提供於基板上之專用度量衡目標執行此量測。存在用於對在微影程序中形成之微觀結構進行量測的各種技術,包括使用掃描電子顯微鏡及/或各種特殊化量測工具。
本文中揭示一種方法,其包含:自藉由一圖案化程序所生產之一基板之一部分量測該圖案化程序之一或多個可直接量測處理參數之值,該一或多個可直接量測處理參數包含為經設計為具功能性之一器件之部分的一特徵之一特性;獲得該一或多個可直接量測處理參數與一不可直接量測處理參數之間的一關係;及由一電腦系統自該一或多個可直接量測處理參數之該等值及該關係判定該不可直接量測處理參數之一值。
根據一實施例,該一或多個可直接量測處理參數包含該基板上之一特徵之一臨界尺寸(CD)。
根據一實施例,該特徵為該基板上之一抗蝕劑影像中之一特徵。
根據一實施例,該特徵為一熱點(hot spot)。
根據一實施例,該不可直接量測處理參數為該基板之該部分被曝光之一焦點。
根據一實施例,該不可直接量測處理參數為該基板之該部分被曝光之一劑量。
根據一實施例,自一資料庫擷取、藉由一實驗來建立或藉由模擬來建立該關係。
根據一實施例,自該一或多個可直接量測處理參數之該等值之一中位數判定該不可直接量測處理參數之該值。
根據一實施例,該部分相比於一臨限值具有含有一缺陷之一較高機率。
根據一實施例,該部分含有一熱點。
根據一實施例,自該部分中之一或多個特徵量測該一或多個可直接量測處理參數之該等值。
根據一實施例,該方法進一步包含驗證該關係。
根據一實施例,驗證該關係包含獲得該部分之一影像。
根據一實施例,由一掃描電子顯微鏡或一電子束檢測工具獲得該影像。
根據一實施例,驗證該關係包含判定該一或多個可直接量測處理參數之該等值被量測之部位。
根據一實施例,該方法進一步包含在該等部位處量測該一或多個可直接量測處理參數之該等值。
根據一實施例,量測該一或多個可直接量測處理參數之該等值包含自該影像提取邊緣部位。
根據一實施例,該特徵不為一度量衡目標之部分。
本文中揭示一種製造器件之方法,其中使用一圖案化程序將一器件圖案施加至一系列基板,該方法包括使用如本文中所描述之一方法來至少量測該等基板中之至少一者上之該器件圖案,及根據藉由該方法所判定的一不可直接量測處理參數之一值而針對稍後基板控制該圖案化程序。
本文中揭示一種系統,其包含:一檢測裝置,其經組態以將一光束提供於一基板上之一器件圖案上且偵測由該器件圖案重導向之輻射;及如本文中所揭示之一非暫時性電腦程式產品。在一實施例中,該系統進一步包含一微影裝置,該微影裝置包含:一支撐結構,其經組態以固持用以調變一輻射光束之一圖案化器件;及一投影光學系統,其經配置以將該經調變輻射光束投影至一輻射敏感基板上。
本文中揭示一種方法,其包含:獲得藉由一微影程序而形成於一基板上之多個特徵或圖案之一特性之值;獲得該特性與該微影程序之一處理 參數之間的一關係;基於該特性之該等值及該關係而針對該等特徵或圖案中之每一者判定該處理參數之值;及由一硬體電腦系統自該處理參數之該等值判定一統計特性。
根據一實施例,該特性係關於該等特徵或圖案之幾何學。
根據一實施例,該特性為一CD或經正規化CD。
根據一實施例,該特性係關於該等特徵或圖案相對於該基板或相對於彼此之部位。
根據一實施例,該處理參數包含該等特徵或圖案之一劑量。
根據一實施例,該處理參數包含該等特徵或圖案之一焦點。
根據一實施例,該統計特性為該處理參數之該等值之一平均數、一方差或標準偏差。
根據一實施例,該方法進一步包含針對藉由該相同微影程序或一另外微影程序所形成之特徵或圖案而自該處理參數之值獲得一另外統計特性。
根據一實施例,該方法進一步包含判定該統計特性及該另外統計特性是否滿足或不滿足一準則。
根據一實施例,該方法進一步包含在該統計特性及該另外統計特性滿足或不滿足該準則的情況下調整或校準一微影程序。
本文中揭示一種電腦程式產品,其包含經記錄有指令之一電腦可讀媒體,該等指令在由一電腦執行時實施本文中所揭示之方法中之任一者。
100‧‧‧電腦系統
102‧‧‧匯流排
104‧‧‧處理器
105‧‧‧處理器
106‧‧‧主記憶體
108‧‧‧唯讀記憶體(ROM)
110‧‧‧儲存器件
112‧‧‧顯示器
114‧‧‧輸入器件
116‧‧‧游標控制件
118‧‧‧通信介面
120‧‧‧網路鏈路
122‧‧‧區域網路
124‧‧‧主機電腦
126‧‧‧網際網路服務提供者(ISP)
128‧‧‧網際網路
130‧‧‧伺服器
210‧‧‧步驟
220‧‧‧步驟
230‧‧‧步驟
510‧‧‧步驟
520‧‧‧步驟
530‧‧‧步驟
540‧‧‧步驟
550‧‧‧步驟
560‧‧‧步驟
570‧‧‧步驟
610‧‧‧步驟
620‧‧‧步驟
630‧‧‧步驟
8010‧‧‧工序
8020‧‧‧關係
8030‧‧‧值
8040‧‧‧統計特性
8050‧‧‧統計特性
8060‧‧‧工序
8070‧‧‧工序
9010‧‧‧工序
9020‧‧‧關係
9030‧‧‧值
9040‧‧‧統計特性
9050‧‧‧統計特性
9060‧‧‧工序
9070‧‧‧工序
a‧‧‧特徵
A‧‧‧跡線/特徵
AD‧‧‧調整器
AS‧‧‧對準感測器
b‧‧‧特徵
B‧‧‧輻射光束(圖1)/跡線/特徵(圖7A/圖7B)
BD‧‧‧光束遞送系統
BK‧‧‧烘烤板
c‧‧‧特徵
C‧‧‧目標部分(圖1)/跡線/特徵(圖7A/圖7B)
CH‧‧‧冷卻板
CO‧‧‧聚光器
d‧‧‧特徵
DE‧‧‧顯影器
I/O1‧‧‧輸入/輸出埠
I/O2‧‧‧輸入/輸出埠
IF‧‧‧位置感測器
IL‧‧‧照明系統/照明器
IN‧‧‧積光器
LA‧‧‧微影裝置
LACU‧‧‧微影控制單元
LB‧‧‧裝載匣
LC‧‧‧微影製造單元
LS‧‧‧位階感測器
M1‧‧‧圖案化器件對準標記
M2‧‧‧圖案化器件對準標記
MA‧‧‧圖案化器件
MET‧‧‧度量衡系統
MT‧‧‧圖案化器件支撐結構/圖案化器件支撐件
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一定位器
PS‧‧‧投影系統
PW‧‧‧第二定位器
RF‧‧‧參考框架
RO‧‧‧基板處置器或機器人
SC‧‧‧旋塗器
SCS‧‧‧監督控制系統
SO‧‧‧輻射源
TCU‧‧‧塗佈顯影系統控制單元
W‧‧‧基板
WTa‧‧‧基板台
WTb‧‧‧基板台
圖1示意性地描繪根據一實施例之微影裝置。
圖2示意性地展示根據一實施例之流程。
圖3展示在曝光及顯影之後的基板之部分之影像之實例。
圖4展示針對特徵a、b、c及d之CD與焦點之間的關係之實例。
圖5展示根據一實施例之用於實例方法之流程圖。
圖6展示可如何量測經選擇特徵之CD之實例。
圖7A展示針對多個圖案之經正規化CD與劑量之關係。
圖7B展示可使用另一微影程序將相同圖案製作至另一基板上。
圖7C示意性地展示微影程序或不同微影程序之四個不同部分之統計特性(例如,平均數E及標準偏差σ)。
圖8示意性地展示根據一實施例之用於方法之流程。
圖9示意性地展示根據一實施例之用於方法之流程。
圖l0示意性地描繪微影製造單元(lithographic cell)或叢集(cluster)之實施例。
圖11為實例電腦系統之方塊圖。
圖1示意性地描繪微影裝置LA。該裝置包含:- 照明系統(照明器)IL,其經組態以調節輻射光束B(例如,DUV輻射或EUV輻射);- 支撐結構(例如,光罩台)MT,其經建構以支撐圖案化器件(例如,光罩)MA,且連接至經組態以根據某些參數來準確地定位該圖案化器件之第一定位器PM;- 基板台(例如,晶圓台)WTa,其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以根據某些參數來準確地定位該基板之第二定位器PW;及 - 投影系統(例如,折射或反射投影系統)PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上。
照明系統可包括用於導向、塑形或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。
圖案化器件支撐結構MT以取決於圖案化器件之定向、微影裝置之設計及其他條件(諸如圖案化器件是否被固持於真空環境中)的方式來固持圖案化器件。圖案化器件支撐結構可使用機械、真空、靜電或其他夾持技術以固持圖案化器件。圖案化器件支撐結構可為(例如)框架或台,其可根據需要而固定或可移動。圖案化器件支撐結構可確保圖案化器件(例如)相對於投影系統處於所要位置。可認為本文中對術語「倍縮光罩」或「光罩」之任何使用皆與更一般之術語「圖案化器件」同義。
本文中所使用之術語「圖案化器件」應被廣泛地解譯為係指可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的任何器件。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂的輔助特徵,則該圖案可不確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中所產生之器件(諸如積體電路)中之特定功能層。
圖案化器件可為透射的或反射的。圖案化器件之實例包括光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合式光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之 每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。
本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用皆與更一般之術語「投影系統」同義。
照明系統及/或投影系統可涵蓋用於導向、塑形或控制輻射光束的各種類型之光學組件,包括折射、反射及反射折射光學組件,且此等組件亦可在下文中被集體或單獨地稱作「透鏡」。
如此處所描繪,該裝置屬於透射類型(例如,使用透射光罩)。替代地,該裝置可屬於反射類型(例如,使用如上文所提及之類型之可程式化鏡面陣列,或使用反射光罩)。
微影裝置可屬於具有兩個(雙載物台)或多於兩個台(例如,兩個或多於兩個基板台、兩個或多於兩個圖案化器件支撐結構,或基板台及度量衡台)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。
微影裝置亦可屬於如下類型:其中基板之至少一部分可由具有相對高折射率之液體(例如,水)覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影裝置中之其他空間,例如,光罩與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增加投影系統之數值孔徑。如本文中所使用之術語「浸潤」並不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂液體在曝光期間位於投影系統與基板之間。
參看圖1,照明器IL自輻射源SO接收輻射光束。舉例而言,當源為準分子雷射時,源及微影裝置可為分離的實體。在此等狀況下,不認為源形成微影裝置之部分,且輻射光束係憑藉包括(例如)合適導向鏡面及/或光束擴展器之光束遞送系統BD而自源SO傳遞至照明器IL。在其他狀況下,舉例而言,當源為水銀燈時,源可為微影裝置之整體部分。源SO及照明器IL連同光束遞送系統BD(在需要時)可被稱作輻射系統。
照明器IL可變更光束之強度分佈。照明器IL可包括經組態以調整輻射光束之角強度分佈之調整器AD。通常,可調整照明器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。照明器可經配置以限制輻射光束之徑向範圍,使得在照明器IL之光瞳平面中之環形區內的強度分佈為非零。另外或替代地,照明器IL可操作以限制光束在光瞳平面中之分佈,使得在光瞳平面中之複數個相等隔開區段中的強度分佈為非零。輻射光束在照明器IL之光瞳平面中之強度分佈可被稱作照明模式(illumination mode)。照明器IL可操作以變化光束之角分佈。舉例而言,照明器可操作以變更強度分佈為非零的光瞳平面中之區段之數目及角範圍。藉由調整光束在照明器之光瞳平面中之強度分佈,可達成不同照明模式。舉例而言,藉由限制照明器IL之光瞳平面中之強度分佈之徑向範圍及角範圍,強度分佈可具有多極分佈,諸如偶極、四極或六極分佈。可(例如)藉由將提供所要照明模式之光學件插入至照明器IL中或使用空間光調變器來獲得彼照明模式。
照明器IL可操作以變更光束之偏振且可操作以使用調整器AD來調整偏振。橫越照明器IL之光瞳平面的輻射光束之偏振狀態可被稱作偏振模式(polarization mode)。使用不同偏振模式可允許在形成於基板W上之影像 中達成較大對比度。輻射光束可為非偏振的。替代地,照明器可經配置以使輻射光束線性地偏振。輻射光束之偏振方向可橫越照明器IL之光瞳平面而變化。輻射之偏振方向在照明器IL之光瞳平面中之不同區中可不同。可取決於照明模式來選擇輻射之偏振狀態。對於多極照明模式,輻射光束之每一極之偏振可大體上垂直於照明器IL之光瞳平面中的彼極之位置向量。舉例而言,對於偶極照明模式,輻射可在實質上垂直於平分偶極之兩個對置區段之線的方向上線性地偏振。輻射光束可在兩個不同正交方向中之一者上偏振,其可被稱作X偏振狀態及Y偏振狀態。對於四極照明模式,每一極之區段中之輻射可在實質上垂直於平分彼區段之線的方向上線性地偏振。此偏振模式可被稱作XY偏振。相似地,對於六極照明模式,每一極之區段中之輻射可在實質上垂直於平分彼區段之線的方向上線性地偏振。此偏振模式可被稱作TE偏振。
另外,照明器IL通常包含各種其他組件,諸如積光器IN及聚光器CO。照明器提供經調節輻射光束B,其在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於圖案化器件支撐件(例如,光罩台)MT上之圖案化器件(例如,光罩)MA上,且係由該圖案化器件圖案化。在已橫穿圖案化器件(例如,光罩)MA的情況下,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器IF(例如,干涉量測器件、線性編碼器、2-D編碼器或電容式感測器),可準確地移動基板台WTa,例如,以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器(其未在圖1中被明確地描繪)可用以(例如)在自光罩庫之機械擷取之後或在掃 描期間相對於輻射光束B之路徑來準確地定位圖案化器件(例如,光罩)MA。一般而言,可憑藉形成第一定位器PM之部分之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現圖案化器件支撐件(例如,光罩台)MT之移動。相似地,可使用形成第二定位器PW之部分之長衝程模組及短衝程模組來實現基板台WTa之移動。在步進器(相對於掃描器)之狀況下,圖案化器件支撐件(例如,光罩台)MT可僅連接至短衝程致動器,或可固定。
可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩)MA及基板W。儘管如所說明之基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在多於一個晶粒被提供於圖案化器件(例如,光罩)MA上的情形中,圖案化器件對準標記可位於該等晶粒之間。小對準標記物亦可包括於器件特徵當中之晶粒內,在此狀況下,需要使標記物儘可能地小且無需與鄰近特徵不同的任何成像或程序條件。下文進一步描述偵測對準標記之對準系統。
投影系統PS具有可為非均一的光學轉移函數(optical transfer function),其可影響成像於基板W上之圖案。對於非偏振輻射,此等效應可由兩個純量映圖(scalar map)相當良好地描述,該兩個純量映圖描述射出投影系統PS之輻射依據其光瞳平面中之位置而變化的透射(變跡)及相對相位(像差)。可將可被稱作透射映圖及相對相位映圖之此等純量映圖表達為基底函數全集之線性組合。特別適宜的集合為任尼克(Zernike)多項式,其形成單位圓上所定義之正交多項式集合。每一純量映圖之判定可涉及判定此展開式中之係數。由於任尼克多項式在單位圓上正交,故可藉由依次 計算經量測純量映圖與每一任尼克多項式之內積且將此內積除以彼任尼克多項式之範數之平方來判定任尼克係數。
透射映圖及相對相位映圖係場及系統相依的。亦即,一般而言,每一投影系統PS將針對每一場點(亦即,針對投影系統PS之影像平面中之每一空間部位)具有一不同任尼克展開式。可藉由通過投影系統PS投影輻射(例如,來自投影系統PS之物件平面(亦即,圖案化器件MA之平面)中之類點源)且使用剪切干涉計以量測波前(亦即,具有相同相位之點之軌跡)而判定投影系統PS在其光瞳平面中之相對相位。剪切干涉計為共同路徑干涉計,且因此,有利地,無需次級參考光束來量測波前。剪切干涉計可包含:繞射光柵,例如,投影系統之影像平面(亦即,基板台WT)中之二維柵格;及偵測器,其經配置以偵測與投影系統PS之光瞳平面共軛的平面中之干涉圖案。干涉圖案係與輻射之相位相對於在剪切方向上之光瞳平面中之座標的導數相關。偵測器可包含感測元件陣列,諸如電荷耦合器件(CCD)。
可在兩個垂直方向上依序地掃描繞射光柵,該兩個垂直方向可與投影系統PS之座標系統之軸線(x及y)重合或可與此等軸線成諸如45度之角度。可遍及整數個光柵週期(例如,一個光柵週期)執行掃描。掃描使在一個方向上之相位變化達到平均值,從而允許重新建構在另一方向上之相位變化。此允許依據兩個方向而判定波前。
微影裝置之投影系統PS可不產生可見條紋,且因此,可使用相位步進技術(諸如移動繞射光柵)來增強波前之判定之準確度。可在繞射光柵之平面中及在垂直於量測之掃描方向的方向上執行步進。步進範圍可為一個光柵週期,且可使用至少三個(均一分佈)相位步進。因此,舉例而言,可 在y方向上執行三個掃描量測,每一掃描量測係針對在x方向上之不同位置而執行。繞射光柵之此步進將相位變化有效地變換成強度變化,從而允許判定相位資訊。光柵可在垂直於繞射光柵之方向(z方向)上步進以校準偵測器。
可藉由通過投影系統PS投影輻射(例如,來自投影系統PS之物件平面(亦即,圖案化器件MA之平面)中之類點源)且使用偵測器來量測與投影系統PS之光瞳平面共軛的平面中之輻射強度而判定投影系統PS在其光瞳平面中之透射(變跡)。可使用與用以量測波前以判定像差之偵測器為同一個的偵測器。
投影系統PS可包含複數個光學(例如,透鏡)元件且可進一步包含一調整機構,該調整機構經組態以調整該等光學元件中之一或多者以便校正像差(橫越貫穿場之光瞳平面之相位變化)。為了達成此校正,調整機構可操作而以一或多種不同方式操控投影系統PS內之一或多個光學(例如,透鏡)元件。投影系統可具有一座標系統,其中投影系統之光軸在z方向上延伸。調整機構可操作以進行以下各者之任何組合:使一或多個光學元件位移;使一或多個光學元件傾斜;使一或多個光學元件變形;及/或改變一或多個光學元件之光學屬性(諸如折射率)。光學元件之位移可在任何方向(x、y、z或其組合)上進行。光學元件之傾斜通常在垂直於光軸之平面外,藉由圍繞在x及/或y方向上之軸線旋轉而進行,但對於非旋轉對稱之非球面光學元件可使用圍繞z軸之旋轉。光學元件之變形可包括低頻形狀(例如,像散)及/或高頻形狀(例如,自由形式非球面)。可(例如)藉由使用一或多個致動器以對光學元件之一或多個側施加力及/或藉由使用一或多個熱轉移元件以加熱及/或冷卻光學元件之一或多個經選擇區來執行光學 元件之變形。可藉由熱轉移、輻照或將電供應至一或多個光學元件以致使一或多個光學元件之一或多個部分在光學材料屬性(諸如折射率)方面改變來實現一或多個光學元件之光學屬性(諸如折射率)之改變。
一般而言,可沒有可能調整投影系統PS以校正變跡(橫越光瞳平面之透射變化)。可在設計用於微影裝置LA之圖案化器件(例如,光罩)MA時使用投影系統PS之透射映圖。在使用計算微影技術的情況下,圖案化器件MA可經設計以至少部分地校正變跡。
所描繪裝置可用於以下模式中之至少一者中:
- 在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使圖案化器件支撐件(例如,光罩台)MT及基板台WTa保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WTa在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中所成像之目標部分C之大小。
- 在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描圖案化器件支撐件(例如,光罩台)MT及基板台WTa(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WTa相對於圖案化器件支撐件(例如,光罩台)MT之速度及方向。在掃描模式中,曝光場之最大大小限制單次動態曝光中之目標部分之寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。
- 在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使圖案化器件支撐件(例如,光罩台)MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WTa。在此模式中,通常使 用脈衝式輻射源,且在基板台WTa之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如上文所提及之類型之可程式化鏡面陣列)之無光罩微影。
亦可使用對上文所描述之使用模式之組合及/或變化或完全不同的使用模式。
微影裝置LA屬於所謂的雙載物台類型,其具有兩個台WTa、WTb(例如,兩個基板台)以及兩個站-曝光站及量測站-在該兩個站之間可交換該等台。舉例而言,在曝光站處曝光一個台上之基板的同時,可在量測站處將另一基板裝載至另一基板台上且進行各種預備步驟。預備步驟可包括使用位階感測器LS來映射基板之表面控制,及使用對準感測器AS來量測基板上之對準標記物之位置,兩個感測器係皆由參考框架RF支撐。若位置感測器IF在台處於量測站以及處於曝光站時不能夠量測台之位置,則可提供第二位置感測器以使得能夠在兩個站處追蹤台之位置。作為另一實例,在曝光站處曝光一個台上之基板時,不具有基板之另一台可在量測站處等待(其中視情況可發生量測活動)。此另一台具有一或多個量測器件且可視情況具有其他工具(例如,清潔裝置)。當基板已完成曝光時,不具有基板之台移動至曝光站以執行(例如)量測,且具有基板之台移動至卸載該基板且裝載另一基板所處之部位(例如,量測站)。此等多台配置實現裝置之產出率之相當大的增加。
如圖10所展示,微影裝置LA可形成微影製造單元LC(有時亦被稱作微影製造單元(lithocell)或叢集)之部分,微影製造單元LC亦包括用以對圖案化程序之基板執行預圖案印刷程序及後圖案印刷程序(亦即,在基板上 產生圖案之程序,其包括印刷圖案之步驟且視情況包括一或多個預印刷程序及後印刷程序,且可包括光學微影步驟、壓印步驟、蝕刻、抗蝕劑施加、抗蝕劑顯影、烘烤等等)之裝置。通常,此等裝置包括用以沈積一或多個抗蝕劑層之一或多個旋塗器SC、用以顯影經印刷抗蝕劑之一或多個顯影器DE、一或多個冷卻板CH及/或一或多個烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取一或多個基板,將該等基板在不同程序裝置之間移動且將其遞送至微影裝置之裝載匣LB。常常被集體地稱作塗佈顯影系統(track)之此等裝置係在塗佈顯影系統控制單元TCU之控制下,塗佈顯影系統控制單元TCU自身受到監督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU而控制微影裝置。因此,不同裝置可經操作以最大化產出率及處理效率。
為了正確地且一致地處理由微影裝置處理之基板,需要檢測經印刷基板以量測一或多個屬性,諸如後續層之間的疊對誤差、線厚度、用於曝光基板之焦點、用於曝光基板之劑量、臨界尺寸(CD)等等。因此,微影製造單元LC被定位之製造設施通常亦包括度量衡系統MET,度量衡系統MET收納已在微影製造單元中經處理之基板W中之一些或全部。度量衡系統MET可為微影製造單元LC之部分,例如,其可為微影裝置之部分。
可將度量衡結果直接或間接提供至監督控制系統SCS。若偵測到誤差,則可對後續基板之處理(尤其在可足夠迅速且快速地進行檢測以使得該批次之一或多個其他基板仍待曝光的情況下)及/或對經印刷基板之後續處理進行調整。又,已經印刷之基板可被剝離及重工以改良良率,或被捨棄,藉此避免對已知有疵點之基板執行進一步處理。在基板之僅一些目標部分有疵點之狀況下,可僅對良好的彼等目標部分執行進一步印刷。
在度量衡系統MET內,檢測裝置用以判定基板之一或多個屬性,且尤其判定不同基板之一或多個屬性如何變化或同一基板之不同層在不同層間如何變化。如上文所提到,檢測裝置可整合至微影裝置LA或微影製造單元LC中,或可為單機器件。為了實現快速量測,需要檢測裝置緊接在印刷之後量測抗蝕劑層中之一或多個屬性。然而,在光學微影中,抗蝕劑中之潛影具有低對比度-已經曝光至輻射之抗蝕劑之部分與未經曝光至輻射之抗蝕劑之部分之間僅存在極小折射率差-且並非所有檢測裝置具有足夠敏感度以對潛影進行有用的量測。因此,可在曝光後烘烤步驟(PEB)之後進行量測,該曝光後烘烤步驟(PEB)通常為對經印刷基板進行之第一步驟且增加(例如)抗蝕劑之經曝光部分與未經曝光部分之間的對比度。在此階段,抗蝕劑中之影像可被稱作半潛像(semi-latent)。亦有可能進行對經顯影抗蝕劑影像之量測-此時,抗蝕劑之經印刷部分或未經印刷部分已被移除-或在諸如蝕刻之圖案轉印步驟之後進行經顯影抗蝕劑影像之量測。後者可能性限制重工有疵點基板之可能性,但仍可提供有用資訊。在一實施例中,檢測裝置可為掃描電子顯微鏡。在一實施例中,檢測裝置可為以繞射為基礎之量測裝置(例如,散射計)。
因此,可在圖案化程序期間或之後檢測經歷圖案化程序之基板。舉例而言,可在諸如圖1所展示之微影裝置的微影裝置中曝光基板之後檢測基板。若檢測判定基板有缺陷,則可藉由重工基板來補救基板,且基板因此不會減低圖案化程序之良率。檢測可嘗試判定與已經在檢測之前發生之步驟相關聯的一或多個處理參數之值。圖案化程序之參數可被稱為「處理參數」。舉例而言,曝光後檢測可嘗試判定基板之部分被曝光之焦點、劑量及/或光學像差。並非所有處理參數始終可用於量測。舉例而言,當(例 如)微影裝置未被配備有可量測一或多個參數之感測器時,可不能在特定圖案化程序中量測彼一或多個處理參數。另一情形為:在量測時,一或多個處理參數可不再可用於或勝任於直接量測。舉例而言,在量測時,待量測之一或多個處理參數可與已經進行之特定步驟相關聯。根據一實施例,可自一或多個其他處理參數判定不能直接量測之此一或多個處理參數之值。
圖2示意性地展示根據一實施例之流程。在210中,量測可自基板直接量測之一或多個處理參數之值。處理參數「可自基板直接量測」意謂:在量測時,參數為基板上之實體物件之特性。舉例而言,可在曝光之後且在移除抗蝕劑層之前直接量測基板上之抗蝕劑層中潛伏之抗蝕劑影像中之特徵的臨界尺寸(CD)。在蝕刻基板且移除抗蝕劑層之後,抗蝕劑影像中之特徵之CD變得不可直接量測。然而,可自蝕刻至基板中之對應特徵之CD判定抗蝕劑影像中之特徵之CD。作為另一實例,在曝光之後不可直接量測抗蝕劑層被曝光所依據之焦點,但可自依據彼焦點所曝光之抗蝕劑影像中之特徵之CD判定該焦點。可直接量測處理參數之其他實例可包括:幾何參數,諸如基板上之圖案之橫截面剖面(例如,側壁角度、底部表面斜率等等);及統計參數,諸如缺陷數密度、良率、線邊緣粗糙度等等。實體物件可為將為經設計為具功能性之器件之部分而非度量衡目標之部分的特徵。為經設計為具功能性之器件之部分的特徵促成經設計為具功能性之器件之功能,而度量衡目標不會。度量衡目標係用於監測製作經設計為具功能性之器件之程序。特徵可為圖案(例如,線)或自身作為較大圖案之部分之圖案的組件。
在220中,獲得一或多個可直接量測處理參數與不可直接量測處理參 數之間的關係。舉例而言,可自資料庫擷取、可藉由實驗來建立或可藉由模擬來建立關係。在230中,自一或多個可直接量測處理參數之值及關係判定不可直接量測處理參數之值。一旦判定不可直接量測處理參數之值,就可基於彼值而對圖案化程序及用於該圖案化程序中之一或多個裝置(例如,微影裝置)進行調整(例如,用於另外基板之後續製造)。亦可使用不可直接量測處理參數之值,例如,以判定基板是否有缺陷或是否應被重工。
在一個實例中,一或多個可直接量測處理參數包括基板上之特徵之CD(例如,抗蝕劑影像中之特徵之CD),且不可直接量測處理參數為特徵被曝光所依據之焦點、劑量及/或光學像差。
圖3展示在曝光及顯影之後的基板之部分之影像之實例。自電子束檢測工具獲得影像。可自影像直接量測此部分中之特徵之CD。舉例而言,可在由白色正方形標記之部位處量測CD。在一實例中,比較影像與此部分之設計佈局以便識別CD將被量測之部位。在一實例中,CD被量測之特徵可為如下文中所描述之熱點,可自該部分之重疊程序窗判定該等熱點。該部分中之特徵可具有不同程序窗(亦即,處理參數之空間,將依據該空間而產生在規格內之特徵)。關於潛在系統性缺陷之規格之實例包括檢查頸縮、線拉回、線薄化、CD、邊緣置放、重疊、抗蝕劑頂部損耗、抗蝕劑底切及/或橋接。可藉由合併每一個別特徵之程序窗(例如,使該等程序窗重疊)來獲得該部分中之所有特徵之程序窗。所有特徵之程序窗之邊界含有個別特徵中之一些之程序窗之邊界。界定所有特徵之程序窗之邊界的此等個別特徵限制所有特徵之程序窗。此等特徵可被稱作「熱點」。
在一實施例中,該部分為基板上之經曝光晶粒。在一實施例中,該 部分為曝光晶粒之部分。亦即,在一實施例中,曝光晶粒可被細分成「像素」。因此,可針對晶粒之「像素」中之每一者或此等「像素」之子集重複本文中之技術。在一實施例中,該部分為用以曝光基板上之晶粒之曝光場(曝光隙縫)。在一實施例中,曝光場可被細分成「像素」。因此,可針對該場之「像素」中之每一者或此等「像素」之子集重複本文中之技術。在一實施例中,該部分係選自0.001平方微米至20平方微米之範圍,例如,在0.001平方微米至10平方微米之範圍內或在0.02平方微米至5平方微米之範圍內。
圖4展示針對基板之部分中之彼等特徵當中的四個特徵a、b、c及d之CD與焦點之間的關係(亦即,所描繪之線)之實例。亦即,在基板上在實質上相同程序條件下製成四個特徵a、b、c及d。可藉由在焦點之不同值下模擬CD來獲得關係(例如,空中數學模型可判定如由投影系統所曝光之圖案化器件圖案之空中影像,且抗蝕劑數學模型可基於空中影像來判定抗蝕劑層中之圖案)。可藉由查詢資料庫來獲得關係。可憑經驗來獲得關係。關係無需為用圖形表示之線;實情為,關係可為數學公式、與經模擬或經量測資料之數學擬合、被進行內插/外插之對應資料之資料表等等。
自圖3中之影像量測特徵a、b、c及d之CD(分別為CDa、CDb、CDc及CDd),且可使用關係以判定焦點之值(分別為Fa、Fb、Fc及Fd),特徵a、b、c及d係依據該等值而產生。舉例而言,值Fa為表示用於具有CDa之垂直座標之特徵a之關係的曲線上之點的水平座標。值Fa、Fb、Fc及Fd可不確切地相同,此係因為關係可具有誤差,CD之值可具有誤差,及/或特徵a、b、c及d被曝光所依據之焦點可稍微不同。可自值Fa、Fb、Fc及Fd導出用於該部分之焦點之值。舉例而言,可將在該部分中如此判定之所有值 之中位數視為用於該部分之焦點之值。
圖5展示根據一實施例之用於實例方法之流程圖。在510中,識別經受檢測之基板之部分。該部分可在相比於臨限值具有含有缺陷之較高機率之部分當中。舉例而言,該部分可為含有熱點之部分。該部分可由圖案化程序之操作者選擇。在520中,自該部分選擇一或多個特徵(理想地為複數個特徵)。一或多個經選擇特徵可包括一或多個熱點。在530中,獲得用於一或多個經選擇特徵中之每一者的該一或多個經選擇特徵之CD與適用的經選擇特徵被曝光所依據之焦點(作為不可直接量測處理參數之實例)之間的關係。可藉由模擬或憑經驗來獲得一或多個關係。在540中,視情況驗證一或多個關係。在550中,量測一或多個經選擇特徵中之每一者之CD之值。在560中,可自CD之一或多個經量測值及適用關係判定用於一或多個經選擇特徵中之每一者之焦點之值。在570中,可自用於一或多個經選擇特徵(理想地為複數個特徵)之焦點之值判定用於該部分之焦點之值。舉例而言,可將用於複數個經選擇特徵之焦點之值的中位數視為用於該部分之焦點之值。可將相似流程應用於一或多個其他不可直接量測處理參數,諸如劑量及/或光學像差。
圖6展示可如何在550中量測一或多個經選擇特徵之CD之實例。在610中,獲得該部分之影像。舉例而言,可由掃描電子顯微鏡或電子束檢測工具獲得影像。在620中,判定將量測CD所針對的一或多個經選擇特徵之部位。舉例而言,可藉由比較一或多個經選擇特徵與設計佈局來判定部位,且該部位可為很可能出現缺陷之部位(例如,熱點)。在630中,量測此一或多個部位處之CD。舉例而言,可藉由平均化一或多個部位附近之邊緣間距離來量測CD。舉例而言,可藉由提取邊緣位置來量測CD。
在一實施例中,當判定所關注的不可直接量測處理參數時在數學上移除一或多個其他不可直接量測處理參數之影響。舉例而言,若所關注的不可直接量測處理參數為焦點,則在組合可直接量測處理參數之值與可直接量測處理參數(例如,CD)與所關注的不可直接量測處理參數(例如,焦點)之間的關係時自可直接量測處理參數(例如,CD)之值移除劑量影響。彼可藉由如下方式而進行:經由在針對所關注的不可直接量測處理參數所評估之一或多個特徵經受一或多個其他不可直接量測處理參數之變化時量測此一或多個特徵來評估該一或多個特徵對該一或多個其他不可直接量測處理參數之敏感度。
在一實施例中,若用於複數個經評估特徵中之每一者之可直接量測處理參數之經判定值顯著地變化,則可不執行中位數分析且產生誤差信號。
為了識別對應於可直接量測處理參數與所關注的不可直接量測處理參數之間的關係且獲得可直接量測處理參數之一或多個值所針對的影像中之一或多個特徵,可藉由(例如)比較該影像中之結構之複數個量測與對應於該影像之設計佈局中之相關尺寸來比較該設計佈局與該影像,以識別該等影像中之一或多個特徵。在一實施例中,可針對一或多個特徵提供座標及/或形狀清單(例如,熱點清單)。
因此,在一實施例中,使用者可在產品上(亦即,在經設計為具功能性之器件上)選擇一或多個區域以用於監測不可直接量測處理參數(例如,焦點、劑量、像差等等)。該區域可與(例如,SEM之)電子束檢測成像場一樣小。可使用模擬以識別對不可直接量測處理參數(例如,焦點、劑量、像差等等)敏感之彼一或多個區域之一或多個熱點特徵。在一實施例 中,模擬判定用於一或多個區域內之一或多個特徵(例如,熱點特徵)之一或多個可直接量測處理參數(例如,CD)與不可直接量測處理參數之間的關係。在一實施例中,使用一或多個特徵來採取一或多個量測以判定或鑑定關係(例如,對於焦點/CD關係,可在各種散焦值下製備一或多個特徵,且接著量測CD以建立、校準及/或確認該關係)。
接著,在一實施例中,量測形成於基板上之器件圖案之影像(例如,電子束檢測工具影像捕捉)。在一實施例中,判定用於器件圖案之影像中之一或多個經選擇區域中之一或多個特徵(例如,一或多個熱點)的一或多個可直接量測處理參數(例如,CD)之值。舉例而言,在一實施例中,使用晶粒至(GDS)資料庫(D2DB)系統以判定影像內之一或多個特徵之CD值。在一實施例中,可基於影像中之一或多個特徵之經提取輪廓而經由模擬來得知CD值。
在使用一或多個可直接量測處理參數(例如,CD)之值的情況下,可針對一或多個特徵中之每一者(例如,一或多個熱點中之每一者)判定用於不可直接量測處理參數(例如,焦點、劑量等等)之經估計值。在一實施例中,評估複數個特徵,且因此獲得不可直接量測處理參數之複數個值。在一實施例中,在針對一或多個區域中之不同部位處之複數個特徵獲得複數個值的情況下,獲得用於不可直接量測處理參數之值之中位數作為用於該等區域(例如,整個影像)中之一或多者之不可直接量測處理參數之值的表示。在一實施例中,電子束檢測影像捕捉相對快速(例如,對於2×2微米之區域為~10毫秒),且因此有可能密集地量測/監測生產基板上之場間及場內兩者。
可出於各種目的而使用不可直接量測處理參數,該等目的包括:針 對(例如)缺陷監測一或多個區域;基板處理增強(例如,判定對器件特徵之焦點影響,判定與用於微影裝置中之焦點之讀數的差,及評估該差是否為系統性的(例如,經由量測具有相同器件圖案之複數個基板));實現工具間匹配(例如,將對一個微影裝置之器件特徵之焦點影響與對另一微影裝置之器件特徵之焦點影響匹配);圖案化程序之回饋或前饋控制;判定如自經監測度量衡及器件圖案所判定的不可直接量測處理參數之間的差;等等。
有利地,本文中之技術可解決與一或多種其他監測技術相關聯之問題。舉例而言,此等其他技術可依賴於來自大給定區域及/或器件圖案外部之量測;而本發明之技術未必受到如此約束。此外,舉例而言,此等其他技術依賴於固定的度量衡目標(例如,在圖案化器件場中);而本發明之技術未必受到如此約束。此外,舉例而言,此等其他技術依賴於可不符合用於圖案化器件生產之客戶OPC/設計規則之度量衡目標;而本發明之技術未必受到如此約束。
處理參數之一或多個統計特性可用作(例如)微影裝置之間的相似性之指示符,或用作(例如)微影裝置或微影程序之調整必要性之指示符。舉例而言,可出於此等目的而使用在曝光期間接收之圖案之劑量。如上文所解釋,處理參數可不為可直接量測的,但可使用與可直接量測處理參數之關係予以判定。
圖7A展示針對圖案之複數個特徵之經正規化CD與劑量之間的關係。在一實施例中,將特徵識別(例如,經由使用模擬)為劑量敏感-特徵之CD回應於劑量之改變而顯著地變化,例如,藉由使CD對劑量斜率高於某一臨限值。複數個特徵可包括在基板處之區域中之熱點。舉例而言,該區 域可為基板處曝光之器件晶粒,或可為用於器件晶粒之微影裝置之曝光場。經正規化CD係相對於特徵之各別目標CD而正規化。劑量為特徵個別地接收之劑量。劑量對於此等特徵可不相同。可藉由依據劑量而模擬經正規化CD來獲得關係。可使用微影程序(「程序A」)將特徵製作至基板上,且可藉由量測基板來獲得此等經製作特徵之CD(例如,DA0、DB0、DC0)。在使用關係(被標記為A、B及C之三個跡線)的情況下,可判定特徵之劑量。可判定劑量之統計特性。舉例而言,統計特性可為劑量之平均數、劑量之方差,或劑量之標準偏差。
圖7B展示可使用另外微影程序(「程序B」)將相同特徵製作至基板上。在一實施例中,程序A及程序B可具有基本上相同標稱微影參數。因此,在一實施例中,程序A可為參考程序,而程序B為生產程序、顯影程序等等。可藉由量測基板來獲得此等經製作特徵之CD(例如,DA1、DB1、DC1)。在使用與圖7A中之關係相同之關係(被標記為A、B及C之三個跡線)的情況下,可判定特徵之劑量。可判定劑量之統計特性。舉例而言,統計特性可為劑量之平均數、劑量之方差,或劑量之標準偏差。
統計特性可接著用於程序及/或裝置(包括圖案化器件及/或度量衡目標)修改、程序及/或裝置控制、程序及/或裝置設計,及/或程序及/或裝置監測。舉例而言,統計特性可用作(例如)程序A與程序B之間或分別用於其中之微影裝置之間的相似性之指示符,或用作(例如)程序A及程序B中之一者或兩者或用於其中之微影裝置之調整必要性之指示符。下文中呈現另外實例。
圖7C示意性地展示一或多個微影程序之四個執行(1、2、3及4)之統計特性(例如,平均數E及標準偏差σ)。在一實施例中,每一執行涉及具有 基本上相同標稱微影參數之微影程序。因此,在一實施例中,1、2、3及4可對應於使用微影程序所曝光之不同基板或可對應於同一基板之不同晶粒。因此,在一實施例中,結果1可為與結果2、3及4進行比較之參考。在一實施例中,每一執行對應於使用微影程序之基板晶粒掃描之部分,且因此,1、2、3及4可對應於沿著垂直於曝光場之掃描方向之寬度的不同位置;以此方式,舉例而言,可評估橫越曝光場之劑量均一性。在一實施例中,1、2、3及4各自對應於在處理參數上不同之微影程序;因此,結果1可對應於參考微影程序。在圖7C中可看出,結果2具有與結果1非常不同之E及σ;結果3具有與結果1相似之E,但具有與結果1相當不同之σ;結果4具有與結果1相似之E及相似之σ。因此,結果4在結果1、2及3當中與結果1最相似。因此,可分析結果1、2、3及4以判定結果1、2、3及/或4中之哪一者或多者以統計上顯著方式與一或多個其他結果1、2、3及/或4不同。舉例而言,可在該等結果之間應用臨限值以確立結果1、2、3及/或4中之哪一者或多者以顯著方式變化,使得其指示微影程序(或因此,部分)中與一或多個結果1、2、3及/或4相關聯之誤差。在結果1為參考的情況下,分析可集中於一或多個結果2、3及/或4是否以顯著方式變化,使得其指示微影程序(或因此,部分)中與一或多個結果2、3及/或4相關聯之誤差。
圖8示意性地展示根據一實施例之用於方法之流程。在工序8010中,獲得複數個特徵之特性之值,例如,藉由量測該等特徵。特性可為關於特徵之幾何學之特性,諸如CD或經正規化CD。特性可為關於特徵相對於其所處之基板或相對於彼此之部位之特性。在使用特性與處理參數(例如,劑量或焦點)之間的關係8020的情況下,可針對複數個特徵導出處理參數 之值8030。可自值8030判定統計特性8040。可比較統計特性8040與自其他值8030獲得之統計特性8050。在工序8060中,判定統計特性8040及8050是否滿足或不滿足準則。舉例而言,準則可為統計特性8040及8050之間的差之絕對值低於臨限值。若不滿足(或滿足)準則,則在工序8070中調整或校準微影程序。舉例而言,調整或校準微影程序可包括調整或校準用於微影程序中之微影裝置。因此,在此實施例中,值8030對應於使用微影程序之基板晶粒掃描之部分,且因此,該等值可對應於沿著在晶粒或曝光場之掃描方向上之寬度的不同位置。
圖9示意性地展示根據一實施例之用於方法之流程。在工序9010中,獲得藉由微影程序所製作之複數個特徵之特性之值,例如,藉由量測該等特徵。特性可為關於特徵之幾何學之特性,諸如CD或經正規化CD。特性可為關於特徵相對於其所處之基板或相對於彼此之部位之特性。在使用特性與處理參數(例如,劑量或焦點)之間的關係9020的情況下,可針對複數個特徵導出處理參數之值9030。可自值9030判定統計特性9040。可比較統計特性9040與自藉由另一微影程序所製作之特徵獲得之統計特性9050。在工序9060中,判定統計特性9040及9050是否滿足(或不滿足)準則。舉例而言,準則可為統計特性9040及9050之間的差之絕對值低於臨限值。若不滿足(或滿足)準則,則在工序9070中調整或校準微影程序中之一或多者。舉例而言,調整或校準微影程序中之一或多者可包括調整或校準用於此(等)程序中之微影裝置。因此,在此實施例中,每一執行可涉及具有基本上相同標稱微影參數之微影程序。因此,在一實施例中,值9030可對應於使用微影程序所曝光之不同基板或可對應於同一基板之不同晶粒。因此,在一實施例中,某些值9030可為與其他值9030進行比較 之參考。在一實施例中,值9030對應於在處理參數上不同之不同微影程序;因此,某些值9030可對應於參考微影程序。
在一實施例中,值8030及/或9030可與一或多個基板批量、一或多個圖案化器件、用於圖案化程序中之一或多個某些裝置、微影裝置中之一或多個組件等等相關,以識別是否由於識別出滿足或不滿足準則而出現誤差。在具有彼資訊的情況下,可適當地以校正動作為目標。
因此,此分析可用以判定諸如微影裝置之工具(例如)在效能方面是否漂移、是否不正確地(或正確地)執行等等。分析之結果可接著用以採取校正動作,諸如使用微影裝置內之調整裝置(例如,在處理參數為劑量的情況下,微影裝置中之光學工具可提供橫越曝光場之不同劑量校正)。另外或替代地,此分析可用以將第一工具與第二工具匹配(在一或多個結果/值對應於第一工具且一或多個其他結果/值對應於第二工具的情況下)。
在一實施例中,可藉由電腦模擬來判定用以產生對處理參數敏感之複數個特徵中之每一者之特性之目標值的處理參數(例如,劑量或焦點)之目標值。此等值可接著用以確認意欲校正處理參數之程序及/或工具(例如,ASML之DoseMapper工具)之有效性(及/或校準該程序及/或工具)。舉例而言,該程序及/或工具可使用在數目上有限之目標及/或可具有對處理參數之不同回應,且因此其與對處理參數敏感之特徵之差異可引起過補償/欠補償。因此,儘管複數個特徵不用於該程序及/或工具中之處理參數校正,但其可用以監測該程序及/或工具(以及用以監測如上文所論述之處理參數)。
詳言之,可接著產生複數個特徵,其中由該程序及/或工具應用一校正,該校正經設定以產生複數個特徵,其中每一特徵之特性具有各別目標 值。可接著量測複數個特徵之特性。若該程序及/或工具完全地有效,則彼等目標之經正規化特性之平均數應等於1且均方偏差應為0。當然,可允許容差。若彼等目標之經正規化特性之平均數不等於1(在適用的情況下在容差內)及/或均方偏差不等於1(在適用的情況下在容差內),則可基於經量測特性及該特性與處理參數之間的關係而對該程序及/或工具進行校正。作為另一實例,若該程序及/或工具不完全地有效,則可設定處理參數之不同監測值。舉例而言,經量測特性可與該特性與處理參數之間的關係一起使用以達到處理參數之值。若處理參數之值針對每一特徵大約相同,則處理參數之值可用作用於監測或控制之基礎。在該等值不相同的情況下,橫越曝光場、晶粒、基板等等之處理參數之空間指紋可用作用於監測或控制之基礎。
在一實施例中,可基於經選擇複數個特徵來判定統計特性之空間指紋、特徵之特性之空間指紋及/或橫越曝光場、晶粒、基板等等之處理參數之經導出值之空間指紋,且該空間指紋可用作用於監測或控制之基礎。舉例而言,可判定參考指紋,且接著可比較一個或後續指紋與該參考指紋以達到一差異。該差異可用作用於監測、控制等等之基礎。
因此,在一實施例中,識別(例如,經由電腦模擬)對參數(例如,劑量、焦點等等)敏感之複數個特徵(例如,圖7A及圖7B中之A、B、C)。此外,識別(例如,經由電腦模擬)特徵之特性(例如,CD)與參數之間的關係。此外,在一實施例中,對使用經很好校準之圖案化程序(例如,經很好校準之微影裝置)所處理之基板執行特徵之參考量測(例如,圖7A及圖7B中之DA0、DB0、DC0)。自該等關係,可判定用於每一特徵之參數(例如,劑量)之對應值,且該等對應值引起如下文中所描述之用於監測之一 或多個統計特性(例如,平均數及/或均方偏差)。此外,對(例如)一或多個生產基板上之特徵採取量測(例如,圖7A及圖7B中之DA1、DB1、DC1)。自該等關係,可判定用於對(例如)一或多個生產基板所量測之每一特徵之參數(例如,劑量)之對應值,且該等對應值引起一或多個統計特性(例如,平均數及/或均方偏差)。可接著比較該等統計特性以識別偏差。舉例而言,可在相同圖表上填入統計特性以識別偏差。舉例而言,統計特性可用於(例如)目標能量(劑量)之跨曝光場監測。作為另一實例,跨曝光場資訊可用於複數個基板中之每一基板以監測曝光場均一性改變。舉例而言,用於基板之平均數及/或均方偏差(例如,圖7C,其中1、2、3、4對應於基板)可指示自參考值之能量(劑量)漂移。接著,跨曝光場資訊(例如,圖7C,其中1、2、3、4對應於跨曝光場位置)可識別問題之部位。使用者可指定一或多個限制以觸發(例如)校準、運作中校正等等。
因此,經由本文中之技術,可存在處理參數(及/或器件圖案之特徵之特性)之有效監測。舉例而言,可提供針對CD、劑量、焦點等等的內嵌產品基板之有效曝光場及/或基板晶粒監測。此可藉由評估器件圖案內之特徵(諸如對處理參數敏感之特徵)而實現。此可引起生產基板之較快監測。此亦可導致藉由(例如)識別應何時執行校準或應何時進行內嵌校正而較富有成效地使用圖案化程序。
在一實施例中,用於本文中之技術中之特徵(例如,熱點特徵,其(例如)對諸如劑量及/或焦點之處理參數敏感)亦可遭受圖案化程序期間出現之問題或變化性。舉例而言,歸因於該程序之變化性,該特徵可不接近地反映特徵之特性(例如,CD)與處理參數(例如,焦點、劑量等等)之間的關係。另外或替代地,該特徵可變得有缺陷,且因此不會得到其一或多個特 性(例如,其經量測CD)之相關資料。因此,在一實施例中,除了可能地給出關於彼特定特徵之警告(例如,特徵變為真實缺陷之警告)以外,亦可自在同一區域內量測之特徵篩選出彼特徵。因此,該區域內之剩餘特徵仍可用以判定經量測部位之處理參數。因此,排除如上文剛剛所論述之某些特徵可實現較準確的處理參數判定(例如,藉由使用器件產品圖案上之區域中之複數個「良好」特徵)以及一或多個有缺陷特徵之報告。
圖11為說明可輔助實施本文中所揭示之方法及流程之電腦系統100的方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之處理器104(或多個處理器104及105)。電腦系統100亦包括耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令的主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106亦可用於在待由處理器104執行之指令之執行期間儲存暫時性變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令的唯讀記憶體(ROM)108或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件110,且儲存器件110耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102耦接至用於向電腦使用者顯示資訊之顯示器112,諸如陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入器件114耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制件116,諸如滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個軸線(第一軸線(例如,x)及第二軸線(例如,y))上之兩個自由 度,其允許該器件指定在平面中之位置。觸控面板(螢幕)顯示器亦可被用作輸入器件。
根據一個實施例,可由電腦系統100回應於處理器104執行主記憶體106中含有的一或多個指令之一或多個序列而執行本文中所描述之程序之部分。可將此等指令自另一電腦可讀媒體(諸如儲存器件110)讀取至主記憶體106中。主記憶體106中所含有之指令序列之執行引起處理器104執行本文中所描述之程序步驟。亦可使用呈多處理配置之一或多個處理器以執行主記憶體106中所含有之指令序列。在一替代實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,本文中之描述不限於硬體電路系統及軟體之任何特定組合。
各種形式之電腦可讀媒體可涉及將一或多個指令之一或多個序列攜載至處理器104以供執行。舉例而言,該等指令起初可被承載在遠端電腦之電腦可讀媒體上。遠端電腦可將該等指令載入至其動態記憶體中,且經由通信線路或以無線方式發送該等指令。電腦系統100可接收該等指令且將該等指令置放在匯流排102上。匯流排102將該等指令攜載至主記憶體106,處理器104自該主記憶體106擷取並執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存器件110上。
在一實施例中,電腦系統100包括耦接至匯流排102之通信介面118。通信介面118提供對網路鏈路120之雙向資料通信耦接,網路鏈路120連接至區域網路122。舉例而言,通信介面118可為整合式服務數位網路(ISDN)卡或數據機,以提供至對應通信線路之資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以提供至相容LAN之資料通信連接。亦可實施無線鏈路。在任何此實施中,通信介面118發送且接收攜 載表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路向其他資料器件提供資料通信。舉例而言,網路鏈路120可經由區域網路122向主機電腦124或向由網際網路服務提供者(ISP)126操作之資料設備提供連接。ISP 126又經由全球封包資料通信網路(現在通常被稱作「網際網路」128)而提供資料通信服務。區域網路122及網際網路128兩者皆使用攜載數位資料流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號(該等信號將數位資料攜載至電腦系統100及自電腦系統100攜載數位資料)為輸送資訊的載波之實例形式。
電腦系統100可經由網路、網路鏈路120及通信介面118發送訊息且接收包括程式碼之資料。在網際網路實例中,伺服器130可經由網際網路128、ISP 126、區域網路122及通信介面118而傳輸用於應用程式之經請求碼。一個此經下載應用程式可提供(例如)如本文中所描述之程序之一部分的實施例之執行。經接收碼可在其被接收時由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波之形式之應用程式碼。
可使用以下條項來進一步描述本發明:
1.一種方法,其包含:自藉由一圖案化程序所生產之一基板之一部分量測該圖案化程序之一可直接量測處理參數之一值,該可直接量測處理參數包含為經設計為具功能性之一器件之部分的一特徵之一特性;獲得該可直接量測處理參數與一不可直接量測處理參數之間的一關係;及 由一電腦系統自該可直接量測處理參數之該值及該關係判定該不可直接量測處理參數之一值。
2.如條項1之方法,其中該可直接量測處理參數包含該特徵之一臨界尺寸(CD)。
3.如條項1或條項2之方法,其中該特徵為該基板上之一抗蝕劑影像中之一特徵。
4.如條項1至3中任一項之方法,其中該特徵為一熱點。
5.如條項1至4中任一項之方法,其中該不可直接量測處理參數為該基板之該部分被曝光之一焦點。
6.如條項1至4中任一項之方法,其中該不可直接量測處理參數為該基板之該部分被曝光之一劑量。
7.如條項1至6中任一項之方法,其中自一資料庫擷取、藉由一實驗來建立或藉由模擬來建立該關係。
8.如條項1至7中任一項之方法,其中自該可直接量測處理參數之值之一中位數判定該不可直接量測處理參數之該值。
9.如條項1至8中任一項之方法,其中該部分相比於一臨限值具有含有一缺陷之一較高機率。
10.如條項1至9中任一項之方法,其中該部分含有一熱點。
11.如條項1至10中任一項之方法,其中自該部分中之一或多個特徵量測該可直接量測處理參數之該值。
12.如條項1至11中任一項之方法,其進一步包含驗證該關係。
13.如條項12之方法,其中驗證該關係包含獲得該部分之一影像。
14.如條項13之方法,其中由一掃描電子顯微鏡或一電子束檢測工具 獲得該影像。
15.如條項12至14中任一項之方法,其中驗證該關係包含判定一或多個可直接量測處理參數之值被量測之部位。
16.如條項15之方法,其進一步包含在該等部位處量測該一或多個可直接量測處理參數之該等值。
17.如條項16之方法,其中量測該一或多個可直接量測處理參數之該等值包含自該影像提取邊緣部位。
18.如條項1至17中任一項之方法,其中該特徵不為一度量衡目標之部分。
19.一種電腦程式產品,其包含經記錄有指令之一電腦可讀媒體,該等指令在由一電腦執行時實施如條項1至18中任一項之方法。
20.一種製造器件之方法,其中使用一圖案化程序將一器件圖案施加至一系列基板,該方法包括使用如條項1至18中任一項之方法來至少量測該等基板中之至少一者上之該器件圖案,及根據該方法之該不可直接量測處理參數之該值而針對稍後基板控制該微影程序。
21.一種系統,其包含:一檢測裝置,其經組態以將一光束提供於一基板上之一器件圖案上且偵測由該器件圖案重導向之輻射;及如條項19之非暫時性電腦程式產品。
22.如條項21之系統,其進一步包含一微影裝置,該微影裝置包含:一支撐結構,其經組態以固持用以調變一輻射光束之一圖案化器件;及一投影光學系統,其經配置以將該經調變輻射光束投影至一輻射敏感基板上。
23.一種方法,其包含: 獲得藉由一微影程序而形成於一基板上之複數個特徵或圖案之一特性之值;獲得該特性與該微影程序之一處理參數之間的一關係;基於該特性之該等值及該關係而針對該等特徵或圖案中之每一者判定該處理參數之值;及由一硬體電腦系統自該處理參數之該等值判定一統計特性。
24.如條項23之方法,其中該特性係關於該等特徵或圖案之幾何學。
25.如條項24之方法,其中該特性為一CD或經正規化CD。
26.如條項23之方法,其中該特性係關於該等特徵或圖案相對於該基板或相對於彼此之部位。
27.如條項23至26中任一項之方法,其中該處理參數包含該等特徵或圖案之一劑量。
28.如條項23至27中任一項之方法,其中該處理參數包含該等特徵或圖案之一焦點。
29.如條項23至28中任一項之方法,其中該統計特性為該處理參數之該等值之一平均數、一方差或標準偏差。
30.如條項23至29中任一項之方法,其進一步包含針對藉由該相同微影程序或一另外微影程序所形成之特徵或圖案而自該處理參數之值獲得一另外統計特性。
31.如條項30之方法,其進一步包含判定該統計特性及該另外統計特性是否滿足或不滿足一準則。
32.如條項31之方法,其進一步包含在該統計特性及該另外統計特性滿足或不滿足該準則的情況下調整或校準一微影程序。
33.一種電腦程式產品,其包含經記錄有指令之一電腦可讀媒體,該等指令在由一電腦執行時實施如條項23至32中任一項之方法。
本發明之實施例可以硬體、韌體、軟體或其任何組合予以實施。本發明之實施例亦可被實施為儲存於機器可讀媒體或電腦可讀媒體上之指令,該等指令可由一或多個處理器讀取及執行。如本文中所使用之術語「機器可讀媒體」或「電腦可讀媒體」係指參與將指令提供至處理器104以供執行及/或包括經組態以儲存或傳輸呈可由機器(例如,計算器件)讀取之形式之資訊的任何機構之任何媒體。此媒體可採取許多形式,包括但不限於非揮發性非暫時性媒體、揮發性非暫時性媒體及傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如儲存器件110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸電纜、銅線及光纖,其包括包含匯流排102之電線。傳輸媒體亦可採取聲波或光波之形式,諸如在射頻(RF)及紅外線(IR)資料通信期間產生之聲波或光波。電腦可讀媒體之常見形式包括(例如)軟碟、軟性磁碟、硬碟、磁帶、磁碟儲存媒體或任何其他磁性媒體、CD-ROM、DVD或任何其他光學儲存媒體、打孔卡、紙帶或具有孔的圖案的任何其他實體媒體、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、PROM、EPROM、FLASH-EPROM、快閃記憶體器件或任何其他記憶體晶片或卡匣、載波(例如,電信號、光學信號、聲學信號或其他形式之傳播信號(例如,載波、紅外線信號、數位信號等等))或可供電腦讀取之任何其他媒體。
此外,韌體、軟體、常式、指令可在本文中被描述為執行某些動作。然而,應瞭解,此等描述係僅僅出於方便起見,且此等動作事實上係由計算器件、處理器、控制器或執行韌體、軟體、常式、指令等等之其他 器件引起。
儘管在本文中可特定參考圖案化程序及/或微影裝置在IC製造中之使用,但應理解,本文中所描述之圖案化程序及/或微影裝置可具有其他應用,諸如製造整合式光學系統、用於磁疇記憶體之指導及偵測圖案、液晶顯示器(LCD)、薄膜磁頭等等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文中對術語「晶圓」或「晶粒」之任何使用分別與更一般之術語「基板」或「目標部分」同義。可在曝光之前或之後在(例如)塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)或度量衡或檢測工具中處理本文中所提及之基板。適用時,可將本文中之揭示內容應用於此等及其他基板處理工具。此外,可將基板處理多於一次,例如,以便產生多層IC,使得本文中所使用之術語基板亦可指已經含有多個經處理層之基板。
本文中所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如,具有365奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射(例如,具有在5奈米至20奈米之範圍內的波長);以及粒子束,諸如離子束或電子束。
雖然上文已描述本發明之特定實施例,但將瞭解,可以與所描述之方式不同的其他方式來實踐本發明。
a‧‧‧特徵
b‧‧‧特徵
c‧‧‧特徵
d‧‧‧特徵

Claims (14)

  1. 一種改良一圖案化程序之效能之方法,其包含:自藉由一圖案化程序所生產之一基板之一部分量測該圖案化程序之一可直接量測處理參數之一值,該可直接量測處理參數包含為經設計為具功能性(functional)之一器件之部分的一特徵之一特性;獲得該可直接量測處理參數與一不可直接量測處理參數之間的一關係;及由一電腦系統自該可直接量測處理參數之該值及該關係判定該不可直接量測處理參數之一值,其中該部分相比於一臨限值具有含有一缺陷之一較高機率,或其中該部分含有一熱點。
  2. 如請求項1之方法,其中該可直接量測處理參數包含該特徵之一臨界尺寸(CD)。
  3. 如請求項1之方法,其中該特徵為該基板上之一抗蝕劑影像中之一特徵。
  4. 如請求項1之方法,其中該不可直接量測處理參數為該基板之該部分被曝光之一焦點,或為該基板之該部分被曝光之一劑量。
  5. 如請求項1之方法,其中自一資料庫擷取、藉由一實驗來建立或藉由 模擬來建立該關係。
  6. 如請求項1之方法,其中自該可直接量測處理參數之值之一中位數判定該不可直接量測處理參數之該值。
  7. 如請求項1之方法,其中自該部分中之一或多個特徵量測該可直接量測處理參數之該值。
  8. 如請求項1之方法,其進一步包含驗證該關係。
  9. 如請求項8之方法,其中驗證該關係包含獲得該部分之一影像。
  10. 如請求項1之方法,其中該特徵不為一度量衡目標之部分。
  11. 一種電腦程式產品,其包含經記錄有指令之一電腦可讀媒體,該等指令在由一電腦執行時實施如請求項1之方法。
  12. 一種改良一圖案化程序之效能之系統,其包含:一檢測裝置,其經組態以將一光束提供於一基板上之一器件圖案上且偵測由該器件圖案重導向之輻射;及如請求項11之非暫時性電腦程式產品。
  13. 一種改良一圖案化程序之效能之方法,其包含: 獲得藉由一微影程序而形成於一基板上之複數個特徵或圖案之一特性之值;獲得該特性與該微影程序之一處理參數之間的一關係;基於該特性之該等值及該關係而針對該等特徵或圖案中之每一者判定該處理參數之值;及由一硬體電腦系統自該處理參數之該等值判定一統計特性,其中該部分相比於一臨限值具有含有一缺陷之一較高機率,或其中該部分含有一熱點。
  14. 如請求項13之方法,其中該特性係關於該等特徵或圖案之幾何學。
TW105132691A 2015-10-12 2016-10-11 處理參數之間接判定 TWI682473B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562240355P 2015-10-12 2015-10-12
US62/240,355 2015-10-12
US201662343589P 2016-05-31 2016-05-31
US62/343,589 2016-05-31

Publications (2)

Publication Number Publication Date
TW201717297A TW201717297A (zh) 2017-05-16
TWI682473B true TWI682473B (zh) 2020-01-11

Family

ID=56958941

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105132691A TWI682473B (zh) 2015-10-12 2016-10-11 處理參數之間接判定

Country Status (5)

Country Link
US (1) US10359705B2 (zh)
KR (1) KR102124896B1 (zh)
CN (1) CN108139686B (zh)
TW (1) TWI682473B (zh)
WO (1) WO2017063827A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11126092B2 (en) * 2015-11-13 2021-09-21 Asml Netherlands B.V. Methods for determining an approximate value of a processing parameter at which a characteristic of the patterning process has a target value
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US11270430B2 (en) * 2017-05-23 2022-03-08 Kla-Tencor Corporation Wafer inspection using difference images
US11067902B2 (en) * 2017-08-07 2021-07-20 Asml Netherlands B.V. Computational metrology
KR102582989B1 (ko) 2018-01-24 2023-09-25 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법 기반 샘플링 스킴
EP3564754A1 (en) * 2018-04-30 2019-11-06 ASML Netherlands B.V. Inspection tool, inspection method and computer program product
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
US11860548B2 (en) 2019-02-20 2024-01-02 Asml Netherlands B.V. Method for characterizing a manufacturing process of semiconductor devices
US11031258B2 (en) * 2019-08-22 2021-06-08 Micron Technology, Inc. Semiconductor packages with patterns of die-specific information
US11532490B2 (en) 2019-08-22 2022-12-20 Micron Technology, Inc. Semiconductor packages with indications of die-specific information
US11221300B2 (en) 2020-03-20 2022-01-11 KLA Corp. Determining metrology-like information for a specimen using an inspection tool

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110231167A1 (en) * 2010-03-18 2011-09-22 Asml Netherlands B.V. Inspection Apparatus and Associated Method and Monitoring and Control System
TW201511153A (zh) * 2013-06-13 2015-03-16 Kla Tencor Corp 於定向自組裝程序中曝光參數之產品上推導及調整

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6609086B1 (en) 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US20050185174A1 (en) 2004-02-23 2005-08-25 Asml Netherlands B.V. Method to determine the value of process parameters based on scatterometry data
JP4512395B2 (ja) 2004-03-30 2010-07-28 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法及びその装置
NL1036734A1 (nl) * 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL2003492A (en) 2008-09-30 2010-03-31 Asml Netherlands Bv Method and system for determining a lithographic process parameter.
NL2012872A (en) * 2013-06-12 2014-12-15 Asml Netherlands Bv Method of determining critical-dimension-related properties, inspection apparatus and device manufacturing method.
TWI703651B (zh) * 2014-10-03 2020-09-01 美商克萊譚克公司 驗證度量目標及其設計
US10430719B2 (en) * 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US10372043B2 (en) * 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
WO2016202695A1 (en) * 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110231167A1 (en) * 2010-03-18 2011-09-22 Asml Netherlands B.V. Inspection Apparatus and Associated Method and Monitoring and Control System
TW201511153A (zh) * 2013-06-13 2015-03-16 Kla Tencor Corp 於定向自組裝程序中曝光參數之產品上推導及調整

Also Published As

Publication number Publication date
US20180321596A1 (en) 2018-11-08
CN108139686B (zh) 2021-03-09
TW201717297A (zh) 2017-05-16
US10359705B2 (en) 2019-07-23
WO2017063827A1 (en) 2017-04-20
KR102124896B1 (ko) 2020-06-22
CN108139686A (zh) 2018-06-08
KR20180066209A (ko) 2018-06-18

Similar Documents

Publication Publication Date Title
TWI682473B (zh) 處理參數之間接判定
US11493851B2 (en) Lithographic method and lithographic apparatus
US20240004299A1 (en) Method and system to monitor a process apparatus
TWI694487B (zh) 製程窗優化器
TWI721298B (zh) 度量衡方法及相關之電腦程式產品
TWI616716B (zh) 用於調適圖案化器件之設計的方法
TWI710863B (zh) 基於計算度量衡之校正和控制
US10712672B2 (en) Method of predicting patterning defects caused by overlay error
KR20180115299A (ko) 계측 데이터에 대한 기여도들의 분리
TWI765277B (zh) 用於在半導體製造程序中應用沉積模型之方法
US20160334712A1 (en) Lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
KR20210024621A (ko) 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법
TWI660235B (zh) 判定一經圖案化基板之一參數的方法及非暫時性電腦程式產品
KR102059018B1 (ko) 패터닝 공정 오차를 보정하는 장치 및 방법
TWI827068B (zh) 用於預測藉由掃描電子顯微鏡進行後續掃描之缺陷位置之非暫時性電腦可讀取媒體
TWI708116B (zh) 引導式圖案化裝置檢測
US20220404718A1 (en) Matching pupil determination
KR20170002517A (ko) 조밀 피처들의 핫스폿들의 감소
JP2021534461A (ja) メトトロジ装置
TW202032286A (zh) 用於度量衡最佳化之方法
TW202223548A (zh) 藉由模擬輔助之度量衡影像對準