KR20240064651A - 패턴 선택 시스템들 및 방법들 - Google Patents

패턴 선택 시스템들 및 방법들 Download PDF

Info

Publication number
KR20240064651A
KR20240064651A KR1020247009751A KR20247009751A KR20240064651A KR 20240064651 A KR20240064651 A KR 20240064651A KR 1020247009751 A KR1020247009751 A KR 1020247009751A KR 20247009751 A KR20247009751 A KR 20247009751A KR 20240064651 A KR20240064651 A KR 20240064651A
Authority
KR
South Korea
Prior art keywords
representative
subset
patterns
representative portions
pattern layout
Prior art date
Application number
KR1020247009751A
Other languages
English (en)
Inventor
멩 리우
빈-데르 첸
데바오 샤오
젠-이 우
하오 첸
아미만 하모우다
지안후아 쳉
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20240064651A publication Critical patent/KR20240064651A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/09Supervised learning

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • General Health & Medical Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

반도체 웨이퍼의 디자인 레이아웃을 위해 최적화된, 기하학적으로 다양한 클립들의 세트를 선택하는 것이 설명된다. 디자인 레이아웃의 완전한 표현이 수신된다. 디자인 레이아웃의 대표 클립들의 세트는, 개별적인 대표 클립들이 디자인 레이아웃의 1 이상의 고유 패턴의 상이한 조합들을 포함하도록 결정된다. 대표 클립들의 서브세트가 1 이상의 고유 패턴에 기초하여 선택된다. 대표 클립들의 서브세트는: (1) 최소 수의 대표 클립들에 각각의 기하학적 고유 패턴을 포함하거나; 또는 (2) 최대 수의 대표 클립들에 가능한 한 많은 디자인 레이아웃의 기하학적 고유 패턴을 포함하도록 구성된다. 대표 클립들의 서브세트는, 예를 들어 광 근접 보정 또는 소스 마스크 최적화 반도체 공정 기계 학습 모델을 트레이닝하기 위한 트레이닝 데이터로서 제공된다.

Description

패턴 선택 시스템들 및 방법들
본 출원은 2021년 9월 22일에 출원된 국제 출원 PCT/CN2021/119631의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 개시내용은 일반적으로 전산 리소그래피(computational lithography)와 연계된 패턴 선택에 관한 것이다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)가 IC의 개별층에 대응하는 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 패터닝 디바이스 상의 패턴이 한 타겟부 상으로 한 번에 전사된다. 이러한 장치는 통상적으로 스테퍼(stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게 또는 역-평행하게(anti-parallel) 기판이 이동된다. 패터닝 디바이스 상의 패턴의 상이한 부분들이 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 축소율(M)(예를 들어, 4)을 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 1/M 배가 될 것이다. 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792에서 찾아볼 수 있다.
패터닝 디바이스로부터 기판으로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 패턴의 측정/검사와 같은 다른 절차들("노광-후 절차들")을 거칠 수 있다. 이러한 일련의 절차들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되어, 개개의 디바이스들이 핀에 연결되는 캐리어 등에 장착될 수 있도록 한다.
반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처(feature)들 및 다수 층들을 형성하기 위해 다수의 제작 공정들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 이용하여 제조되고 처리된다. 다수 디바이스들은 기판의 복수의 다이들 상에 제작된 후, 개별적인 디바이스들로 분리될 수 있다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 기판에 패터닝 디바이스 상의 패턴을 전사하기 위해 리소그래피 장치에서 패터닝 디바이스를 이용하는 광학 및/또는 나노임프린트(nanoimprint) 리소그래피와 같은 패터닝 단계를 수반하며, 통상적이지만 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치를 이용하는 패턴을 이용한 에칭 등과 같은 1 이상의 관련 패턴 처리 단계를 수반한다.
리소그래피는 IC와 같은 디바이스의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 디바이스들의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 공정이 계속해서 진보함에 따라, 기능 요소들의 치수들이 계속 감소되었다. 동시에, 통상적으로 "무어의 법칙"이라 칭하는 추세를 따라, 디바이스당 트랜지스터와 같은 기능 요소들의 수는 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 심자외선 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되어, 100 nm보다 훨씬 낮은 치수들, 즉 조명 소스(예를 들어, 193 nm 조명 소스)로부터의 방사선의 파장의 절반보다 작은 치수들을 갖는 개별적인 기능 요소들을 생성한다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 이 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택되는 방사선의 파장(현재, 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세-조정 단계들이 리소그래피 투영 장치, 디자인 레이아웃, 또는 패터닝 디바이스에 적용된다. 이들은, 예를 들어 NA 및 광 코히런스(optical coherence) 세팅들의 최적화, 커스터마이징 조명 방식(customized illumination schemes), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접 보정(optical proximity correction)(OPC, 때로는 "광학 및 공정 보정"이라고도 함), 소스 마스크 최적화(SMO), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다.
패턴 레이아웃의 기하학적 고유 부분들(예를 들어, 전체 칩 IC 디자인 레이아웃으로부터의 고유 클립들 또는 패치들)을 식별하기 위한 툴들이 존재하며, 각각의 부분은 소정 영역의 패턴들을 갖는다. 식별된 고유 부분들은 서로 상이하다. 하지만, 이러한 툴들에 의해 결정되는 고유 부분들의 수는 매우 많으며, 이 수를 제한하거나 달리 제어하기 위한 효과적인 메카니즘이 없다. 흔히, 보고되는 고유 부분들(예를 들어, 클립들 또는 패치들) 중 일부는 약간만 상이한 지오메트리를 가지며, 한 부분에서 다음 부분까지 많은 양의 중복 정보를 포함한다. 많은 수의 결정된 고유 부분들 및 중복 정보는, 많은 수 및/또는 중복 정보가 상당한 컴퓨팅 리소스에 대한 필요를 창출하기 때문에, 다운스트림 컴퓨팅(예를 들어, 전산 리소그래피) 프로세스들에 부담을 줄 수 있다.
본 발명의 실시예들에 따르면, 시스템들 및 방법들은 감소된 수의 부분 총수들을 갖고, 조합하여 패턴 레이아웃(예를 들어, 디자인 레이아웃)으로부터 적절한 패턴들을 포괄하는 최적화된, 기하학적으로 다양한 고유 부분들(예를 들어, 클립들 또는 패치들)의 서브세트를 선택하기 위해 구성된다. 예를 들어, 선택된 고유 부분들의 수는 이전 툴들에 의해 식별된 많은 수의 부분들보다 최대 100 배까지 적을 수 있다. 훨씬 적은 수의 고유 부분들이 선택되더라도, 이들은 예를 들어 전체 칩의 모든 패턴 레이아웃을 나타내기에 충분한 기하학적 다양성(geometric diversity)을 포함한다. 이는 다른 이점들 중에서도, 필요한 컴퓨팅 리소스를 크게 감소시키고, 하류의 컴퓨팅 프로세스를 신속하게 처리할 수 있다.
따라서, 일 실시예에 따르면, 반도체 웨이퍼의 패턴(예컨대, 디자인) 레이아웃을 위해 최적화된, 기하학적으로 다양한 부분들(예를 들어, 클립들 또는 패치들)의 세트를 선택하는 방법이 제공된다. 상기 방법은 패턴 레이아웃의 표현(예를 들어, 원본 및/또는 완전한 표현)을 수신하는 단계를 포함한다. 상기 방법은 패턴 레이아웃의 대표 부분들의 세트를 결정하는 단계를 포함하며, 여기서 개별적인 대표 부분들은 패턴 레이아웃의 1 이상의 (예를 들어, 기하학적) 고유 패턴들의 상이한 조합들을 포함한다.
본 명세서에서 사용되는 바와 같이, "고유 패턴"은 패턴 레이아웃(디자인 레이아웃)에서 다른 고유 패턴들과 상이한 것으로 간주되는 패턴을 지칭한다. 패턴은 일반적으로 레이아웃에서 관심 있는 공간 윈도우(spatial window)에 의해 정의된다. 패턴 고유성은 표현 공간에서 특정한 고유 표현을 가짐으로써 정의될 수 있다. 예를 들어, 고유성은 관심 있는 공간 윈도우의 표현에서 특정한 고유 형상(들), 피처들의 구성(들), 윤곽(들) 등을 가짐으로써 정의될 수 있다. 고유 패턴은 패턴 레이아웃 전체에 걸쳐 많은 인스턴스들을 가질 수 있다(예를 들어, 반복될 수 있음). 고유 패턴은 동일하거나 유사한 인스턴스들을 포함할 수 있다. 고유 패턴들은 정확한 매칭, 퍼지 매칭, 클러스터링, 또는 다른 알고리즘들 또는 방법들을 사용함으로써 패턴 레이아웃으로부터 추출되거나 식별될 수 있다. 따라서, 여기에 언급된 바와 같이, 고유 패턴은 정확히 매칭하는 패턴들의 그룹, 또는 퍼지 매칭에 의해 식별될 수 있는 바와 같이 유사한 패턴들의 그룹을 대표하는 패턴일 수 있다.
대표 부분들(예를 들어, 클립들 또는 패치들)의 세트는 패턴 레이아웃 전체에 걸쳐 반복되는 패턴들을 그룹화함으로써 결정될 수 있다. 그 후, 1 이상의 고유 패턴에 기초하여 대표 부분들의 서브세트가 선택될 수 있다. 대표 부분들의 서브세트는 (대표 부분들의 세트에 비해) 크게 감소된 수의 대표 부분들에서 고유 패턴들을 포함하도록 선택된다. 일부 실시예들에서, 선택된 대표 부분들의 서브세트는 최적으로 다양한 고유 패턴들의 그룹을 포함하며, 규정된 제한 수보다 적은 대표 부분들을 갖는다. 상기 방법은 기계 학습 모델을 트레이닝하기 위한 트레이닝 데이터로서 선택된 대표 부분들의 서브세트를 제공하는 단계를 더 포함할 수 있다. 기계 학습 모델은, 예를 들어 반도체 리소그래피 공정을 위한 광 근접 보정(OPC) 및/또는 소스 마스크 최적화(SMO)와 연계될 수 있다.
일부 실시예들에서, 패턴 레이아웃의 표현은 그래픽 디자인 시스템(.GDS) 파일을 포함한다.
일부 실시예들에서, 대표 부분들(예를 들어, 클립들 또는 패치들)의 서브세트의 선택은 세트 커버 솔버 방법을 사용함으로써 수행된다. 일부 실시예들에서, 이는 대표 부분들의 서브세트를 결정하기 위해 이산 옵티마이저(discrete optimizer)(예를 들어, 정수 선형 프로그래밍 솔버)로 대표 부분들의 서브세트를 결정하는 것을 포함한다. 일부 실시예들에서, 대표 부분들의 서브세트는 패턴 레이아웃으로부터 최대량의 고유 지오메트리를 포함하도록 최적화된다.
일부 실시예들에서, 대표 부분들의 서브세트는 서브세트 내의 대표 부분들이 소정 양(quantity) 기준을 충족하고, 서브세트에 포함되는 고유 패턴들도 소정 다양성 또는 양 기준을 충족하도록 선택된다. 예를 들어, 소정 컴퓨팅 시스템의 처리 능력 또는 다른 인자들을 고려할 때, 예를 들어 컴퓨팅 시스템이 모델링 중에 부당하게 느려지고 및/또는 다른 문제에 맞닥뜨리지 않을 것을 보장하기 위해 모델링 또는 다른 목적으로 사용할 소정 최대 클립 수가 지정될 수 있다. 일부 실시예들에서, 컴퓨팅 시스템은 자동으로 대표 부분들(예를 들어, 클립들)의 사전설정된(예를 들어, 최대) 수로 자신을 제한할 수 있다. 일부 실시예들에서, 시스템들 및 방법들은 패턴 레이아웃의 가장 기하학적으로 고유한 패턴들을 조합하여 포함하는 사전설정된 수의 대표 클립들(서브세트)을 선택하도록 구성된다.
일부 실시예들에서, 대표 부분들의 서브세트는 각 대표 부분이 포함하는 1 이상의 고유 패턴의 양 및/또는 희귀성(rarity)에 기초하여 순위가 매겨질 수 있다. 대표 부분들의 서브세트는 순위에 기초하여 결정될 수 있다.
일부 실시예들에서, 대표 부분들의 서브세트 내의 부분들의 수는 대표 부분들의 세트 내의 부분들의 수보다 약 10 내지 100 배 적다.
또 다른 실시예에 따르면, 패턴 레이아웃의 대표 부분들(예를 들어, 클립들 또는 패치들)의 서브세트를 결정하는 방법이 제공된다. 상기 방법은 패턴 레이아웃의 대표 부분들의 세트를 수신하는 단계를 포함한다. 개별적인 대표 부분들은 패턴 레이아웃의 1 이상의 고유 패턴을 포함한다. 선택된 대표 부분들의 서브세트는 규정된 기준을 충족하는 고유 패턴들을 포함한다.
또 다른 실시예에 따르면, 패턴 레이아웃의 대표 부분들의 서브세트를 결정하는 시스템이 제공된다. 시스템은 기계 판독가능한 명령어들에 의해 앞서 언급된 방법을 수행하도록 구성되는 1 이상의 하드웨어 프로세서를 포함한다.
또 다른 실시예에 따르면, 컴퓨터에 의해 실행될 때, 컴퓨터가 앞서 언급된 방법을 수행하게 하는 명령어들을 갖는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체가 제공된다.
본 명세서에 통합되고 그 일부를 구성하는 첨부된 도면들은 1 이상의 실시예를 나타내고, 묘사와 함께 이 실시예들을 설명한다. 이제, 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 리소그래피 투영 장치의 다양한 서브시스템들의 블록 다이어그램을 나타낸다.
도 2는 일 실시예에 따른, 리소그래피 투영 장치에서의 리소그래피를 시뮬레이션하는 예시적인 방법의 흐름도를 나타낸다.
도 3은 일 실시예에 따른, 패턴 레이아웃의 대표 부분들(예를 들어, 클립들 또는 패치들)을 선택하는 예시적인 방법을 나타낸다.
도 4는 일 실시예에 따른, 패턴 레이아웃의 대표 부분들의 세트를 결정하는 예시적인 방법을 나타낸다.
도 5는 일 실시예에 따른, 그룹화된 패턴들에 기초하여 대표 부분들의 세트를 결정하는 예시적인 방법을 나타낸다.
도 6은 일 실시예에 따른, 대표 부분들의 서브세트를 선택하는 예시적인 방법을 나타낸다.
도 7은 일 실시예에 따른, 예시적인 컴퓨터 시스템의 블록 다이어그램이다.
도 8은 일 실시예에 따른, 리소그래피 투영 장치의 개략적인 다이어그램이다.
도 9는 일 실시예에 따른, 또 다른 리소그래피 투영 장치의 개략적인 다이어그램이다.
도 10은 일 실시예에 따른, 리소그래피 투영 장치의 상세한 도면이다.
도 11은 일 실시예에 따른, 리소그래피 투영 장치의 소스 컬렉터 모듈의 상세한 도면이다.
앞서 설명된 바와 같이, 패턴 디자인 또는 패턴 레이아웃의 기하학적 고유 부분들(예를 들어, 전체 칩 IC 디자인 레이아웃으로부터의 고유 클립들)을 식별하는 툴들이 존재한다. 하지만, 이러한 툴들에 의해 식별되는 기하학적 고유 부분들의 수는 매우 많으며, 기하학적 고유 부분들은 흔히 한 부분에서 다음 부분까지 많은 양의 중복 정보를 포함한다. 이는, 한 부분이 고유할 수 있더라도 다른 부분들과 약간만 상이하고, 여전히 여러 다른 부분들에도 포함되는 패턴 레이아웃의 다수 고유 패턴들(예를 들어, 형상들, 윤곽들 등의 조합들)을 포함할 수 있기 때문이다.
앞서 설명된 바와 같이, "고유 패턴"은 패턴 레이아웃(디자인 레이아웃)에서 다른 고유 패턴들과 상이한 것으로 간주되는 패턴을 지칭한다. 예를 들어, 고유성은 관심 있는 공간 윈도우의 표현에서 특정한 고유 형상(들), 피처들의 구성(들), 윤곽(들) 등을 가짐으로써 정의될 수 있다. 고유 패턴은 패턴 레이아웃 전체에 걸쳐 많은 인스턴스들을 가질 수 있다(예를 들어, 반복될 수 있음). 고유 패턴은 동일하거나 유사한 인스턴스들을 포함할 수 있다. 고유 패턴들은 정확한 매칭, 퍼지 매칭, 클러스터링, 또는 다른 알고리즘들 또는 방법들을 사용함으로써 패턴 레이아웃으로부터 추출되거나 식별될 수 있다. 따라서, 여기에 언급된 바와 같이, 고유 패턴은 정확히 매칭하는 패턴들의 그룹, 또는 퍼지 매칭에 의해 식별될 수 있는 바와 같이 유사한 패턴들의 그룹을 대표하는 패턴일 수 있다.
본 발명의 실시예들에 따르면, 패턴 레이아웃(예컨대, 디자인 레이아웃)의 최적화된, 기하학적으로 다양한 대표 부분들(예를 들어, 클립들 또는 패치들)의 서브세트가 후속 모델링 및/또는 다른 프로세스들을 위해 여전히 패턴 레이아웃(예컨대, 전체 패턴 레이아웃)을 포괄적으로 나타내도록 선택된다. 예를 들어, 본 발명의 일 실시예에 따른 선택된 클립들의 서브세트는 유리하게는 감소된 수의 클립들을 갖고, 하류의 모델 캘리브레이션 또는 모델 트레이닝을 위해 개선된 패턴 커버리지를 제공할 수 있다. 본 발명의 실시예들은 이산 옵티마이저(예를 들어, 정수 선형 프로그래밍 솔버)를 사용하여, (단순히 이용가능한 모든 부분들을 사용하는 대신에) 가능한 한 많은 고유한 기하학적 정보를 포함하는 대표 부분들의 최적 서브세트를 식별한다. 이러한 방식으로, 본 시스템들 및 방법들은 다양한 기하학적 정보를 포함하는 (전체 세트에 비해) 상대적으로 작은 수의 대표 부분들을 선택할 수 있다. 예를 들어, 대표 부분들의 서브세트는 크게 감소된 수의 대표 부분들에서 고유 패턴들을 포함하도록 선택되거나; 또는 선택된 대표 부분들의 서브세트를 형성하는 사전설정된 수의(예를 들어, 최대) 대표 부분들에서 최적으로 다양한 고유 패턴들을 포함하도록 선택된다. 이는 다른 이점들 중에서도, 필요한 컴퓨팅 리소스를 크게 감소시키고, 이후 컴퓨팅 프로세스의 속도를 높일 수 있다.
본 발명의 실시예들은 당업자가 본 발명을 실시할 수 있게 하도록 본 발명의 실례가 되는 예시들로서 제공되는 도면들을 참조하여 상세하게 설명된다. 특히, 아래의 숫자들 및 예시들은 단일 실시예로 본 발명의 범위를 제한하려는 것이 아니며, 설명되거나 예시된 요소들 중 일부 또는 전부의 상호교환에 의해 다른 실시예들이 가능하다. 또한, 본 발명의 소정 요소들이 알려진 구성요소들을 사용하여 부분적으로 또는 완전히 구현될 수 있는 경우, 본 발명의 이해에 필요한 이러한 알려진 구성요소들의 부분들만이 설명될 것이며, 이러한 알려진 구성요소들의 다른 부분들의 상세한 설명은 생략되어 본 발명을 모호하게 하지 않을 것이다. 본 명세서에서 달리 명시되지 않는 한, 당업자에게 분명한 바와 같이, 소프트웨어로 구현되는 것으로 설명된 실시예들은 그에 제한되어서는 안 되며, 하드웨어 또는 하드웨어와 소프트웨어의 조합들로 구현되는 실시예들을 포함할 수 있고, 그 역도 마찬가지이다. 본 명세서에서, 단일 구성요소를 나타내는 실시예는 제한적인 것으로 간주되어서는 안 되며; 오히려, 본 명세서에서 달리 명시적으로 언급되지 않는 한, 본 발명은 복수의 동일한 구성요소들을 포함하는 다른 실시예들을 포괄하도록 의도되고, 그 역도 마찬가지이다. 더욱이, 출원인들은 명세서 또는 청구항들의 여하한의 용어가 명시적으로 언급되지 않는 한, 일반적이지 않거나 특별한 의미를 갖는 것을 의도하지 않는다. 또한, 본 발명은 예시에 의해 본 명세서에서 언급되는 알려진 구성요소들에 대한 현재 알려진 및 미래 알려질 균등물들을 포괄한다.
본 명세서에서는, IC의 제조에 대하여 특히 언급되지만, 본 명세서의 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 함을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 약 5 내지 100 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 타입들의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처(aperture) 및 카타디옵트릭(catadioptric) 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 투영 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 (예를 들어, 반도체) 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
(예를 들어, 반도체) 패터닝 디바이스는 1 이상의 패턴을 포함하거나 형성할 수 있다. 패턴은 패턴 또는 디자인 레이아웃을 기반으로 하는 CAD(computer-aided design) 프로그램을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙들은 특정 파라미터들, 파라미터들에 대한 제한들 및/또는 범위들, 및/또는 다른 정보를 포함 및/또는 명시할 수 있다. 디자인 규칙 제한들 및/또는 파라미터들 중 1 이상은 "임계 치수"(CD)라고 칭해질 수 있다. 디바이스의 임계 치수는 라인 또는 홀의 최소 폭, 또는 두 라인들 또는 두 홀들 또는 다른 피처들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 디바이스의 전체 크기 및 밀도를 결정한다. 디바이스 제작의 목표들 중 하나는 원래 디자인 의도를 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 반도체 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 다른 이러한 패터닝 디바이스의 예시들로 프로그램가능한 거울 어레이 및 프로그램가능한 LCD 어레이를 포함한다.
프로그램가능한 거울 어레이의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)일 수 있다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여, 회절 방사선만이 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다. 프로그램가능한 LCD 어레이의 일 예시는 미국 특허 제 5,229,872호에서 주어지며, 이는 본 명세서에서 인용참조된다.
본 명세서에서 사용되는 바와 같이, "패터닝 공정"이라는 용어는 일반적으로 리소그래피 공정의 일부로서 특정 패턴들의 광의 적용에 의해 에칭된 기판을 생성하는 공정을 의미한다. 하지만, "패터닝 공정"은 (예를 들어, 플라즈마) 에칭을 포함할 수도 있으며, 이는 본 명세서에서 설명되는 많은 특징들이 에칭(예를 들어, 플라즈마) 처리를 사용하여 프린트된 패턴을 형성하는 데 이점을 제공할 수 있기 때문이다.
본 명세서에서 사용되는 바와 같이, "패턴"이라는 용어는 - 예를 들어, 앞서 설명된 디자인 레이아웃에 기초하여 - 기판(예를 들어, 웨이퍼) 상에 에칭될 이상적인 패턴을 의미한다. 패턴은, 예를 들어 다양한 형상(들), 피처들의 구성(들), 윤곽(들) 등을 포함할 수 있다.
본 명세서에서 사용되는 바와 같이, "프린트된 패턴"이라는 용어는 타겟 패턴에 기초하여 에칭된 기판 상의 물리적 패턴을 의미한다. 프린트된 패턴은, 예를 들어 트로프(trough), 채널, 오목부(depression), 에지, 또는 리소그래피 공정으로부터 발생하는 다른 2 차원 및 3 차원 피처들을 포함할 수 있다.
본 명세서에서 사용되는 바와 같이, "예측 모델", "공정 모델", "전자 모델", 및/또는 "시뮬레이션 모델"(이들은 교환가능하게 사용될 수 있음)은 패터닝 공정을 시뮬레이션하는 1 이상의 모델을 포함하는 모델을 의미한다. 예를 들어, 모델은 광학 모델(예를 들어, 리소그래피 공정에서 광을 전달하는 데 사용되는 렌즈 시스템/투영 시스템을 모델링하며, 포토레지스트에 들어가는 광의 최종 광학 이미지를 모델링하는 것을 포함할 수 있음), 레지스트 모델(예를 들어, 광으로 인한 화학적 효과들과 같은 레지스트의 물리적 효과들을 모델링함), OPC 모델[예를 들어, 타겟 패턴들을 구성하는 데 사용될 수 있으며, 분해능-이하 어시스트 피처(SRAF) 등을 포함할 수 있음], 에칭(또는 에칭 편향) 모델(예를 들어, 프린트된 웨이퍼 패턴에 대한 에칭 공정의 물리적 효과들을 시뮬레이션함), 소스 마스크 최적화(SMO) 모델, 및/또는 다른 모델들을 포함할 수 있다.
본 명세서에서 사용되는 바와 같이, "캘리브레이션"이라는 용어는 모델과 같은 것을 수정(예를 들어, 개선 또는 조정) 및/또는 검정(validate)하는 것을 의미한다.
패터닝 시스템은 앞서 설명된 구성요소들 중 일부 또는 전부, 및 이 구성요소들과 연계된 작업들 중 일부 또는 전부를 수행하도록 구성되는 다른 구성요소들을 포함하는 시스템일 수 있다. 예를 들어, 패터닝 시스템은 리소그래피 투영 장치, 스캐너, 레지스트를 적용 및/또는 제거하도록 구성되는 시스템들, 에칭 시스템들, 및/또는 다른 시스템들을 포함할 수 있다.
도입부로서, 도 1은 예시적인 리소그래피 투영 장치(10A)의 다양한 서브시스템들의 다이어그램을 나타낸다. 주요 구성요소들은 심자외선 엑시머 레이저 소스(deep-ultraviolet excimer laser source) 또는 극자외선(EUV) 소스를 포함한 다른 타입의 소스일 수 있는 방사선 소스(12A)(하지만, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없음); 예를 들어, (시그마로서 표시된) 부분적 코히런스(partial coherence)를 정의하고, 소스(12A)로부터의 방사선을 성형하는 광학 구성요소들(14A, 16Aa 및 16Ab)을 포함할 수 있는 조명 광학기; 패터닝 디바이스(18A); 및 기판 평면(22A) 상으로 패터닝 디바이스 패턴의 이미지를 투영하는 투과 광학기(16Ac)이다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(20A)가 기판 평면(22A) 상에 부딪치는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수 NA = n sin(Θmax)를 정의하고, 여기서 n은 투영 광학기의 최종 요소와 기판 사이의 매질의 굴절률이며, Θmax는 기판 평면(22A) 상에 여전히 충돌할 수 있는 투영 광학기로부터 나오는 빔의 최대 각도이다.
리소그래피 투영 장치에서, 소스는 패터닝 디바이스에 조명(즉, 방사선)을 제공하고, 투영 광학기는 패터닝 디바이스를 통해 기판 상으로 조명을 지향하고 성형한다. 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2009-0157630호에서 찾아볼 수 있다. 레지스트 모델은 레지스트 층의 속성들[예를 들어, 노광, 노광-후 베이크(PEB) 및 현상 시 일어나는 화학 공정들의 효과들]에 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 조명, 패터닝 디바이스 및 투영 광학기의 속성들)이 에어리얼 이미지를 좌우하고, 광학 모델에서 정의될 수 있다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다. 디자인 레이아웃을 다양한 리소그래피 이미지들(예를 들어, 에어리얼 이미지, 레지스트 이미지 등)로 변환하는 데 사용되는 기술들 및 모델들, 이 기술들 및 모델들을 이용한 OPC의 적용, 및 (예를 들어, 공정 윈도우에 관한) 성능의 평가의 세부사항들은 미국 특허 출원 공개공보 US 2008-0301620호, 2007-0050749호, 2007-0031745호, 2008-0309897호, 2010-0162197호, 및 2010-0180251호에 설명되어 있으며, 이들은 각각 본 명세서에서 그 전문이 인용참조된다.
예를 들어, 패터닝 공정의 디자인, 제어, 모니터링 등에 사용될 수 있는 결과들을 생성하기 위해 1 이상의 툴을 사용하는 것이 바람직할 수 있다. 패터닝 디바이스에 대한 패턴 디자인(예를 들어, 분해능-이하 어시스트 피처들 또는 광 근접 보정들의 추가를 포함함), 패터닝 디바이스에 대한 조명 등과 같은 패터닝 공정의 1 이상의 측면의 전산 제어, 디자인 등을 수행하는 데 사용되는 1 이상의 툴이 제공될 수 있다. 따라서, 패터닝을 수반하는 제조 공정의 전산 제어, 디자인 등을 위한 시스템에서, 제조 시스템 구성요소들 및/또는 프로세스들은 다양한 기능 모듈들 및/또는 모델들에 의해 설명될 수 있다. 일부 실시예들에서, 패터닝 공정의 1 이상의 단계 및/또는 장치를 설명하는 1 이상의 전자(예를 들어, 수학적, 파라미터화된, 기계 학습 등) 모델이 제공될 수 있다. 일부 실시예들에서, 패터닝 공정의 시뮬레이션은 패터닝 공정이 패터닝 디바이스에 의해 제공되는 패턴을 사용하여 패터닝된 기판을 형성하는 방식을 시뮬레이션하기 위해 1 이상의 전자 모델을 사용하여 수행될 수 있다.
리소그래피 투영 장치에서 리소그래피를 시뮬레이션하는 예시적인 흐름도가 도 2에 예시된다. 조명 모델(231)이 조명의 광학적 특성들(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기 모델(232)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 디자인 레이아웃 모델(235)이 패터닝 디바이스에 의해 형성되는, 또는 패터닝 디바이스 상의 피처들의 일 구성을 나타내는 디자인 레이아웃의 광학적 특성들(주어진 디자인 레이아웃에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 조명 모델(231), 투영 광학기 모델(232) 및 디자인 레이아웃 모델(235)을 이용하여 에어리얼 이미지(236)가 시뮬레이션될 수 있다. 레지스트 모델(237)을 이용하여 에어리얼 이미지(236)로부터 레지스트 이미지(238)가 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 윤곽들 및/또는 CD들을 예측할 수 있다.
더 명확하게는, 조명 모델(231)은 NA-시그마(σ) 세팅들 및 여하한의 특정 조명 형상[예를 들어, 환형, 쿼드러폴(quadrupole), 다이폴(dipole) 등과 같은 오프-액시스(off-axis) 조명]을 포함 -이에 제한되지는 않음- 하는 조명의 광학적 특성들을 나타낼 수 있다. 투영 광학기 모델(232)은, 예를 들어 수차, 왜곡, 굴절률, 물리적 크기 또는 치수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다. 또한, 디자인 레이아웃 모델(235)은, 예를 들어 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 물리적 패터닝 디바이스의 1 이상의 물리적 속성을 나타낼 수 있다. 리소그래피 투영 장치와 관련된 광학적 속성들(예를 들어, 조명, 패터닝 디바이스, 및 투영 광학기의 속성들)이 에어리얼 이미지를 좌우한다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 조명 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다[이에 따라, 디자인 레이아웃 모델(235)].
레지스트 모델(237)은 에어리얼 이미지로부터 레지스트 이미지를 계산하는 데 사용될 수 있으며, 이 예시는 본 명세서에서 그 전문이 인용참조되는 미국 특허 제 8,200,468호에서 찾아볼 수 있다. 레지스트 모델은 통상적으로 레지스트 층의 속성들(예를 들어, 노광, 노광-후 베이크 및/또는 현상 시 일어나는 화학 공정들의 효과들)에 관련된다.
전체 시뮬레이션의 목적들 중 하나는, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치들, 에어리얼 이미지 세기 기울기들 및/또는 CD들을 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 OPC-전 디자인(또는 패턴) 레이아웃으로서 정의되며, 이는 .GDS, .GDSII, .OASIS와 같은 표준화된 디지털 파일 포맷, 또는 다른 파일 포맷들로 제공될 수 있다.
디자인(패턴) 레이아웃으로부터, 1 이상의 부분이 식별될 수 있으며, 이는 "클립(clip)"이라고 칭해진다. 일 실시예에서, 클립들의 일 세트가 추출되고, 이는 디자인(패턴) 레이아웃 내의 복잡한 패턴들을 나타낸다(흔히 수백 또는 수천 개의 클립들이 사용되지만, 여하한 수의 클립들이 사용될 수 있음). 당업자라면 이해하는 바와 같이, 이 클립들은 디자인의 작은 부분들(예를 들어, 회로들, 셀들 등)을 나타내며, 특정 주의 및/또는 검증이 요구되는 작은 부분들을 나타낼 수 있다. 다시 말해서, 클립들은 경험에 의해(고객에 의해 제공된 클립들을 포함함), 시행착오에 의해, 또는 풀-칩 시뮬레이션 실행에 의해 중대한 피처(critical feature)들이 식별되는 디자인(패턴) 레이아웃의 부분들일 수 있거나, 또는 디자인(패턴) 레이아웃의 부분들과 유사할 수 있거나, 또는 디자인(패턴) 레이아웃의 부분들과 유사한 거동을 가질 수 있다. 클립들은 1 이상의 테스트 패턴 또는 게이지 패턴을 포함할 수 있다. 클립들의 더 큰 초기 세트가 특정 이미지 최적화를 필요로 하는 디자인(패턴) 레이아웃 내의 알려진 중대한 피처 영역들에 기초하여 고객에 의해 선험적으로(a priori) 제공될 수 있다. 대안적으로, 또 다른 실시예에서, 클립들의 더 큰 초기 세트는 중대한 피처 영역들을 식별하는 자동화[예를 들어, 머신 비전(machine vision)] 또는 수동 알고리즘을 이용함으로써 전체 디자인(패턴) 레이아웃으로부터 추출될 수 있다.
디자인(패턴) 레이아웃의 클립들은 흔히 개별 클립들이 디자인(패턴) 레이아웃의 1 이상의 (예를 들어, 기하학적으로) 고유한 패턴의 상이한 조합들을 포함하도록 선택된다. 이러한 기하학적 고유 패턴들을 포함하는 클립들의 수는 통상적으로 매우 많으며, 일반적으로 제한되거나 달리 제어되지 않는다. 흔히, 여러 상이한 부분들(예컨대, 클립들)은 약간만 상이한 지오메트리를 가지며, 한 클립에서 다음 클립까지 많은 양의 중복 정보를 포함한다.
클립들(및/또는 다른 정보)에 기초하여, 시뮬레이션 및 모델링이 (예를 들어, 광 근접 보정을 수행하는) 패터닝 디바이스 패턴의 1 이상의 특징, (예를 들어, 형상 변경과 같이 조명의 공간/각도 세기 분포의 1 이상의 특성을 변경하는) 조명의 1 이상의 특징, 및/또는 투영 광학기의 1 이상의 특징(예를 들어, 개구수 등)을 구성하는 데 사용될 수 있다. 이러한 구성은 일반적으로 각각 마스크 최적화, 소스 최적화, 및 투영 최적화라고 칭해질 수 있다. 이러한 최적화는 그 자체로 수행되거나, 상이한 조합들로 조합될 수 있다. 하나의 이러한 예시는, 조명의 1 이상의 특징과 함께 패터닝 디바이스 패턴의 1 이상의 특징의 구성을 수반하는 소스-마스크 최적화(SMO)이다. 최적화 기술들은 클립들 중 1 이상에 초점을 맞출 수 있다.
예를 들어, 에칭 공정 및/또는 다른 공정들을 최적화하기 위해 유사한 모델링 기술들이 적용될 수 있다. 일부 실시예들에서, 조명 모델(231), 투영 광학기 모델(232), 디자인 레이아웃 모델(235), 레지스트 모델(237), 및/또는 다른 모델들이 예를 들어 에칭 모델과 함께 사용될 수 있다. 예를 들어, 현상 후 검사(ADI) 모델[예를 들어, 디자인 레이아웃 모델(235), 레지스트 모델(237) 및/또는 다른 모델들 중 일부 및/또는 전부로서 포함됨]로부터의 출력이 사용되어 ADI 윤곽을 결정할 수 있고, 이는 유효 에칭 편향(EEB) 모델에 제공되어 예측된 에칭 후 검사(AEI) 윤곽을 생성할 수 있다.
일부 실시예들에서, 시스템의 최적화 프로세스가 비용 함수로서 표현될 수 있다. 최적화 프로세스는 비용 함수를 최소화하는 시스템의 파라미터들(디자인 변수들, 공정 변수들 등)의 세트를 발견하는 것을 포함할 수 있다. 비용 함수는 최적화의 목표에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 소정 특성들[평가 포인트(evaluation point)들]의 의도된 값들(예를 들어, 이상적인 값들)에 대한 이러한 특성들의 편차들의 가중 RMS(root mean square)일 수 있다. 또한, 비용 함수는 이 편차들의 최대값(즉, 가장 심한 편차)일 수도 있다. "평가 포인트들"이라는 용어는 시스템 또는 제작 방법의 여하한의 특성들을 포함하는 것으로 폭넓게 해석되어야 한다. 시스템의 디자인 및/또는 공정 변수들은 시스템 및/또는 방법의 구현들의 실용성(practicality)들로 인해 상호의존적이고, 및/또는 유한한 범위들로 한정될 수 있다. 리소그래피 투영 장치의 경우, 제약은 흔히 패터닝 디바이스 제조성 디자인 규칙들, 및/또는 조절가능한 범위들과 같은 하드웨어의 물리적 속성들 및 특성들과 관련된다. 예를 들어, 평가 포인트들은 기판 상의 레지스트 이미지에 대한 물리적 포인트, 및 1 이상의 에칭 파라미터, 도즈 및 포커스 등과 같은 비-물리적 특성들을 포함할 수 있다.
에칭 시스템에서, 일 예시로서 비용 함수(CF)는 다음과 같이 표현될 수 있다:
Figure pct00001
이때, (z1,z2,…,zN)는 N 개의 디자인 변수들 또는 그 값들이며, fp(z1,z2,…,zN)는 (z1,z2,…,zN)의 디자인 변수들의 값들의 세트에 대한 특성의 실제 값과 의도된 값 간의 차이와 같은 디자인 변수들(z1,z2,…,zN)의 함수일 수 있다. 일부 실시예들에서, wp는 fp(z1,z2,…,zN)와 연계된 가중치 상수이다. 예를 들어, 특성은 에지 상의 주어진 지점에서 측정된, 패턴의 에지의 위치일 수 있다. 상이한 fp(z1,z2,…,zN)는 상이한 가중치(wp)를 가질 수 있다. 예를 들어, 특정 에지가 좁은 범위의 허용된 위치들을 갖는 경우, 에지의 실제 위치와 의도된 위치 간의 차이를 나타내는 fp(z1,z2,…,zN)에 대한 가중치(wp)에 더 높은 값이 주어질 수 있다. 또한, fp(z1,z2,…,zN)는 디자인 변수들(z1,z2,…,zN)의 함수인 중간층 특성의 함수일 수 있다. 물론, CF(z1,z2,…,zN)는 앞선 수학식의 형태에 제한되지 않으며, CF(z1,z2,…,zN)는 여하한의 다른 적절한 형태일 수 있다.
비용 함수는 에칭 시스템, 에칭 공정, 리소그래피 장치, 리소그래피 공정 또는 기판의 여하한의 1 이상의 적절한 특성, 예를 들어 포커스, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전, 확률적 변동, 스루풋, 국부적 CD 변동, 공정 윈도우, 중간층 특성, 또는 그 조합을 나타낼 수 있다. 일부 실시예들에서, 비용 함수는 레지스트 이미지의 1 이상의 특성을 나타내는 함수를 포함할 수 있다. 예를 들어, fp(z1,z2,…,zN)는 단순히 레지스트 이미지 내의 지점과 그 지점의 의도된 위치 간의 거리[즉, 예를 들어 에칭 및/또는 일부 다른 공정 후 에지 배치 오차 EPEp(z1,z2,…,zN)]일 수 있다. 파라미터들(예를 들어, 디자인 변수들)은 에칭 시스템, 소스, 패터닝 디바이스, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터와 같은 여하한의 조정가능한 파라미터를 포함할 수 있다.
파라미터들(예를 들어, 디자인 변수들)은 제약들을 가질 수 있으며, 이는 (z1,z2,…,zN) ∈ Z로서 표현될 수 있고, 이때 Z는 디자인 변수들의 가능한 값들의 일 세트이다. 디자인 변수들에 대한 한 가지 가능한 제약은 리소그래피 투영 장치의 요구되는 스루풋에 의해 부과될 수 있다. 요구되는 스루풋에 의해 부과되는 이러한 제약이 없으면, 최적화는 비현실적인 디자인 변수들의 값들의 세트를 산출할 수 있다. 제약들이 필요로서 해석되어서는 안 된다.
일부 실시예들에서, 조명 모델(231), 투영 광학기 모델(232), 디자인 레이아웃 모델(235), 레지스트 모델(237), 에칭 모델 및/또는 집적 회로 제조 공정과 연계된, 및/또는 이에 포함된 다른 모델들은 경험적 및/또는 다른 시뮬레이션 모델일 수 있다. 경험적 모델은 다양한 입력들(예를 들어, 곡률과 같은 패턴의 1 이상의 특성, 패터닝 디바이스의 1 이상의 특성, 파장과 같은 리소그래피 공정에서 사용되는 조명의 1 이상의 특성 등) 사이의 상관관계들에 기초하여 출력들을 예측할 수 있다.
일 예시로서, 경험적 모델은 기계 학습 모델 및/또는 여하한의 다른 파라미터화된 모델일 수 있다. 일부 실시예들에서, 기계 학습 모델은 (예를 들어) 수학적 방정식, 알고리즘, 플롯, 차트, 네트워크(예를 들어, 뉴럴 네트워크), 및/또는 다른 툴들 및 기계 학습 모델 구성요소들일 수 있고, 및/또는 이를 포함할 수 있다. 예를 들어, 기계 학습 모델은 입력 층, 출력 층, 및 1 이상의 중간 또는 은닉 층을 갖는 1 이상의 뉴럴 네트워크일 수 있고, 및/또는 이를 포함할 수 있다. 일부 실시예들에서, 1 이상의 뉴럴 네트워크는 딥 뉴럴 네트워크(예를 들어, 입력 층과 출력 층 사이에 1 이상의 중간 또는 은닉 층을 갖는 뉴럴 네트워크)일 수 있고, 및/또는 이를 포함할 수 있다.
일 예시로서, 1 이상의 뉴럴 네트워크는 뉴럴 유닛들(또는 인공 뉴런들)의 큰 집단에 기초할 수 있다. 1 이상의 뉴럴 네트워크는 (예를 들어, 축삭에 의해 연결되는 생물학적 뉴런의 큰 클러스터를 통해) 생물학적 뇌가 작동하는 방식을 느슨하게 모방할 수 있다. 뉴럴 네트워크의 각각의 뉴럴 유닛이 뉴럴 네트워크의 많은 다른 뉴럴 유닛들과 연결될 수 있다. 이러한 연결들은 연결된 뉴럴 유닛들의 활성화 상태에 대한 그 효과를 강제 또는 억제할 수 있다. 일부 실시예들에서, 각각의 개별적인 뉴럴 유닛은 그 모든 입력의 값들을 함께 조합하는 합산 함수를 가질 수 있다. 일부 실시예들에서, 각각의 연결(또는 뉴럴 유닛 자체)은 신호가 다른 뉴럴 유닛들로 전파하게 되기 전에 임계치를 넘어야 하도록 임계치 함수를 가질 수 있다. 이 뉴럴 네트워크 시스템들은 명백하게 프로그램되기보다는 자기-학습 및 트레이닝될 수 있고, 전통적인 컴퓨터 프로그램들과 비교하여 문제 해결의 소정 영역들에서 상당히 더 우수하게 수행할 수 있다. 일부 실시예들에서, 1 이상의 뉴럴 네트워크는 다수 층들[예를 들어, 신호 경로가 전방 층(front layer)들로부터 후방 층(back layer)들로 가로지르는 경우]을 포함할 수 있다. 일부 실시예들에서, 역전파 기술들이 뉴럴 네트워크들에 의해 이용될 수 있고, 여기서 순방향 자극(forward stimulation)이 "전방" 뉴럴 유닛들에 대한 가중치를 리셋하는 데 사용된다. 일부 실시예들에서, 1 이상의 뉴럴 네트워크에 대한 자극 및 억제는 더 자유 유동적일 수 있고, 연결들은 더 혼란스럽고 복잡한 방식으로 상호작용한다. 일부 실시예들에서, 1 이상의 뉴럴 네트워크의 중간 층들은 1 이상의 컨볼루션 층, 1 이상의 순환 층, 및/또는 다른 층들을 포함한다.
1 이상의 뉴럴 네트워크는 트레이닝 정보의 세트를 사용하여 트레이닝될 수 있다(즉, 그 파라미터들이 결정됨). 트레이닝 정보는 트레이닝 샘플들의 세트를 포함할 수 있다. 각각의 샘플은 입력 객체(전형적으로, 피처 벡터로 불릴 수 있는 벡터) 및 원하는 출력 값[감시 신호(supervisory signal)라고도 함]을 포함하는 쌍일 수 있다. 트레이닝 알고리즘은 트레이닝 정보를 분석하고, 트레이닝 정보에 기초하여 뉴럴 네트워크의 파라미터들(예를 들어, 1 이상의 층의 가중치들)을 조정함으로써 뉴럴 네트워크의 거동을 조정한다. 예를 들어, xi가 i-번째 예시의 피처 벡터이고 yi가 그 감시 신호이도록 {(x1,y1),(x2,y2),…,(xN,yN)} 형태의 N 개의 트레이닝 샘플들의 세트가 주어지면, 트레이닝 알고리즘이 뉴럴 네트워크 를 찾으며, 이때 X는 입력 공간이고 Y는 출력 공간이다. 피처 벡터는 일부 객체(예를 들어, 시뮬레이션된 에어리얼 이미지, 웨이퍼 디자인, 칩 등)를 나타내는 수치적 특징들의 n-차원 벡터이다. 이 벡터들과 연계된 벡터 공간은 흔히 피처 공간으로 불린다. 트레이닝 후, 뉴럴 네트워크는 새로운 샘플들을 사용하여 예측을 수행하는 데 사용될 수 있다.
또 다른 예시로서, 경험적(시뮬레이션) 모델은 1 이상의 알고리즘을 포함할 수 있다. 1 이상의 알고리즘은 수학적 방정식, 플롯, 차트, 및/또는 다른 툴들 및 모델 구성요소들일 수 있고, 및/또는 이를 포함할 수 있다.
도 3은 본 발명의 일 실시예에 따른 패턴 레이아웃의 대표 부분들(예컨대, 클립들 또는 패치들)을 선택하는 예시적인 방법(300)을 나타낸다. 방법(300)은 패턴 레이아웃(예컨대, 디자인 레이아웃)에 대한 대표 부분들의 최적화된, 기하학적으로 다양한 서브세트를 선택하는 방법이다. 일부 실시예들에서, 방법(300)은 패턴 레이아웃의 원본(예를 들어, 완전한) 표현을 수신하는 단계(302), 대표 부분들에서 패턴 레이아웃 전체에 걸쳐 반복되는 패턴들(예를 들어, 패턴 레이아웃 전체에 걸쳐 여러 위치에서 반복되는 기하학적 패턴)을 그룹화(306)함으로써 패턴 레이아웃의 대표 부분들(예를 들어, 클립들)의 세트를 결정하는 단계(304), 대표 부분들의 서브세트를 선택하는 단계(308), 및 다양한 하류 적용들을 위해 대표 부분들의 서브세트를 제공하는 단계(310)를 포함한다.
일부 실시예들에서, 비-일시적 컴퓨터 판독가능한 매체는 컴퓨터에 의해 실행될 때, 컴퓨터가 작업들(302 내지 310) 중 1 이상 및/또는 다른 작업들을 실행하게 하는 명령어들을 저장한다. 방법(300)의 작업들은 설명을 위한 것이다. 일부 실시예들에서, 방법(300)은 설명되지 않은 1 이상의 추가적인 작업들로, 및/또는 논의된 작업들 중 1 이상 없이 달성될 수 있다. 예를 들어, 작업 310 및/또는 다른 작업들은 선택적일 수 있다. 추가적으로, 방법(300)의 작업들이 도 3에 예시되고 본 명세서에서 설명되는 순서는 제한적인 것으로 의도되지 않는다.
작업 302에서, 패턴 레이아웃의 표현이 수신된다. 패턴 레이아웃의 표현은 패턴 레이아웃의 모든 또는 실질적으로 모든 패턴들일 수 있고, 및/또는 이를 포함할 수 있다. 예를 들어, 이는 원본 또는 완전한 표현으로 간주될 수 있다. 표현은 시뮬레이션, 이미지 및 전자 파일, 및/또는 다른 표현들을 포함할 수 있다. 표현은 패턴 레이아웃의 패턴들 자체를 설명하는 정보 및/또는 패턴들과 관련된 정보를 포함할 수 있다. 패턴들은 패턴 레이아웃에서의 윤곽들의 기하학적 형상들 및/또는 기하학적 형상들과 관련된 정보를 포함할 수 있다. 일 예시로서 반도체 칩을 사용하면, 패턴 레이아웃의 표현은 (예를 들어, 검사들 및/또는 다른 작업들을 용이하게 하도록 구성되는 패턴 레이아웃 구조체들을 포함하여) 칩 디자인을 구성하는 모든(또는 실질적으로 모든) 패턴들을 포함할 수 있다. 이는 시뮬레이션, 이미지, .GDS 파일 등에 나타낸 바와 같이 채널, 돌출부, 비아(via), 격자 등을 포함할 수 있다.
일부 실시예들에서, 대표 부분 선택 또는 패턴 선택은 레이아웃 디자인으로부터 직접 얻어진 패턴 다각형들에 기초할 수 있다. 일부 다른 실시예들에서, 대표 부분 선택 또는 패턴 선택은 패턴 레이아웃의 패턴 이미지들 또는 윤곽들에 기초할 수 있으며, 여기서 이미지들 또는 윤곽들은 여하한의 적절한 검사 또는 메트롤로지 시스템, 또는 시뮬레이션으로부터 얻어질 수 있다. 예를 들어, 선택은 측정 또는 시뮬레이션된 바와 같은 패턴들의 에어리얼 이미지, 광학 이미지, 마스크 이미지, 레지스트 이미지, 에칭 이미지, 웨이퍼 이미지에 기초할 수 있다.
패턴 레이아웃에서의 패턴들은, 예를 들어 2 차원 및/또는 3 차원 기하학적 형상들을 포함할 수 있다. 수신된 표현은 형상들의 특성들을 설명하는 데이터(예를 들어, X-Y 차원 데이터 포인트, 기하학적 형상을 설명하는 수학적 방정식 등), 형상들과 연계된 처리 파라미터들, 및/또는 다른 데이터를 포함한다. 일부 실시예들에서, 패턴 레이아웃의 표현은 (예를 들어, 이전에 검사된 웨이퍼로부터의) 패턴 레이아웃에 대한 현상 후 검사(ADI)로부터의 검사 결과들, 패턴 레이아웃 내의 패턴의 모델, 및/또는 다른 정보를 포함할 수 있다. 패턴 레이아웃에 대한 현상 후 검사로부터의 검사 결과들은 스캐닝 전자 현미경, 광학 메트롤로지 툴, 및/또는 다른 소스들로부터 얻어질 수 있다. 일부 실시예들에서, 패턴들은 (예를 들어, 도 2에 나타내고 앞서 설명된 바와 같은) 레지스트 모델, (예를 들어, 도 2에 나타내고 앞서 설명된 바와 같은) 광학 모델, 에칭 모델, 에칭 편향 모델 및/또는 다른 모델링 소스들로부터 발생하는 에어리얼 이미지, 마스크 이미지, 에칭 이미지 등으로부터 얻어질 수 있다.
일부 실시예들에서, 패턴 레이아웃의 표현은 .GDS 파일, .GDSII 파일, .OASIS 파일, 및/또는 다른 파일 포맷들을 갖는 전자 파일, 및/또는 패턴 레이아웃의 또 다른 전자 표현을 포함한다. 표현은 본 시스템의 1 이상의 다른 부분으로부터(예를 들어, 상이한 프로세서로부터, 또는 단일 프로세서의 상이한 부분으로부터), 본 시스템과 연계되지 않은 원격 컴퓨팅 시스템으로부터, 및/또는 다른 소스들로부터 전자적으로 수신될 수 있다. 표현은 무선으로 및/또는 와이어를 통해, 휴대용 저장 매체를 통해, 및/또는 다른 소스들로부터 수신될 수 있다. 표현은, 예를 들어 클라우드 저장소와 같은 또 다른 소스로부터 업로드 및/또는 다운로드될 수 있고, 및/또는 다른 방식들로 수신될 수 있다.
작업 304에서, 패턴 레이아웃의 대표 부분들(예컨대, 클립들 또는 패치들)의 세트가 결정된다. 대표 부분들은 패턴 레이아웃의 상이한 부분들을 나타낼 수 있다. 대표 부분들은 패턴 레이아웃으로부터의 여러 상이한 고유 대표 부분들을 포함할 수 있다. 일부 실시예들에서, 앞서 설명된 바와 같이, 패턴 레이아웃은 예를 들어 반도체 웨이퍼에 대한 디자인 레이아웃을 포함하고, 대표 부분들은 디자인 레이아웃의 클립들을 포함한다. 일부 실시예들에서, 패턴 레이아웃은 예를 들어 상이한 디바이스에 대한 디자인 레이아웃을 포함하며, 대표 부분들은 상이한 디바이스의 상이한 부분들을 포함한다.
개별적인 대표 부분들(예컨대, 클립들 또는 패치들)은 패턴 레이아웃(예컨대, 디자인 레이아웃)의 1 이상의 고유 패턴을 포함한다. 패턴은 예를 들어 기하학적으로, 및/또는 다른 이유들로 고유할 수 있다. 다시 말해서, 결정된 클립들의 세트 내의 클립은 디자인 레이아웃의 1 이상의 기하학적 고유 패턴을 포함할 수 있다. 패턴 레이아웃의 대표 부분들의 세트는 개별적인 대표 부분들이 1 이상의 고유 패턴의 상이한 조합들을 포함하도록 결정된다. 일부 경우에, 고유 패턴들 중 적어도 하나는 1보다 많은 대표 부분에 포함된다.
도 4는 패턴 레이아웃의 대표 부분들(예컨대, 클립들)의 세트를 결정하는 것과 관련된 추가적인 세부사항을 나타낸다. 도 4는 패턴 레이아웃(예컨대, 디자인 레이아웃)의 1 이상의 고유 패턴(A 내지 M)을 포함하는 개별적인 대표 부분들(예컨대, 클립들)(400, 402, 404, 및 406)을 나타낸다. 다시 말해서, 결정된 클립들의 세트[예를 들어, 클립들(400 내지 406)을 포함하는 클립들의 그룹] 내의 클립(400 내지 406)은 디자인 레이아웃의 1 이상의 식별된 고유 패턴(A 내지 M)을 포함할 수 있다. 도 4에 나타낸 바와 같이, 패턴 레이아웃의 대표 부분들(예컨대, 클립들)(400 내지 406)의 세트는 개별적인 대표 부분들(클립들)(400 내지 406)이 1 이상의 고유 패턴(A 내지 M)의 상이한 조합들을 포함하도록 결정된다. 일부 실시예들에서, 패턴은 동일한 대표 부분(예컨대, 클립) 내에서, 및/또는 2 이상의 대표 부분들(예컨대, 클립들)에 걸쳐 반복될 수 있다. 일부 실시예들에서, 패턴들(A 내지 M) 중 적어도 하나는 1보다 많은 대표 부분(예컨대, 클립)(400 내지 406)에 포함된다. 이 예시에서, A, B 및 J는 동일한 패턴이며, 이는 클립 400 및 클립 406에서 반복된다. 패턴들 C, D 및 K는 클립들 400, 402 및 406에서 반복되는 동일한 패턴이다. 패턴들 E 및 F는 클립 402에서 반복되는 동일한 패턴이다. 패턴들 G 및 H는 클립 404에서 반복되는 동일한 패턴이다. 마지막으로, 패턴들 I, L, 및 M은 클립들 404 및 406에서 반복되는 동일한 패턴이다.
일부 실시예들에서, 도 4에 나타낸 바와 같이, 패턴 레이아웃의 표현이 수신될 수 있다(또한, 앞서 설명된 도 3의 작업 302 참조). 패턴들 A 내지 M이 주어진 클립(400 내지 406) 내에서 식별될 수 있다(410). 반복되는(동일하거나 거의 동일한, 예를 들어 유사하거나 비슷한) 패턴들은 (예를 들어, 정확한 및/또는 퍼지 매칭 알고리즘들, 클러스터링 등에 의해) 함께 그룹화될 수 있다. 각각의 클립(400 내지 406) 내의 여하한의 반복 패턴들(A 내지 M)은 그룹화될 수 있고(412), 그 후 패턴 그룹들은 전체 패턴 레이아웃(디자인 레이아웃)에 걸쳐 더 그룹화될 수 있다(414). (앞서 설명된 바와 같이 동일한 및/또는 유사한 패턴들을 포함할 수 있는) 고유 패턴들은 전체 패턴 레이아웃에 걸쳐 반복 인스턴스들을 가질 수 있다. 도 4에서, 반복되는 동일한 및/또는 유사한 패턴들은 예를 들어 함께 그룹화되는 패턴들 A, B 및 J; 패턴들 C, D 및 K; 패턴들 E 및 F; 패턴들 G 및 H; 및 패턴들 I, L 및 M을 포함한다. 도 4는 처음에 그룹화(412)된 후 각각의 패턴에 대한 소계 수량(subtotal quantity)(예를 들어, 2, 1, 1, 2, 2, 1, 1, 1, 2), 및 전체 패턴 레이아웃에 걸쳐 그룹화(414)된 후 각각의 패턴에 대한 최종 총 수량(예를 들어, 3, 3, 2, 2, 3)을 나타낸다.
도 3으로 되돌아가면, 일부 실시예들에서, 대표 부분들의 세트는 (예를 들어, 도 4에 나타내고 설명된 바와 같이) 대표 부분들에서 반복되는 동일한 및/또는 유사한 패턴들을 그룹화(306)함으로써 결정된다. 대표 부분들의 세트는 그룹화된 패턴들 및/또는 다른 정보에 기초하여 결정된다. 일부 실시예들에서, 패턴 레이아웃 전체에 걸쳐 반복되는 패턴들은 고유 패턴들을 결정하기 위해 그룹화되고, 대표 부분들의 세트는 그룹화된 패턴들 및/또는 다른 정보에 기초하여 결정된다.
예를 들어, 도 5는 뷰 501 및 503에서 반복되는 동일한 및/또는 유사한 패턴들(504)의 그룹들(502)에 기초하여 대표 부분들(클립들 또는 패치들)의 세트(500)를 결정하는 것을 예시한다. 도 5는 클립들(500), 패턴들(504) 및 그룹들(502) 간의 연결 그래프를 예시한다. 뷰 501에 나타낸 바와 같이, 대표 부분들의 세트[예컨대, 클립들(500)의 세트]는 대표 부분들에서 반복되는 동일한 및/또는 유사한 패턴들(504)(도 4에 나타내고 설명된 412 및 414 참조)을 그룹들(502)로 그룹화(306)함으로써 결정된다. 뷰 503에 나타낸 바와 같이, 대표 부분들(클립들)(500)의 세트는 반복되는 동일한 및/또는 유사한 패턴들(504)의 그룹들(502)에 기초하여, 대표 부분들(클립들)(500)의 세트가 각각의 그룹(502)으로부터의 고유 패턴(504)을 포함하도록 결정된다. 도 5에 나타낸 예시에서, 그룹 515로부터의 패턴은 클립 505에 포함된다. 그룹 517로부터의 패턴들은 클립들 505 및 513에 포함된다. 그룹 519로부터의 패턴은 클립 511에 포함된다. 그룹 521로부터의 패턴들은 클립들 507 및 511에 포함된다.
도 3으로 되돌아가면, 작업 308에서, 대표 부분들(예컨대, 클립들 또는 패치들)의 서브세트가 선택 및/또는 달리 결정된다. 대표 부분들의 서브세트는, 서브세트 내의 대표 부분들이 소정 양 기준을 충족하고, 서브세트에 포함되는 기하학적 고유 패턴들도 소정 다양성 또는 양 기준을 충족하도록 선택된다. 대표 부분들의 서브세트는 1 이상의 고유 패턴, 그룹 및/또는 다른 정보에 기초하여 결정된다. 또한, 대표 부분들의 서브세트 내의 부분들은 대표 부분들 간의 동일한 및/또는 유사한 패턴들의 중복의 양이 최소화되도록 결정될 수 있으며, 대표 부분들의 서브세트는 패턴 레이아웃에 대한 다양한 기하학적 정보를 포함한다.
일부 실시예들에서, 이는 대표 부분들의 세트 내의 대표 부분들의 수보다 약 10 배 적은 대표 부분들의 서브세트 내의 대표 부분들의 수를 유도한다. 일부 실시예들에서, 대표 부분들의 서브세트 내의 대표 부분들의 수는 대표 부분들의 세트 내의 대표 부분들의 수보다 약 100 배 적다. 일부 실시예들에서, 대표 부분들의 서브세트 내의 대표 부분들의 수는 대표 부분들의 세트 내의 대표 부분들의 수보다 약 1000 배 적다. 앞서 설명된 바와 같이, 이는 다른 이점들 중에서도 필요한 컴퓨팅 리소스를 상당히 감소시키고, 이후 컴퓨팅 프로세스(예를 들어, 전자 모델링 및/또는 다른 컴퓨팅 프로세스)의 속도를 높일 수 있다.
대표 부분들의 서브세트는 조합하여, 1 이상의 고유 패턴, 그룹 및/또는 다른 정보에 기초하여 선택된다. 선택된 대표 부분들의 서브세트는 규정된 기준을 충족하는 다수의 고유 패턴들을 포함한다. 일부 실시예들에서, 규정된 기준은 선택된 대표 부분들의 서브세트 내의 적어도 임계값 수의 고유 패턴들의 포함을 포함한다. 임계값 수는 조합하여, 선택된 대표 부분들의 서브세트에 포함된 고유 패턴들이 적절한 패턴 커버리지를 제공하고, 예를 들어 패턴 레이아웃의 전체(또는 거의 전체)를 대표할 것을 보장하도록 구성된다. 임계값 수는, 예를 들어 고유 패턴들의 수 및/또는 다른 임계값 수들이거나 이에 대응할 수 있다. 임계값 수는 사용자에 의해 설정되고; 고유 패턴들, 패턴 레이아웃의 대표 부분들의 세트, 및/또는 다른 정보에 기초하여 자동으로 설정되고; 및/또는 다른 방식들로 설정될 수 있다. 예를 들어, 대표 부분들의 서브세트는 최소(또는 가능한 한 적은) 수의 대표 부분들에 각각의 기하학적 고유 패턴을 포함하도록 구성될 수 있다.
일부 실시예들에서, 선택된 대표 부분들의 서브세트는 최적으로 다양한 고유 패턴들의 그룹을 포함하며, 규정된 제한 수보다 적은 대표 부분들을 갖는다. 최적으로 다양한 고유 패턴들의 그룹은, 선택된 서브세트를 형성하는 대표 부분들의 사전설정된 수가 주어질 때, 조합하여 가능한 한 많이 패턴 레이아웃을 나타내는 지오메트리들을 갖는 복수의 고유 패턴들을 포함한다. 일부 실시예들에서, 선택된 대표 부분들의 서브세트는 최대 수의 대표 부분들에서 가능한 한 많은 패턴 레이아웃의 기하학적 고유 패턴들을 가질 수 있다. 일부 실시예들에서, 이 최대 수는 서브세트 선택 알고리즘 또는 방법, 예를 들어 세트 커버 솔버 알고리즘에 의해 좌우된다.
일부 실시예들에서, 대표 부분들의 서브세트를 선택하거나 달리 결정하는 것은 이산 옵티마이저[예를 들어, 도 7에 나타내고 아래에서 설명되는 프로세서(PRO)]에 의해 수행된다. 대표 부분들의 서브세트는 패턴 레이아웃으로부터 최대량의 고유 지오메트리를 포함하도록 최적화된다. 일부 실시예들에서, 조합되는 대표 부분들의 선택된 서브세트는 적어도 임계값 수의 고유 패턴들을 포함한다. 일부 실시예들에서, 조합되는 대표 부분들의 선택된 서브세트는 규정된 제한 수보다 적은 대표 부분들에 최적으로 다양한 고유 패턴들의 그룹을 포함한다. 일부 실시예들에서, 옵티마이저는 주어진 비용 함수의 최소값을 찾는 컴퓨터 알고리즘으로서 구현될 수 있다. 예를 들어, 옵티마이저는 다수 변수들을 함께 결정하도록 구성되는 기울기 기반 비-선형 옵티마이저일 수 있다. 여기서, 변수들은 예를 들어 다수의 고유 패턴들, 다수의 대표 부분들(예를 들어, 클립들), 및/또는 다른 변수들을 포함할 수 있다. 옵티마이저는 상이한 메트릭들(예를 들어, 임계 치수, 패턴 레이아웃 배치 오차, 에지 배치 오차, 임계 치수 비대칭, 결함 수, 및/또는 이후 모델링에 기초하여 생성될 수 있는 다른 메트릭들)과 연계된 제조 능력 또는 비용에 대해 상이한 가능한 변수들(예를 들어, 각각 자체 허용가능한 범위들 내의 고유 패턴들의 수, 클립들의 수 등)의 균형을 맞추도록 구성될 수 있다. 이산 옵티마이저는 정수 선형 프로그래밍 및/또는 다른 기술들을 사용하여 대표 부분들의 서브세트를 결정할 수 있다.
일부 실시예들에서, 이산 옵티마이저는 세트 커버 솔버 알고리즘을 실행하도록 구성되는 세트 커버 솔버를 포함하도록 구현될 수 있다. 예를 들어, 일부 실시예들에서, 이산 옵티마이저는 (소위 전체 집합이라고 하는) n 개의 고유 패턴들의 세트, E={E1,E2,...En} 및 합집합이 전체 집합과 같은 상이한 패턴 레이아웃들을 갖는 클립들의 컬렉션이 주어질 때, 작업 308이 패턴들의 전체 집합과 합집합이 동일한 클립들의 가장 작은 서브-컬렉션을 식별하는 것을 포함하도록 구성된다. 일부 실시예들에서, 이산 옵티마이저는 n 개의 고유 패턴들의 세트, E={E1,E2,...En} 및 합집합이 전체 집합과 같은 상이한 패턴 레이아웃들을 갖는 클립들의 컬렉션이 주어질 때, 작업 308이 최적으로 다양한 고유 패턴들의 그룹을 포함하고 규정된 제한 수보다 적은 대표 부분들을 갖는 선택된 대표 부분들의 서브세트를 식별하는 것[예를 들어, 합집합이 패턴들의 전체 집합에 가능한 한 가까워지는(예를 들어, 가장 잘 표현하도록 최적화되는) 최대 수의 클립들을 식별하는 것]을 포함하도록 구성된다.
일부 실시예들에서, 대표 부분들(클립들)의 서브세트가 최적으로 다양한 고유 패턴들의 그룹을 포함하고, 규정된 제한 수보다 적은 대표 부분들을 갖는 경우, 대표 부분들의 서브세트는 이들이 포함하는 1 이상의 고유 패턴에 기초하여 순위가 매겨질 수 있다. 일부 실시예들에서, 대표 부분들의 서브세트는 각각의 대표 부분이 포함하는 1 이상의 고유 패턴의 양 및/또는 희귀성, 및/또는 다른 정보에 기초하여 순위가 매겨진다. 대표 부분들의 서브세트는 순위 및/또는 다른 정보에 기초하여 결정된다. 예를 들어, 대표 부분들(클립들)의 규정된 제한(예를 들어, 최대 허용가능한) 수에 도달할 때까지 가장 높은 순위를 갖는 클립들이 순서대로 선택될 수 있다.
일부 실시예들에서, 대표 부분들의 규정된 제한 및/또는 최대 수는 사용자에 의해 또는 자동으로 설정될 수 있다. 예를 들어, 대표 부분들의 규정된 및/또는 최대 수는 (예를 들어, 도 7에 나타내고 아래에서 설명되는 컴퓨팅 시스템에 의해 제어 및/또는 디스플레이되는) 그래픽 사용자 인터페이스의 1 이상의 뷰에서 1 이상의 필드를 통해 입력될 수 있다. 일부 실시예들에서, 대표 부분들의 규정된 및/또는 최대 수는 전자적으로(예를 들어, 도 7에 나타내는 PRO와 같은 프로세서에 의해) 결정된다. 일부 실시예들에서, 사용자 설정되는 규정 및/또는 최대값, 및/또는 전자적으로 결정되는 규정 및/또는 최대값은 대표 타겟 부분들의 타겟 양, 가용 컴퓨팅 리소스와 관련된 컴퓨터 파워 및/또는 스토리지, 대표 부분들과 관련된 제조 공정, 스루풋 요건, 및/또는 다른 정보에 기초하여 결정될 수 있다.
도 6은 뷰 660 및 665에서, 대표 부분들(예컨대, 클립들)(601 내지 604)의 서브세트(650)를 선택(651)하는 예시를 나타낸다. 이해를 돕기 위해, 뷰 660 및 665는 상이한 그래픽들로 동일한 선택(651) 프로세스의 두 버전들을 나타낸다(예를 들어, 뷰 660은 제약 그래프 그래픽을 제공함). 대표 부분들의 서브세트(650)는 결정된 대표 부분들의 세트(655)[예를 들어, 이 예시에서는 클립들(601 내지 604)이 세트(655)를 형성함]로부터 선택되며, [예를 들어, 이 예시에서는 4 개의 클립들(601 내지 604)을 포함하는] (전체) 세트(655) 내의 대표 부분들의 수보다 적은 수의 대표 부분들[예를 들어, 이 예시에서는 서브세트(650)가 2 개의 클립들(601 및 604)을 포함함]을 포함한다. 대표 부분들의 서브세트(650)는 1 이상의 고유 패턴(예를 들어, 이 예시에서는 E1 내지 E5, 이는 이미 앞서 설명된 바와 같이 그룹화될 수 있음) 및/또는 다른 정보에 기초하여 결정된다. 대표 부분들(클립들)(601 내지 604)의 서브세트(650)는 대표 부분들의 서브세트(650) 내의 각 대표 부분(클립)(601 및 604)이 대표 부분들(클립)(601 및 604)의 서브세트(650) 내의 다른 대표 부분에 비해 1 이상의 고유 패턴[예를 들어, 부분(클립)(601) 내의 E1 내지 E3, 및 부분(클립)(604) 내의 E4 및 E5]의 상이한 구성을 포함하도록 선택된다. 또한, 서브세트(650) 내의 부분들(클립들)(601 및 604)은 후보 부분들(클립들)(601 내지 604) 사이의 고유 패턴들(E1 내지 E5)의 중복의 양이 최소화되고, 서브세트(650)가 패턴 레이아웃에 대한 다양한 기하학적 정보를 포함하도록 결정된다(651). 도 6에 나타낸 예시에서는, 부분들(클립들) 601 및 604만이 선택되었는데, 이는 이 두 클립들이 조합하여 패턴들(E1 내지 E5) 각각의 적어도 하나의 인스턴스를 포함하기 때문이다. 클립들 602 및 603은 중복 정보(예를 들어, E2, E3 및 E4의 추가적인 인스턴스들)를 포함한다.
도 3으로 되돌아가면, 작업 310에서, 대표 부분들의 서브세트는 다양한 하류 적용들을 위해 제공된다. 일부 실시예들에서, 작업 310은 검사 또는 메트롤로지를 위해 선택된 대표 부분들의 서브세트를 제공하는 것을 포함한다. 패턴들 또는 패턴들과 연계된 데이터는 물리적, 반(semi)-물리적 또는 경험적 모델을 위한 캘리브레이션 데이터로서 사용될 수 있거나, 기계 학습 모델을 트레이닝하기 위한 트레이닝 데이터로서 사용될 수 있다. 패턴들과 연계된 데이터는 패턴들과 연계된 시뮬레이션 데이터 또는 검사 또는 메트롤로지 데이터일 수 있다. 일부 실시예들에서, 선택된 대표 부분들의 서브세트 중 1 이상이 모델로부터의 예측(출력)(예를 들어, 반도체 제조 공정에 대한 예측)을 생성할 목적으로 트레이닝된 기계 학습 모델에 입력으로서 제공될 수 있다. 제공은 기계 학습 시뮬레이션 모델에 대표 부분을 전자적으로 전송, 업로드, 및/또는 달리 입력하는 것을 포함할 수 있다. 일부 실시예들에서, 시뮬레이션 모델은 (예를 들어, "제공"이 필요하지 않고, 대신에 데이터가 단순히 시뮬레이션 모델로 직접 흐르도록) 다른 작업들(302 내지 310)을 야기하는 명령어들과 통합적으로 프로그램될 수 있다.
예를 들어, 대표 부분들의 서브세트 중 1 이상이 1 이상의 기계 학습 시뮬레이션 모델에 제공될 수 있다. 시뮬레이션 모델은 (예를 들어, 앞서 설명된 바와 같이) 1 이상의 기하학적 고유 피처가 패터닝 공정에 미칠 수 있는 영향을 예측하도록 구성될 수 있다. 예를 들어, 기계 학습 모델은 반도체 리소그래피 공정을 위한 광 근접 보정(OPC), 핫스폿 또는 결함 예측, 및/또는 소스 마스크 최적화(SMO), 및/또는 다른 작업들과 연계될 수 있다. 앞서 설명된 바와 같이, 트레이닝을 위한 클립들의 최적 서브세트를 선택하는 것이 모델 트레이닝 및/또는 실행 작업들 동안 런타임을 절약하고, 및/또는 다른 이점들을 가질 수 있다.
반도체 제조 공정에 대한 조정들이 이러한 모델로부터의 출력에 기초하여 이루어질 수 있다. 조정들은, 예를 들어 1 이상의 반도체 제조 공정 파라미터의 변경을 포함할 수 있다. 조정들은 패턴 파라미터(예를 들어, 크기, 위치 및/또는 다른 디자인 변수) 및/또는 에칭 시스템, 소스, 패터닝 디바이스, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터와 같은 여하한의 조정가능한 파라미터의 변경을 포함할 수 있다. 파라미터들은 프로세서(예컨대, 컴퓨터 제어기)에 의해 자동으로 또는 달리 전자적으로 조정되거나, 사용자에 의해 수동으로 변조되거나, 또는 다른 방식들로 조정될 수 있다. 일부 실시예들에서, 파라미터 조정들이 결정될 수 있고(예를 들어, 주어진 파라미터가 변경되어야 하는 양), 예를 들어 파라미터들은 이전 파라미터 설정점들로부터 새로운 파라미터 설정점들로 조정될 수 있다.
도 7은 본 명세서에 설명된 작업들 중 1 이상을 위해 사용될 수 있는 예시적인 컴퓨터 시스템(CS)의 다이어그램이다. 컴퓨터 시스템(CS)은 정보를 전달하는 버스(BS) 또는 다른 통신 기구, 및 정보를 처리하는 버스(BS)와 커플링된 프로세서(PRO)(또는 다중 프로세서들)를 포함한다. 또한, 컴퓨터 시스템(CS)은 프로세서(PRO)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(BS)에 커플링된 주 메모리(MM)를 포함한다. 또한, 주 메모리(MM)는 프로세서(PRO)에 의한 명령어들의 실행 동안 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(CS)은 프로세서(PRO)에 대한 정적 정보 및 명령어들을 저장하는 버스(BS)에 커플링된 ROM(read only memory: ROM) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(SD)가 제공되고 버스(BS)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(CS)은 버스(BS)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(DS)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(ID)는 정보 및 명령 선택(command selection)들을 프로세서(PRO)로 전달하기 위해 버스(BS)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(PRO)로 전달하고, 디스플레이(DS) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: CC)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축인 제 1 축(예를 들어, x) 및 제 2 축(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일부 실시예들에서, 주 메모리(MM)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(PRO)에 응답하여 컴퓨터 시스템(CS)에 의해 본 명세서에 설명된 1 이상의 방법의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(SD)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(MM)로 읽혀질 수 있다. 주 메모리(MM) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(PRO)가 본 명세서에 설명된 공정 단계들(작업들)을 수행하게 한다. 또한, 주 메모리(MM) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 일부 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(PRO)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(SD)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(MM)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(BS)를 포함하는 와이어들을 포함하여, 동축 케이블, 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체는 비-일시적이고, 예를 들어 플로피 디스크, 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드, 종이 테이프, 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지일 수 있다. 비-일시적 컴퓨터 판독가능한 매체는 명령어들이 기록되어 있을 수 있다. 명령어들은 컴퓨터에 의해 실행될 때, 본 명세서에 설명된 작업들 중 어느 하나를 구현할 수 있다. 일시적 컴퓨터 판독가능한 매체는, 예를 들어 반송파 또는 다른 전파 전자기 신호를 포함할 수 있다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(PRO)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(CS)에 로컬인 모뎀이 전화선 상의 데이터를 수신하고, 적외선 송신기를 사용하여 상기 데이터를 적외선 신호로 전환할 수 있다. 버스(BS)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(BS)에 놓을 수 있다. 버스(BS)는, 프로세서(PRO)가 명령어들을 회수하고 실행하는 주 메모리(MM)로 상기 데이터를 전달한다. 주 메모리(MM)에 의해 수신된 명령어들은 프로세서(PRO)에 의한 실행 전이나 후에 저장 디바이스(SD)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(CS)은 버스(BS)에 커플링된 통신 인터페이스(CI)를 포함할 수 있다. 통신 인터페이스(CI)는 로컬 네트워크(LAN)에 연결되는 네트워크 링크(NDL)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(CI)는 ISDN(integrated service digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(CI)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(CI)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(NDL)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(NDL)는 로컬 네트워크(LAN)를 통해 호스트 컴퓨터(host computer: HC)로의 연결을 제공할 수 있다. 이는 이제 보편적으로 "인터넷"(INT)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 제공되는 데이터 통신 서비스를 포함할 수 있다. 로컬 네트워크(LAN)(인터넷)는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용할 수 있다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(CS)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(CI)를 통한 네트워크 데이터 링크(NDL) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(CS)은 네트워크(들), 네트워크 데이터 링크(NDL) 및 통신 인터페이스(CI)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 호스트 컴퓨터(HC)가 인터넷(INT), 네트워크 데이터 링크(NDL), 로컬 네트워크(LAN) 및 통신 인터페이스(CI)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 명세서에 설명된 방법의 일부 또는 전부를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(PRO)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(SD) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(CS)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 8은 일 실시예에 따른 리소그래피 투영 장치의 개략적인 다이어그램이다. 리소그래피 투영 장치는 조명 시스템(IL), 제 1 대상물 테이블(MT), 제 2 대상물 테이블(WT), 및 투영 시스템(PS)을 포함할 수 있다. 조명 시스템(IL)은 방사선 빔(B)을 컨디셔닝(condition)할 수 있다. 이 예시에서, 조명 시스템은 방사선 소스(SO)도 포함한다. 제 1 대상물 테이블(예를 들어, 패터닝 디바이스 테이블)(MT)은 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기에 연결될 수 있다. 제 2 대상물 테이블(예를 들어, 기판 테이블)(WT)은 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기에 연결될 수 있다. (예를 들어, 렌즈를 포함하는) 투영 시스템(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭(catadioptric) 광학 시스템]은 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징할 수 있다. 예를 들어, 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 바와 같이, 상기 장치는 투과형으로 구성될 수 있다(즉, 투과 패터닝 디바이스를 가짐). 하지만, 일반적으로 이는 예를 들어 (반사 패터닝 디바이스를 갖는) 반사형으로 구성될 수도 있다. 상기 장치는 전형적인 마스크에 대해 상이한 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저, LPP(레이저 생성 플라즈마) EUV 소스]는 방사선 빔을 생성한다. 예를 들어, 이 빔은 곧바로 또는 빔 익스팬더(beam expander) 또는 (지향 거울들, 빔 익스팬더 등을 포함하는) 빔 전달 시스템(BD)과 같은 컨디셔닝 수단을 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
일부 실시예들에서, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있다. 예를 들어, 그것이 생성하는 방사선 빔은 (예를 들어, 적절한 지향 거울들의 도움으로) 장치 내부로 들어올 수 있다. 이 후자의 시나리오는, 예를 들어 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우일 수 있다.
이후, 상기 빔(B)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과(intercept)할 수 있다. 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PS)를 통과할 수 있고, 이는 기판(W)의 타겟부(C) 상에 빔(B)을 포커싱한다. 제 2 위치설정 수단[및 간섭 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 수 있다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 스테퍼의 경우, 패터닝 디바이스 테이블(MT)은 단-행정 액추에이터에만 연결될 수 있거나, 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드, 즉 스텝 모드 및 스캔 모드로 사용될 수 있다. 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지가 한 번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 기판 테이블(WT)은 상이한 타겟부(C)가 빔(B)에 의해 조사될 수 있도록 x 및/또는 y 방향으로 시프트될 수 있다. 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(MT)은 v의 속도로 주어진 방향(예를 들어, "스캔 방향", 또는 "y" 방향)으로 이동가능하여, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다. 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈의 배율이다(통상적으로, M = 1/4 또는 1/5). 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 9는 본 명세서에 설명된 작업들 중 1 이상을 용이하게 하고, 및/또는 이를 위해 사용될 수 있는 또 다른 리소그래피 투영 장치(LPA)의 개략적인 다이어그램이다. LPA는 소스 컬렉터 모듈(SO), 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL), 지지 구조체(MT), 기판 테이블(WT), 및 투영 시스템(PS)을 포함할 수 있다. 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)는 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결될 수 있다. 기판 테이블(예를 들어, 웨이퍼 테이블)(WT)은 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결될 수 있다. 투영 시스템(예를 들어, 반사 투영 시스템)(PS)은 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성될 수 있다.
이 예시에 나타낸 바와 같이, LPA는 (예를 들어, 반사 패터닝 디바이스를 채택하는) 반사형으로 구성될 수 있다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용할 수 있다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 9에 도시되지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별개의 개체들일 수 있다. 이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울들 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과될 수 있다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사될 수 있으며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커싱한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 [예를 들어, 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록] 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(LPA)는 다음 모드들, 즉 스텝 모드, 스캔 모드 및 고정 모드 중 적어도 하나에서 사용될 수 있다. 스텝 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴이 한 번에 타겟부(C) 상으로 투영된다[예를 들어, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스캔 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 고정 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 10은 도 9에 나타낸 리소그래피 투영 장치의 상세한 도면이다. 도 10에 나타낸 바와 같이, LPA는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함할 수 있다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 고온 플라즈마(hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일부 실시예들에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 또한, (아래에서 설명되는) 오염물 트랩 또는 오염물 방벽(230)은 채널 구조체를 포함한다. 컬렉터 챔버(212)는 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 라인 "O"로 나타낸 광축을 따라 가상 소스점(virtual source point: IF)에 포커싱될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치되는 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다. 일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는, 예를 들어 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 10에 나타낸 것보다 1 내지 6 개의 추가적인 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 10에 예시된 바와 같은 컬렉터 광학기(CO)는 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광축(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
도 11은 (앞선 도면들에 나타낸) 리소그래피 투영 장치(LPA)의 소스 컬렉터 모듈(SO)의 상세한 도면이다. 소스 컬렉터 모듈(SO)은 LPA 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성할 수 있다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커싱된다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 위한 여하한의 일반적인 이미징, 에칭, 연마, 검사 등의 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 점점 더 짧은 파장들을 생성할 수 있는 신흥 이미징 기술들로 유용할 수 있다. 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외), DUV 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자들로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 50 nm 범위 내의 파장들을 생성할 수 있다.
본 발명의 실시예는 다음 항목들에 의해 더 설명될 수 있다.
1. 비-일시적 컴퓨터 판독가능한 매체로서,
컴퓨터에 의해 실행될 때, 컴퓨터가:
패턴 레이아웃의 대표 부분들의 세트를 수신하는 것 -개별적인 대표 부분들은 패턴 레이아웃의 1 이상의 고유 패턴을 포함함- ; 및
대표 부분들의 세트로부터, 조합하여 대표 부분들의 서브세트에 포함되는 고유 패턴들에 대한 규정된 기준에 따라 대표 부분들의 서브세트를 선택하는 것을 포함한 작업들을 수행하게 하는 명령어들을 갖는 비-일시적 컴퓨터 판독가능한 매체.
2. 1 항에 있어서, 대표 부분들의 서브세트는 서브세트 내의 대표 부분들이 제 1 기준을 충족하고, 서브세트에 포함되는 고유 패턴들이 제 2 기준을 충족하도록 선택되는 비-일시적 컴퓨터 판독가능한 매체.
3. 2 항에 있어서, 제 1 기준은 서브세트 내의 규정된 수의 대표 부분들에 대응하고, 제 2 기준은 조합하여 규정된 수의 대표 부분들에 적어도 임계값 수의 고유 패턴들을 포함하는 것에 대응하는 비-일시적 컴퓨터 판독가능한 매체.
4. 1 항 내지 3 항 중 어느 하나에 있어서, 대표 부분들의 서브세트를 선택하는 것은 세트 커버 솔버 알고리즘을 사용하는 것을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
5. 4 항에 있어서, 패턴 레이아웃의 대표 부분들의 세트 내의 고유 패턴들은 세트 커버 솔버 알고리즘에서 전체 집합의 요소들로서 구성되는 비-일시적 컴퓨터 판독가능한 매체.
6. 1 항 내지 5 항 중 어느 하나에 있어서, 규정된 기준은 선택된 대표 부분들의 서브세트 내의 패턴 레이아웃의 대표 부분들의 세트로부터의 적어도 임계값 수의 고유 패턴들의 포함을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
7. 1 항 내지 6 항 중 어느 하나에 있어서, 규정된 기준은 조합하여 선택된 대표 부분들의 서브세트에 포함된 고유 패턴들이 패턴 레이아웃의 전체 또는 패턴 레이아웃의 일부를 나타내도록 설정되는 비-일시적 컴퓨터 판독가능한 매체.
8. 1 항 내지 7 항 중 어느 하나에 있어서, 규정된 기준은 선택된 대표 부분들의 서브세트를 형성하는 사전설정된 수의 대표 부분들 내의 최적으로 다양한 고유 패턴들의 그룹의 포함을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
9. 8 항에 있어서, 최적으로 다양한 고유 패턴들의 그룹은 선택된 서브세트를 형성하는 사전설정된 수의 대표 부분들이 주어질 때, 조합하여 패턴 레이아웃의 적어도 임계량을 나타내는 지오메트리들을 갖는 복수의 고유 패턴들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
10. 1 항 내지 9 항 중 어느 하나에 있어서, 패턴 레이아웃의 고유 패턴은 패턴 레이아웃에서 관심 있는 공간 윈도우에서의 다른 패턴들과 상이한 패턴을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
11. 10 항에 있어서, 고유 패턴은 패턴 레이아웃 전체에 걸쳐 동일하거나 유사한 패턴들의 그룹을 나타내는 비-일시적 컴퓨터 판독가능한 매체.
12. 1 항 내지 11 항 중 어느 하나에 있어서, 작업들은 정확한 매칭, 퍼지 매칭 또는 클러스터링 방법을 사용함으로써 패턴 레이아웃의 고유 패턴들을 식별하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
13. 1 항 내지 12 항 중 어느 하나에 있어서, 패턴 레이아웃의 1 이상의 고유 패턴은 패턴 레이아웃의 기하학적 고유 패턴을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
14. 1 항 내지 13 항 중 어느 하나에 있어서, 작업들은:
패턴 레이아웃의 원본 표현을 수신하는 것; 및
개별적인 대표 부분들이 패턴 레이아웃의 1 이상의 고유 패턴의 상이한 조합들을 포함하고, 고유 패턴들 중 적어도 하나가 1보다 많은 대표 부분에 포함되도록 패턴 레이아웃의 대표 부분들의 세트를 결정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
15. 1 항 내지 14 항 중 어느 하나에 있어서, 선택은 패턴 레이아웃의 다각형 표현에 기초하는 비-일시적 컴퓨터 판독가능한 매체.
16. 1 항 내지 15 항 중 어느 하나에 있어서, 선택은 패턴 레이아웃 내의 패턴들의 이미지 또는 윤곽 표현들에 기초하는 비-일시적 컴퓨터 판독가능한 매체.
17. 16 항에 있어서, 패턴 레이아웃 내의 패턴들의 이미지 또는 윤곽 표현들은 에어리얼 이미지들 및/또는 마스크 이미지들을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
18. 16 항 또는 17 항에 있어서, 패턴 레이아웃 내의 패턴들의 이미지 또는 윤곽 표현들은 시뮬레이션, 검사 또는 메트롤로지로부터 발생되는 비-일시적 컴퓨터 판독가능한 매체.
19. 1 항 내지 18 항 중 어느 하나에 있어서, 작업들은 고유 패턴들을 결정하기 위해 패턴 레이아웃에 걸쳐 반복되는 패턴들을 그룹화하고, 그룹화된 패턴들에 기초하여 대표 부분들의 세트를 결정하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
20. 1 항 내지 19 항 중 어느 하나에 있어서, 작업들은 기계 학습 모델을 트레이닝하기 위한 트레이닝 데이터로서 대표 부분들의 서브세트를 제공하는 것을 더 포함하는 비-일시적 컴퓨터 판독가능한 매체.
21. 20 항에 있어서, 기계 학습 모델은 반도체 리소그래피 공정을 위한 광 근접 보정(OPC) 및/또는 소스 마스크 최적화(SMO)와 연계되는 비-일시적 컴퓨터 판독가능한 매체.
22. 1 항 내지 21 항 중 어느 하나에 있어서, 대표 부분들의 서브세트를 선택하는 것은 이산 옵티마이저에 의해 수행되고, 대표 부분들의 서브세트는 패턴 레이아웃으로부터 최대량의 고유 지오메트리를 포함하도록 최적화되는 비-일시적 컴퓨터 판독가능한 매체.
23. 22 항에 있어서, 이산 옵티마이저는 정수 선형 프로그래밍 솔버를 포함하는 비-일시적 컴퓨터 판독가능한 매체.
24. 1 항 내지 23 항 중 어느 하나에 있어서, 대표 부분들의 서브세트는 선택된 대표 부분들의 서브세트를 형성하는 사전설정된 수의 대표 부분들에 최적으로 다양한 고유 패턴들의 그룹을 포함하도록 구성되며, 대표 부분들의 서브세트는 이들이 포함하는 1 이상의 고유 패턴에 기초하여 순위가 매겨지고, 대표 부분들의 서브세트는 순위에 기초하여 결정되는 비-일시적 컴퓨터 판독가능한 매체.
25. 24 항에 있어서, 대표 부분들의 서브세트는 각각의 대표 부분이 포함하는 1 이상의 고유 패턴의 양 및/또는 희귀성에 기초하여 순위가 매겨지는 비-일시적 컴퓨터 판독가능한 매체.
26. 24 항 또는 25 항에 있어서, 사전설정된 수의 대표 부분들은 사용자에 의해 설정되는 비-일시적 컴퓨터 판독가능한 매체.
27. 1 항 내지 26 항 중 어느 하나에 있어서, 패턴 레이아웃의 대표 부분은 클립을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
28. 1 항 내지 27 항 중 어느 하나에 있어서, 패턴 레이아웃은 반도체 웨이퍼를 위한 디자인 레이아웃을 포함하는 비-일시적 컴퓨터 판독가능한 매체.
29. 1 항 내지 28 항 중 어느 하나에 있어서, 대표 부분들의 서브세트 내의 대표 부분들의 수는 대표 부분들의 세트 내의 대표 부분들의 수보다 10 내지 1000의 범위의 배수만큼 적은 비-일시적 컴퓨터 판독가능한 매체.
30. 29 항에 있어서, 대표 부분들의 서브세트 내의 대표 부분들의 수는 대표 부분들의 세트 내의 대표 부분들의 수보다 10 내지 100의 범위의 배수만큼 적은 비-일시적 컴퓨터 판독가능한 매체.
31. 패턴 레이아웃의 대표 부분들의 서브세트를 선택하는 방법으로서,
패턴 레이아웃의 대표 부분들의 세트를 수신하는 단계 -개별적인 대표 부분들은 패턴 레이아웃의 1 이상의 고유 패턴을 포함함- ; 및
대표 부분들의 세트로부터, 조합하여 대표 부분들의 서브세트에 포함되는 고유 패턴들에 대한 규정된 기준에 따라 대표 부분들의 서브세트를 선택하는 단계를 포함하는 방법.
32. 31 항에 있어서, 대표 부분들의 서브세트는 서브세트 내의 대표 부분들이 제 1 기준을 충족하고, 서브세트에 포함되는 고유 패턴들이 제 2 기준을 충족하도록 선택되는 방법.
33. 32 항에 있어서, 제 1 기준은 서브세트 내의 규정된 수의 대표 부분들에 대응하고, 제 2 기준은 조합하여 규정된 수의 대표 부분들에 적어도 임계값 수의 고유 패턴들을 포함하는 것에 대응하는 방법.
34. 31 항 내지 33 항 중 어느 하나에 있어서, 대표 부분들의 서브세트를 선택하는 단계는 세트 커버 솔버 알고리즘을 사용하는 것을 포함하는 방법.
35. 34 항에 있어서, 패턴 레이아웃의 대표 부분들의 세트 내의 고유 패턴들은 세트 커버 솔버 알고리즘에서 전체 집합의 요소들로서 구성되는 방법.
36. 31 항 내지 35 항 중 어느 하나에 있어서, 규정된 기준은 선택된 대표 부분들의 서브세트 내의 패턴 레이아웃의 대표 부분들의 세트로부터의 적어도 임계값 수의 고유 패턴들의 포함을 포함하는 방법.
37. 31 항 내지 36 항 중 어느 하나에 있어서, 규정된 기준은 조합하여 선택된 대표 부분들의 서브세트에 포함된 고유 패턴들이 패턴 레이아웃의 전체 또는 패턴 레이아웃의 일부를 나타내도록 설정되는 방법.
38. 31 항 내지 37 항 중 어느 하나에 있어서, 규정된 기준은 선택된 대표 부분들의 서브세트를 형성하는 사전설정된 수의 대표 부분들 내의 최적으로 다양한 고유 패턴들의 그룹의 포함을 포함하는 방법.
39. 38 항에 있어서, 최적으로 다양한 고유 패턴들의 그룹은 선택된 서브세트를 형성하는 사전설정된 수의 대표 부분들이 주어질 때, 조합하여 패턴 레이아웃의 적어도 임계량을 나타내는 지오메트리들을 갖는 복수의 고유 패턴들을 포함하는 방법.
40. 31 항 내지 39 항 중 어느 하나에 있어서, 패턴 레이아웃의 고유 패턴은 패턴 레이아웃에서 관심 있는 공간 윈도우에서의 다른 패턴들과 상이한 패턴을 포함하는 방법.
41. 40 항에 있어서, 고유 패턴은 패턴 레이아웃 전체에 걸쳐 동일하거나 유사한 패턴들의 그룹을 나타내는 방법.
42. 31 항 내지 41 항 중 어느 하나에 있어서, 정확한 매칭, 퍼지 매칭 또는 클러스터링 방법을 사용함으로써 패턴 레이아웃의 고유 패턴들을 식별하는 단계를 더 포함하는 방법.
43. 31 항 내지 42 항 중 어느 하나에 있어서, 패턴 레이아웃의 1 이상의 고유 패턴은 패턴 레이아웃의 기하학적 고유 패턴을 포함하는 방법.
44. 31 항 내지 43 항 중 어느 하나에 있어서,
패턴 레이아웃의 원본 표현을 수신하는 단계; 및
개별적인 대표 부분들이 패턴 레이아웃의 1 이상의 고유 패턴의 상이한 조합들을 포함하고, 고유 패턴들 중 적어도 하나가 1보다 많은 대표 부분에 포함되도록 패턴 레이아웃의 대표 부분들의 세트를 결정하는 단계를 더 포함하는 방법.
45. 31 항 내지 44 항 중 어느 하나에 있어서, 선택하는 단계는 패턴 레이아웃의 다각형 표현에 기초하는 방법.
46. 31 항 내지 45 항 중 어느 하나에 있어서, 선택하는 단계는 패턴 레이아웃 내의 패턴들의 이미지 또는 윤곽 표현들에 기초하는 방법.
47. 46 항에 있어서, 패턴 레이아웃 내의 패턴들의 이미지 또는 윤곽 표현들은 에어리얼 이미지들 및/또는 마스크 이미지들을 포함하는 방법.
48. 46 항 또는 47 항에 있어서, 패턴 레이아웃 내의 패턴들의 이미지 또는 윤곽 표현들은 시뮬레이션, 검사 또는 메트롤로지로부터 발생되는 방법.
49. 31 항 내지 48 항 중 어느 하나에 있어서, 고유 패턴들을 결정하기 위해 패턴 레이아웃에 걸쳐 반복되는 패턴들을 그룹화하고, 그룹화된 패턴들에 기초하여 대표 부분들의 세트를 결정하는 단계를 더 포함하는 방법.
50. 31 항 내지 49 항 중 어느 하나에 있어서, 기계 학습 모델을 트레이닝하기 위한 트레이닝 데이터로서 대표 부분들의 서브세트를 제공하는 단계를 더 포함하는 방법.
51. 50 항에 있어서, 기계 학습 모델은 반도체 리소그래피 공정을 위한 광 근접 보정(OPC) 및/또는 소스 마스크 최적화(SMO)와 연계되는 방법.
52. 31 항 내지 51 항 중 어느 하나에 있어서, 대표 부분들의 서브세트를 선택하는 단계는 이산 옵티마이저에 의해 수행되고, 대표 부분들의 서브세트는 패턴 레이아웃으로부터 최대량의 고유 지오메트리를 포함하도록 최적화되는 방법.
53. 52 항에 있어서, 이산 옵티마이저는 정수 선형 프로그래밍 솔버를 포함하는 방법.
54. 31 항 내지 53 항 중 어느 하나에 있어서, 대표 부분들의 서브세트는 선택된 대표 부분들의 서브세트를 형성하는 사전설정된 수의 대표 부분들에 최적으로 다양한 고유 패턴들의 그룹을 포함하도록 구성되며, 대표 부분들의 서브세트는 이들이 포함하는 1 이상의 고유 패턴에 기초하여 순위가 매겨지고, 대표 부분들의 서브세트는 순위에 기초하여 결정되는 방법.
55. 54 항에 있어서, 대표 부분들의 서브세트는 각각의 대표 부분이 포함하는 1 이상의 고유 패턴의 양 및/또는 희귀성에 기초하여 순위가 매겨지는 방법.
56. 54 항 또는 55 항에 있어서, 사전설정된 수의 대표 부분들은 사용자에 의해 설정되는 방법.
57. 31 항 내지 56 항 중 어느 하나에 있어서, 패턴 레이아웃의 대표 부분은 클립을 포함하는 방법.
58. 31 항 내지 57 항 중 어느 하나에 있어서, 패턴 레이아웃은 반도체 웨이퍼를 위한 디자인 레이아웃을 포함하는 방법.
59. 31 항 내지 58 항 중 어느 하나에 있어서, 대표 부분들의 서브세트 내의 대표 부분들의 수는 대표 부분들의 세트 내의 대표 부분들의 수보다 10 내지 1000의 범위의 배수만큼 적은 방법.
60. 59 항에 있어서, 대표 부분들의 서브세트 내의 대표 부분들의 수는 대표 부분들의 세트 내의 대표 부분들의 수보다 10 내지 100의 범위의 배수만큼 적은 방법.
61. 패턴 레이아웃의 대표 부분들의 서브세트를 결정하는 시스템으로서,
기계 판독가능한 명령어들에 의해:
패턴 레이아웃의 대표 부분들의 세트를 수신하도록 -개별적인 대표 부분들은 패턴 레이아웃의 1 이상의 고유 패턴을 포함함- ; 및
대표 부분들의 세트로부터, 조합하여 대표 부분들의 서브세트에 포함되는 고유 패턴들에 대한 규정된 기준에 따라 대표 부분들의 서브세트를 선택하도록 구성되는 1 이상의 하드웨어 프로세서를 포함하는 시스템.
62. 61 항에 있어서, 대표 부분들의 서브세트는 서브세트 내의 대표 부분들이 제 1 기준을 충족하고, 서브세트에 포함되는 고유 패턴들이 제 2 기준을 충족하도록 선택되는 시스템.
63. 62 항에 있어서, 제 1 기준은 서브세트 내의 규정된 수의 대표 부분들에 대응하고, 제 2 기준은 조합하여 규정된 수의 대표 부분들에 적어도 임계값 수의 고유 패턴들을 포함하는 것에 대응하는 시스템.
64. 61 항 내지 63 항 중 어느 하나에 있어서, 대표 부분들의 서브세트를 선택하는 것은 세트 커버 솔버 알고리즘을 사용하는 것을 포함하는 시스템.
65. 64 항에 있어서, 패턴 레이아웃의 대표 부분들의 세트 내의 고유 패턴들은 세트 커버 솔버 알고리즘에서 전체 집합의 요소들로서 구성되는 시스템.
66. 61 항 내지 65 항 중 어느 하나에 있어서, 규정된 기준은 선택된 대표 부분들의 서브세트 내의 패턴 레이아웃의 대표 부분들의 세트로부터의 적어도 임계값 수의 고유 패턴들의 포함을 포함하는 시스템.
67. 61 항 내지 66 항 중 어느 하나에 있어서, 규정된 기준은 조합하여 선택된 대표 부분들의 서브세트에 포함된 고유 패턴들이 패턴 레이아웃의 전체 또는 패턴 레이아웃의 일부를 나타내도록 설정되는 시스템.
68. 61 항 내지 67 항 중 어느 하나에 있어서, 규정된 기준은 선택된 대표 부분들의 서브세트를 형성하는 사전설정된 수의 대표 부분들 내의 최적으로 다양한 고유 패턴들의 그룹의 포함을 포함하는 시스템.
69. 68 항에 있어서, 최적으로 다양한 고유 패턴들의 그룹은 선택된 서브세트를 형성하는 사전설정된 수의 대표 부분들이 주어질 때, 조합하여 패턴 레이아웃의 적어도 임계량을 나타내는 지오메트리들을 갖는 복수의 고유 패턴들을 포함하는 시스템.
70. 61 항 내지 69 항 중 어느 하나에 있어서, 패턴 레이아웃의 고유 패턴은 패턴 레이아웃에서 관심 있는 공간 윈도우에서의 다른 패턴들과 상이한 패턴을 포함하는 시스템.
71. 70 항에 있어서, 고유 패턴은 패턴 레이아웃 전체에 걸쳐 동일하거나 유사한 패턴들의 그룹을 나타내는 시스템.
72. 61 항 내지 71 항 중 어느 하나에 있어서, 1 이상의 하드웨어 프로세서는 정확한 매칭, 퍼지 매칭 또는 클러스터링 방법을 사용함으로써 패턴 레이아웃의 고유 패턴들을 식별하도록 더 구성되는 시스템.
73. 61 항 내지 72 항 중 어느 하나에 있어서, 패턴 레이아웃의 1 이상의 고유 패턴은 패턴 레이아웃의 기하학적 고유 패턴을 포함하는 시스템.
74. 61 항 내지 73 항 중 어느 하나에 있어서, 1 이상의 하드웨어 프로세서는:
패턴 레이아웃의 원본 표현을 수신하도록; 및
개별적인 대표 부분들이 패턴 레이아웃의 1 이상의 고유 패턴의 상이한 조합들을 포함하고, 고유 패턴들 중 적어도 하나가 1보다 많은 대표 부분에 포함되도록 패턴 레이아웃의 대표 부분들의 세트를 결정하도록 더 구성되는 시스템.
75. 61 항 내지 74 항 중 어느 하나에 있어서, 선택은 패턴 레이아웃의 다각형 표현에 기초하는 시스템.
76. 61 항 내지 75 항 중 어느 하나에 있어서, 선택은 패턴 레이아웃 내의 패턴들의 이미지 또는 윤곽 표현들에 기초하는 시스템.
77. 76 항에 있어서, 패턴 레이아웃 내의 패턴들의 이미지 또는 윤곽 표현들은 에어리얼 이미지들 및/또는 마스크 이미지들을 포함하는 시스템.
78. 76 항 또는 77 항에 있어서, 패턴 레이아웃 내의 패턴들의 이미지 또는 윤곽 표현들은 시뮬레이션, 검사 또는 메트롤로지로부터 발생되는 시스템.
79. 61 항 내지 78 항 중 어느 하나에 있어서, 1 이상의 하드웨어 프로세서는 고유 패턴들을 결정하기 위해 패턴 레이아웃에 걸쳐 반복되는 패턴들을 그룹화하고, 그룹화된 패턴들에 기초하여 대표 부분들의 세트를 결정하도록 더 구성되는 시스템.
80. 61 항 내지 79 항 중 어느 하나에 있어서, 1 이상의 하드웨어 프로세서는 기계 학습 모델을 트레이닝하기 위한 트레이닝 데이터로서 대표 부분들의 서브세트를 제공하도록 더 구성되는 시스템.
81. 80 항에 있어서, 기계 학습 모델은 반도체 리소그래피 공정을 위한 광 근접 보정(OPC) 및/또는 소스 마스크 최적화(SMO)와 연계되는 시스템.
82. 61 항 내지 81 항 중 어느 하나에 있어서, 대표 부분들의 서브세트를 선택하는 것은 1 이상의 하드웨어 프로세서에 의해 형성되는 이산 옵티마이저에 의해 수행되고, 대표 부분들의 서브세트는 패턴 레이아웃으로부터 최대량의 고유 지오메트리를 포함하도록 최적화되는 시스템.
83. 82 항에 있어서, 이산 옵티마이저는 정수 선형 프로그래밍 솔버를 포함하는 시스템.
84. 61 항 내지 83 항 중 어느 하나에 있어서, 대표 부분들의 서브세트는 선택된 대표 부분들의 서브세트를 형성하는 사전설정된 수의 대표 부분들에 최적으로 다양한 고유 패턴들의 그룹을 포함하도록 구성되며, 대표 부분들의 서브세트는 이들이 포함하는 1 이상의 고유 패턴에 기초하여 순위가 매겨지고, 대표 부분들의 서브세트는 순위에 기초하여 결정되는 시스템.
85. 84 항에 있어서, 대표 부분들의 서브세트는 각각의 대표 부분이 포함하는 1 이상의 고유 패턴의 양 및/또는 희귀성에 기초하여 순위가 매겨지는 시스템.
86. 84 항 또는 85 항에 있어서, 사전설정된 수의 대표 부분들은 사용자에 의해 설정되는 시스템.
87. 61 항 내지 86 항 중 어느 하나에 있어서, 패턴 레이아웃의 대표 부분은 클립을 포함하는 시스템.
88. 61 항 내지 87 항 중 어느 하나에 있어서, 패턴 레이아웃은 반도체 웨이퍼를 위한 디자인 레이아웃을 포함하는 시스템.
89. 61 항 내지 88 항 중 어느 하나에 있어서, 대표 부분들의 서브세트 내의 대표 부분들의 수는 대표 부분들의 세트 내의 대표 부분들의 수보다 10 내지 1000의 범위의 배수만큼 적은 시스템.
90. 89 항에 있어서, 대표 부분들의 서브세트 내의 대표 부분들의 수는 대표 부분들의 세트 내의 대표 부분들의 수보다 10 내지 100의 범위의 배수만큼 적은 시스템.
91. 반도체 웨이퍼의 디자인 레이아웃을 위해 최적화된, 기하학적으로 다양한 클립들의 세트를 선택하는 방법으로서,
디자인 레이아웃의 완전한 표현을 수신하는 단계;
개별적인 대표 클립들이 디자인 레이아웃의 1 이상의 기하학적 고유 패턴의 상이한 조합들을 포함하고, 기하학적 고유 패턴들 중 적어도 하나가 1보다 많은 대표 클립에 포함되도록 디자인 레이아웃의 대표 클립들의 세트를 결정하는 단계를 포함하고, 대표 클립들의 세트를 결정하는 단계는
1 이상의 기하학적 고유 패턴을 유사한 패턴들의 그룹들로 그룹화하는 단계;
1 이상의 기하학적 고유 패턴에 기초하여 대표 클립들의 서브세트를 선택하는 단계 -대표 클립들의 서브세트는:
(1) 최소 수의 대표 클립들에 각각의 기하학적 고유 패턴을 포함하거나; 또는
(2) 최대 수의 대표 클립들에 가능한 한 많은 디자인 레이아웃의 기하학적 고유 패턴을 포함하도록 구성됨- ; 및
기계 학습 모델을 트레이닝하기 위한 트레이닝 데이터로서 대표 부분들의 서브세트를 제공하는 단계를 포함하며, 기계 학습 모델은 반도체 리소그래피 공정을 위한 광 근접 보정(OPC) 및/또는 소스 마스크 최적화(SMO)와 연계되는 방법.
92. 91 항에 있어서, 패턴의 완전한 표현은 그래픽 디자인 시스템(.GDS) 파일을 포함하는 방법.
93. 91 항 또는 92 항에 있어서, 대표 부분들의 서브세트를 선택하는 단계는 정수 선형 프로그래밍 솔버를 포함하는 이산 옵티마이저에 의해 수행되고, 대표 부분들의 서브세트는 패턴으로부터 최대량의 고유 지오메트리를 포함하도록 최적화되는 방법.
94. 91 항 내지 93 항 중 어느 하나에 있어서, 대표 부분들의 서브세트는 최대 수의 대표 부분들에 가능한 한 많은 패턴의 기하학적 고유 패턴을 포함하도록 구성되며, 대표 부분들의 서브세트는 각각의 대표 부분이 포함하는 1 이상의 기하학적 고유 패턴의 양 및/또는 희귀성에 기초하여 순위가 매겨지고, 대표 부분들의 서브세트는 순위에 기초하여 결정되는 방법.
95. 91 항 내지 94 항 중 어느 하나에 있어서, 대표 부분들의 서브세트 내의 대표 부분들의 수는 대표 부분들의 세트 내의 대표 부분들의 수보다 10 내지 1000 배 적은 방법.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판으로 제조하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 제조 시스템(예를 들어, 실리콘 웨이퍼들 이외의 기판들 상에 제조하는 데 사용되는 것들)으로 사용될 수도 있다는 것을 이해하여야 한다.
또한, 개시된 요소들의 조합 및 서브-조합들이 개별 실시예들을 포함할 수 있다. 예를 들어, 앞서 설명된 작업들 중 1 이상이 개별 실시예들에 포함될 수 있거나, 또는 이들이 동일한 실시예에 함께 포함될 수 있다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (18)

  1. 비-일시적(non-transitory) 컴퓨터 판독가능한 매체로서,
    컴퓨터에 의해 실행될 때, 상기 컴퓨터가:
    패턴 레이아웃의 대표 부분(representative portion)들의 세트를 수신하는 단계 -개별적인 대표 부분들은 상기 패턴 레이아웃의 1 이상의 고유 패턴(unique pattern)을 포함함- ; 및
    상기 대표 부분들의 세트로부터, 조합하여 대표 부분들의 서브세트에 포함되는 고유 패턴들에 대한 규정된 기준에 따라 상기 대표 부분들의 서브세트를 선택하는 단계
    를 포함하는 방법을 수행하게 하는 명령어들을 갖는,
    비-일시적 컴퓨터 판독가능한 매체.
  2. 제 1 항에 있어서,
    상기 대표 부분들의 서브세트는, 상기 서브세트 내의 대표 부분들의 수가 제 1 기준을 충족하고, 상기 서브세트에 포함되는 고유 패턴들의 수가 제 2 기준을 충족하도록 선택되는,
    비-일시적 컴퓨터 판독가능한 매체.
  3. 제 2 항에 있어서,
    상기 제 1 기준은 상기 서브세트 내의 규정된 수의 대표 부분들에 대응하고, 상기 제 2 기준은 조합하여 상기 규정된 수의 대표 부분들에 적어도 임계값 수(threshold number)의 고유 패턴들을 포함하는 것에 대응하는,
    비-일시적 컴퓨터 판독가능한 매체.
  4. 제 1 항에 있어서,
    상기 대표 부분들의 서브세트를 선택하는 단계는 세트 커버 솔버 알고리즘(set cover solver algorithm)을 사용하는 것을 포함하며, 상기 패턴 레이아웃의 대표 부분들의 세트 내의 상기 고유 패턴들은 상기 세트 커버 솔버 알고리즘에서 정의되는 전체 집합(universe)의 요소들로서 구성되는,
    비-일시적 컴퓨터 판독가능한 매체.
  5. 제 1 항에 있어서,
    상기 규정된 기준은 선택된 대표 부분들의 서브세트 내에 상기 패턴 레이아웃의 대표 부분들의 세트로부터의 적어도 임계값 수의 고유 패턴들을 포함하는 것을 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  6. 제 1 항에 있어서,
    상기 규정된 기준은 조합하여 선택된 대표 부분들의 서브세트에 포함된 상기 고유 패턴들이 상기 패턴 레이아웃의 전체 또는 상기 패턴 레이아웃의 일부를 나타내도록 설정되는,
    비-일시적 컴퓨터 판독가능한 매체.
  7. 제 1 항에 있어서,
    상기 규정된 기준은 선택된 대표 부분들의 서브세트를 형성하는 사전설정된 수의 대표 부분들 내에 최적으로 다양한 고유 패턴들의 그룹을 포함하는 것을 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  8. 제 7 항에 있어서,
    상기 최적으로 다양한 고유 패턴들의 그룹은, 선택된 서브세트를 형성하는 사전설정된 수의 대표 부분들이 주어질 때, 조합하여 상기 패턴 레이아웃의 적어도 임계량(threshold amount)을 나타내는 지오메트리들을 갖는 복수의 고유 패턴들을 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  9. 제 1 항에 있어서,
    각각의 고유 패턴은 상기 패턴 레이아웃 전체에 걸쳐 동일하거나 유사한 패턴들의 그룹을 나타내는,
    비-일시적 컴퓨터 판독가능한 매체.
  10. 제 1 항에 있어서,
    상기 방법은:
    상기 패턴 레이아웃의 원본 표현(original representation)을 수신하는 단계; 및
    상기 개별적인 대표 부분들이 상기 패턴 레이아웃의 1 이상의 고유 패턴의 상이한 조합들을 포함하고, 상기 고유 패턴들 중 적어도 하나가 1보다 많은 대표 부분에 포함되도록 상기 패턴 레이아웃의 대표 부분들의 세트를 결정하는 단계를 더 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  11. 제 1 항에 있어서,
    상기 선택하는 단계는 상기 패턴 레이아웃의 다각형 표현에 기초하는,
    비-일시적 컴퓨터 판독가능한 매체.
  12. 제 1 항에 있어서,
    상기 선택하는 단계는 상기 패턴 레이아웃 내의 패턴들의 이미지 또는 윤곽 표현들에 기초하는,
    비-일시적 컴퓨터 판독가능한 매체.
  13. 제 12 항에 있어서,
    상기 패턴 레이아웃 내의 패턴들의 이미지 또는 윤곽 표현들은 시뮬레이션, 검사 또는 메트롤로지로부터 발생되는 에어리얼 이미지들 및/또는 마스크 이미지들을 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  14. 제 1 항에 있어서,
    상기 방법은 상기 고유 패턴들을 결정하기 위해 상기 패턴 레이아웃에 걸쳐 반복되는 패턴들을 그룹화하고, 그룹화된 패턴들에 기초하여 상기 대표 부분들의 세트를 결정하는 단계를 더 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  15. 제 1 항에 있어서,
    상기 방법은 기계 학습 모델을 트레이닝하기 위한 트레이닝 데이터로서 상기 대표 부분들의 서브세트를 제공하는 단계를 더 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  16. 제 15 항에 있어서,
    상기 기계 학습 모델은 반도체 리소그래피 공정을 위한 광 근접 보정(optical proximity correction: OPC) 및/또는 소스 마스크 최적화(SMO)와 연계되는,
    비-일시적 컴퓨터 판독가능한 매체.
  17. 제 1 항에 있어서,
    상기 대표 부분들의 서브세트를 선택하는 단계는 이산 옵티마이저(discrete optimizer)에 의해 수행되고, 상기 대표 부분들의 서브세트는 상기 패턴 레이아웃으로부터 최대량의 고유 지오메트리를 포함하도록 최적화되며, 상기 이산 옵티마이저는 정수 선형 프로그래밍 솔버(integer linear programming solver)를 포함하는,
    비-일시적 컴퓨터 판독가능한 매체.
  18. 제 1 항에 있어서,
    상기 대표 부분들의 서브세트는 선택된 대표 부분들의 서브세트를 형성하는 사전설정된 수의 대표 부분들에 최적으로 다양한 고유 패턴들의 그룹을 포함하도록 구성되며, 상기 대표 부분들의 서브세트는 이들이 포함하는 1 이상의 고유 패턴에 기초하여 순위가 매겨지고, 상기 대표 부분들의 서브세트는 순위에 기초하여 결정되며, 상기 대표 부분들의 서브세트는 각각의 대표 부분이 포함하는 1 이상의 고유 패턴의 양(quantity) 및/또는 희귀성(rarity)에 기초하여 순위가 매겨지는,
    비-일시적 컴퓨터 판독가능한 매체.
KR1020247009751A 2021-09-22 2022-08-22 패턴 선택 시스템들 및 방법들 KR20240064651A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CN2021119631 2021-09-22
CNPCT/CN2021/119631 2021-09-22
PCT/EP2022/073313 WO2023046385A1 (en) 2021-09-22 2022-08-22 Pattern selection systems and methods

Publications (1)

Publication Number Publication Date
KR20240064651A true KR20240064651A (ko) 2024-05-13

Family

ID=83280174

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247009751A KR20240064651A (ko) 2021-09-22 2022-08-22 패턴 선택 시스템들 및 방법들

Country Status (4)

Country Link
KR (1) KR20240064651A (ko)
CN (1) CN115903394A (ko)
TW (1) TW202405567A (ko)
WO (1) WO2023046385A1 (ko)

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO2007019269A2 (en) 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
CN101305320B (zh) 2005-09-09 2012-07-04 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7688232B2 (en) * 2007-03-27 2010-03-30 Intel Corporation Optimal selection of compression entries for compressing program instructions
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
WO2010094300A1 (en) * 2009-02-20 2010-08-26 NMI Naturwissenschaftliches und Medizinisches Institut an der Universität Tübingen A method for determining in silico- a set of selected target epitopes
US10546085B2 (en) * 2017-04-12 2020-01-28 Anchor Semiconductor Inc. Pattern centric process control
WO2020187578A1 (en) * 2019-03-21 2020-09-24 Asml Netherlands B.V. Training method for machine learning assisted optical proximity error correction
US11003828B1 (en) * 2020-08-03 2021-05-11 Siemens Industry Software Inc. System and method for layout analysis using point of interest patterns and properties

Also Published As

Publication number Publication date
CN115903394A (zh) 2023-04-04
TW202405567A (zh) 2024-02-01
WO2023046385A1 (en) 2023-03-30

Similar Documents

Publication Publication Date Title
TWI757663B (zh) 降低於機器學習模型預測中之不確定性之方法
TWI752539B (zh) 用於模型校準以減少模型預測不確定性的預測資料選擇
KR102440220B1 (ko) 패터닝 공정을 위한 최적화의 흐름
CN113678064B (zh) 用于在设施位置之间调整预测模型的系统和方法
KR102609413B1 (ko) 자동으로 sem 윤곽 측정 정확성 및 안정성을 개선하기 위한 패턴 인식 활용
TWI778722B (zh) 用於選擇資訊模式以訓練機器學習模型之設備及方法
EP3742229A1 (en) Systems and methods for adjusting prediction models between facility locations
KR102323989B1 (ko) 패터닝 디바이스 상의 유한한 두께들의 구조체들에 의한 방사선의 산란을 결정하는 방법들
TW202307722A (zh) 蝕刻系統、模型、及製造程序
KR20240064651A (ko) 패턴 선택 시스템들 및 방법들
TWI838957B (zh) 用於判定基於蝕刻偏差方向之蝕刻功效之非暫時性電腦可讀媒體
TWI786658B (zh) 像差影響系統、模型、及製造程序
WO2023088641A1 (en) Simulation model stability determination method
WO2023084063A1 (en) Generating augmented data to train machine learning models to preserve physical trends
TW202340847A (zh) 用於判定基於蝕刻偏差方向之蝕刻功效之系統及方法
WO2024037859A1 (en) Method for radiation spectrum aware souce mask optimization for lithography
WO2024013038A1 (en) Stochastic-aware source mask optimization based on edge placement probability distribution