KR102609413B1 - 자동으로 sem 윤곽 측정 정확성 및 안정성을 개선하기 위한 패턴 인식 활용 - Google Patents

자동으로 sem 윤곽 측정 정확성 및 안정성을 개선하기 위한 패턴 인식 활용 Download PDF

Info

Publication number
KR102609413B1
KR102609413B1 KR1020217000779A KR20217000779A KR102609413B1 KR 102609413 B1 KR102609413 B1 KR 102609413B1 KR 1020217000779 A KR1020217000779 A KR 1020217000779A KR 20217000779 A KR20217000779 A KR 20217000779A KR 102609413 B1 KR102609413 B1 KR 102609413B1
Authority
KR
South Korea
Prior art keywords
design
coordinates
target design
image
computer program
Prior art date
Application number
KR1020217000779A
Other languages
English (en)
Other versions
KR20210020086A (ko
Inventor
지아 리앙
첸 장
치앙 장
운보 구오
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210020086A publication Critical patent/KR20210020086A/ko
Application granted granted Critical
Publication of KR102609413B1 publication Critical patent/KR102609413B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

부분적으로 타겟 디자인에 기초하여 구성된 프린트된 디자인의 특징을 측정함으로써 공정 모델을 개선하는 방법이 개시된다. 상기 방법은 a) 이미지 캡처 디바이스로부터의 프린트된 디자인의 이미지, 및 b) 이미지에서의 형상들에 기초한 윤곽들을 얻는 단계를 포함한다. 또한, 상기 방법은 패턴 인식 프로그램에 의해 특징을 포함하는 타겟 디자인 상의 패턴들을 식별하는 단계, 및 윤곽들에서 특징에 대응하는 좌표들을 결정하는 단계를 포함한다. 또한, 상기 방법은 적어도 a) 좌표들에 기초하여 특징의 측정을 제공하고, b) 타겟 디자인의 대응하는 특징과 측정의 비교에 기초하여 공정 모델을 캘리브레이션함으로써 공정 모델을 개선하는 단계를 더 포함한다.

Description

자동으로 SEM 윤곽 측정 정확성 및 안정성을 개선하기 위한 패턴 인식 활용
본 출원은 2018년 7월 12일에 출원된 미국 출원 62/697,169의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 명세서의 기재내용은 일반적으로 마스크 제조 및 패터닝 공정들에 관한 것이다. 특히, 본 발명은 윤곽 결정에 패턴 인식을 통합하는 장치, 방법들, 및 컴퓨터 프로그램들을 포함한다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별층에 대응하는 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 패터닝 디바이스 상의 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 스테퍼(stepper)라고 칭해질 수도 있다. 대안적인 장치에서는, 스텝-앤드-스캔(step-and-scan) 장치가 투영 빔을 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하도록 하는 한편, 동시에 이 기준 방향과 평행하게 또는 역-평행하게(anti-parallel) 기판이 이동된다. 패터닝 디바이스 상의 패턴의 상이한 부분들이 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 축소율(M)(예를 들어, 4)을 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 1/M 배가 될 것이다. 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792에서 찾아볼 수 있다.
패터닝 디바이스로부터 기판으로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 패턴의 측정/검사와 같은 다른 절차들("노광-후 절차들")을 거칠 수 있다. 이러한 일련의 절차들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 핀에 연결되는 캐리어 등에 장착될 수 있다.
따라서, 반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처들 및 다수 층들을 형성하기 위해 다수의 제작 공정들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 이용하여 제조되고 처리된다. 다수 디바이스들은 기판의 복수의 다이들 상에 제작된 후, 개별적인 디바이스들로 분리될 수 있다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 기판에 패터닝 디바이스 상의 패턴을 전사하기 위해 리소그래피 장치에서 패터닝 디바이스를 이용하는 광학 및/또는 나노임프린트(nanoimprint) 리소그래피와 같은 패터닝 단계를 수반하며, 통상적이지만 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치를 이용하는 패턴을 이용한 에칭 등과 같은 1 이상의 관련 패턴 처리 단계를 수반한다.
유의되는 바와 같이, 리소그래피는 IC와 같은 디바이스의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 디바이스의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 공정이 계속해서 진보함에 따라, "무어의 법칙"이라 칭하는 추세를 따라 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 심(deep)-자외선 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되어, 100 nm보다 훨씬 낮은 치수들, 즉 조명 소스(예를 들어, 193 nm 조명 소스)로부터의 방사선의 파장의 절반보다 작은 치수들을 갖는 개별적인 기능 요소들을 생성한다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 이 공정은 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피라고 칭해질 수 있으며, 이때 λ는 채택되는 방사선의 파장(예를 들어, 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세-조정 단계들이 리소그래피 투영 장치, 디자인 레이아웃, 또는 패터닝 디바이스에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤 조명 방식(customized illumination schemes), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 함), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다. 본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처(aperture) 및 카타디옵트릭(catadioptric) 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 투영 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
일 실시예에 따르면, 부분적으로 타겟 디자인에 기초하여 구성된 프린트된 디자인의 특징을 측정함으로써 공정 모델을 개선하는 방법이 제공된다. 상기 방법은 a) 이미지 캡처 디바이스로부터의 프린트된 디자인의 이미지, 및 b) 이미지에서의 형상들에 기초한 윤곽들을 얻는 단계를 포함한다. 또한, 상기 방법은 패턴 인식 프로그램에 의해 특징을 포함하는 타겟 디자인 상의 패턴들을 식별하고, 윤곽들에서 특징에 대응하는 좌표를 결정하는 단계를 포함한다. 상기 방법은 적어도 a) 좌표에 기초하여 특징의 측정을 제공하고, b) 타겟 디자인의 대응하는 특징과 측정의 비교에 기초하여 공정 모델을 캘리브레이션함으로써 공정 모델을 개선하는 단계를 더 포함한다.
일 실시예에서, 특징은 에지 배치 게이지 또는 임계 치수 중 적어도 하나일 수 있다. 좌표는 에지 배치 게이지 또는 임계 치수의 좌표일 수 있다. 측정은 좌표를 평균함으로써 생성될 수 있다. 특징은 프린트된 디자인의 곡률, 깊이, 각도, 대역폭, 및 기울기 중 적어도 하나를 포함할 수 있다. 또한, 특징은 이미지의 콘트라스트 및 세기 중 적어도 하나를 포함할 수 있다.
일 실시예에서, 상기 방법은 이미지로부터 윤곽들을 생성하고, 적어도 타겟 디자인과 윤곽들 사이의 비교에 기초하여 타겟 디자인과 이미지를 정렬하는 단계를 포함할 수 있다.
또 다른 실시예에서, 상기 방법은 타겟 디자인에서 1 이상의 평행 라인을 가로질러 수평 또는 수직 커트라인(cutline)을 생성하고, 적어도 윤곽들과 수평 또는 수직 커트라인의 교차점(intersection)에 기초하여 임계 치수를 결정하는 단계를 포함할 수 있다.
또 다른 실시예에서, 상기 방법은 타겟 디자인의 한 지점으로부터 연장되는 기울어진 커트라인(angled cutline)을 생성하고, 적어도 윤곽들과 기울어진 커트라인의 교차점에 기초하여 EP 게이지를 생성하는 단계를 포함할 수 있다.
일 실시예에서, 상기 방법은 패턴들의 부분을 따라 커트라인들의 밀도를 특정하는 사용자 입력을 수신하는 단계를 포함할 수 있으며, 밀도는 상기 부분을 따라 단위 길이 당 커트라인들의 수에 대응한다.
일부 실시예들에서, 상기 방법은 타겟 디자인으로부터 패턴과 동일한 다른 패턴들을 로케이팅(locate)하고, 다른 패턴들로부터 좌표를 결정하는 단계를 포함할 수 있다. 또한, 로케이팅은 타겟 디자인으로부터의 다각형들의 좌표의 비교에 더 기초할 수 있다. 상기 방법은 패턴 인식 프로그램에서 제 1 패턴 및 제 2 패턴을 수신하고, 제 1 및 제 2 패턴의 복수의 좌표들에 기초하여 제 1 패턴 및 제 2 패턴이 동일한지를 결정하고, 적어도 제 1 패턴 및 제 2 패턴에 기초하여 측정을 결정하는 단계를 포함할 수 있다.
일 실시예에서, 상기 방법은 제 1 패턴 및 제 2 패턴이 동일한지를 결정하는 경우에 제 2 패턴에 대한 제 1 패턴의 병진(translation) 또는 회전 중 1 이상을 설명하는 단계를 더 포함할 수 있다. 다른 실시예들에서, 패턴 인식 프로그램은 0(zero) 변동을 갖는 정확한 매칭에 기초하여 다른 패턴들을 식별할 수 있거나, 또는 패턴 인식 프로그램은 퍼지 매칭(fuzzy match)에 기초하여 다른 패턴들을 식별할 수 있다. 퍼지 매칭은 다른 패턴들의 좌표들 사이에서 최대 2 nm의 변동을 허용할 수 있다.
상호관련된 실시형태에서, 부분적으로 타겟 디자인에 기초하여 구성된 프린트된 디자인의 특징을 측정함으로써 광 근접 보정 모델을 캘리브레이션하는 방법은, a) 스캐닝 전자 현미경으로부터의 프린트된 디자인의 이미지, 및 b) 이미지에서의 형상들에 기초한 윤곽들을 얻는 단계를 포함할 수 있다. 또한, 상기 방법은 패턴 인식 프로그램에 의해 특징을 포함하는 타겟 디자인 상의 패턴들을 식별하는 단계를 포함할 수 있다. 상기 방법은 윤곽들에서 특징에 대응하는 좌표를 결정하는 단계, 및 적어도 a) 좌표에 기초하여 특징의 측정을 제공하고, b) 타겟 디자인의 대응하는 특징과 측정의 비교에 기초하여 공정 모델을 캘리브레이션함으로써 광 근접 보정 모델을 개선하는 단계를 더 포함한다.
다른 상호관련된 실시형태들에서, 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품이 제공되고, 명령어들은 컴퓨터에 의해 실행될 때 본 명세서의 방법들을 구현한다.
본 명세서에 통합되고 그 일부를 구성하는 첨부된 도면들은 본 명세서에 개시된 주제의 소정 측면들을 나타내고, 설명과 함께 개시된 구현예들과 관련된 원리들 중 일부를 설명하는 데 도움이 된다. 도면들에서,
도 1은 일 실시예에 따른, 리소그래피 투영 장치의 다양한 서브시스템들의 블록 다이어그램을 나타낸다.
도 2는 일 실시예에 따른, 리소그래피 투영 장치에서 리소그래피를 시뮬레이션하는 예시적인 흐름도를 나타낸다.
도 3은 일 실시예에 따른, 예시적인 프린트된 디자인을 나타내는 단순화된 다이어그램이다.
도 4는 일 실시예에 따른, 프린트된 디자인과 중첩된 예시적인 타겟 디자인을 나타내는 단순화된 다이어그램이다.
도 5는 일 실시예에 따른, 타겟 디자인에서 예시적인 패턴들을 식별하는 것을 예시하는 단순화된 다이어그램이다.
도 6은 일 실시예에 따른, 예시적인 커트라인 배치를 나타내는 단순화된 다이어그램이다.
도 7은 일 실시예에 따른, 타겟 디자인에서 동일한 상황(context)을 갖는 커트라인들의 식별을 나타내는 단순화된 다이어그램이다.
도 8은 일 실시예에 따른, 프린트된 디자인의 특징들을 측정하기 위한 패턴 인식 프로그램의 구현을 나타내는 프로세스 흐름도이다.
도 9는 일 실시예에 따른, 예시적인 컴퓨터 시스템의 블록 다이어그램이다.
도 10은 일 실시예에 따른, 리소그래피 투영 장치의 개략적인 다이어그램이다.
도 11은 일 실시예에 따른, 또 다른 리소그래피 투영 장치의 개략적인 다이어그램이다.
도 12는 일 실시예에 따른, 리소그래피 투영 장치의 상세도이다.
도 13은 일 실시예에 따른, 리소그래피 투영 장치의 소스 컬렉터 모듈의 상세도이다.
본 명세서에서는, IC의 제조에 대하여 특히 언급되지만, 본 명세서의 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 함을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 약 5 내지 100 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 타입들의 전자기 방사선을 포괄하는 데 사용된다.
패터닝 디바이스는 1 이상의 디자인 레이아웃을 포함하거나 형성할 수 있다. 디자인 레이아웃은 CAD(computer-aided design) 프로그램을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들 중 1 이상은 "임계 치수"(CD)라고 칭해질 수 있다. 디바이스의 임계 치수는 라인 또는 홀의 최소 폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 디바이스의 전체 크기 및 밀도를 결정한다. 물론, 디바이스 제작의 목표들 중 하나는 원래 디자인 의도를 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현하는 것이다.
본 명세서에서 채택된 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 여타의 이러한 패터닝 디바이스의 예시들로 프로그램가능한 거울 어레이 및 프로그램가능한 LCD 어레이를 포함한다.
프로그램가능한 거울 어레이의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)일 수 있다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여, 회절 방사선만이 남게 할 수 있다; 이러한 방식으로, 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 필요한 매트릭스 어드레싱은 적절한 전자 방법들을 이용하여 수행될 수 있다.
프로그램가능한 LCD 어레이의 일 예시는 미국 특허 제 5,229,872호에서 주어지며, 이는 본 명세서에서 인용참조된다.
도 1은 일 실시예에 따른 리소그래피 투영 장치(10A)의 다양한 서브시스템들의 블록 다이어그램을 나타낸다. 주요 구성요소들은 심자외선 엑시머 레이저 소스(deep-ultraviolet excimer laser source) 또는 극자외선(EUV) 소스를 포함한 다른 타입의 소스일 수 있는 방사선 소스(12A)(앞서 언급된 바와 같이, 리소그래피 투영 장치 자체가 방사선 소스를 가질 필요는 없음); 예를 들어, 소스(12A)로부터의 방사선을 성형하는 광학기(14A, 16Aa 및 16Ab)를 포함할 수 있고, (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하는 조명 광학기; 패터닝 디바이스(18A); 및 기판 평면(22A) 상으로 패터닝 디바이스 패턴의 이미지를 투영하는 투과 광학기(16Ac)이다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(20A)가 기판 평면(22A) 상에 부딪치는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수 NA = n sin(Θmax)를 정의하고, 여기서 n은 투영 광학기의 최종 요소와 기판 사이의 매질의 굴절률이며, Θmax는 기판 평면(22A) 상에 여전히 충돌할 수 있는 투영 광학기로부터 나오는 빔의 최대 각도이다.
리소그래피 투영 장치에서, 소스는 패터닝 디바이스에 조명(즉, 방사선)을 제공하고, 투영 광학기는 패터닝 디바이스를 통한 조명을 기판 상으로 지향하고 성형한다. 투영 광학기는 구성요소들(14A, 16Aa, 16Ab 및 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2009-0157630호에서 찾아볼 수 있다. 레지스트 모델은 레지스트 층의 속성들[예를 들어, 노광, 노광-후 베이크(PEB) 및 현상 시 일어나는 화학 공정들의 효과들]에만 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 조명, 패터닝 디바이스 및 투영 광학기의 속성들)이 에어리얼 이미지를 좌우하고, 광학 모델에서 정의될 수 있다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다. 디자인 레이아웃을 다양한 리소그래피 이미지들(예를 들어, 에어리얼 이미지, 레지스트 이미지 등)로 변환하는 데 사용되는 기술들 및 모델들, 이 기술들 및 모델들을 이용한 OPC의 적용, 및 (예를 들어, 공정 윈도우에 관한) 성능의 평가의 세부사항들은 미국 특허 출원 공개공보 US 2008-0301620호, 2007-0050749호, 2007-0031745호, 2008-0309897호, 2010-0162197호, 및 2010-0180251호에 설명되어 있으며, 이들은 각각 본 명세서에서 그 전문이 인용참조된다.
리소그래피 공정을 이해하는 한 측면은 방사선과 패터닝 디바이스의 상호작용을 이해하는 것이다. 방사선이 패터닝 디바이스를 통과한 후의 방사선의 전자기장은, 방사선이 패터닝 디바이스에 도달하기 전의 방사선의 전자기장 및 상호작용을 특성화하는 함수로부터 결정될 수 있다. 이 함수는 마스크 투과 함수(이는 투과 패터닝 디바이스 및/또는 반사 패터닝 디바이스에 의한 상호작용을 설명하는 데 사용될 수 있음)라고 칭해질 수 있다.
마스크 투과 함수는 여러 가지 상이한 형태들을 가질 수 있다. 일 형태는 바이너리이다. 바이너리 마스크 투과 함수는 패터닝 디바이스 상의 여하한의 주어진 위치에서 두 값들(예를 들어, 0 및 양의 상수) 중 어느 하나를 갖는다. 바이너리 형태의 마스크 투과 함수는 바이너리 마스크라고 칭해질 수 있다. 또 다른 형태는 연속적이다. 즉, 패터닝 디바이스의 투과율(또는 반사율)의 모듈러스(modulus)는 패터닝 디바이스 상의 위치의 연속 함수이다. 또한, 투과율(또는 반사율)의 위상이 패터닝 디바이스 상의 위치의 연속 함수일 수 있다. 연속적인 형태의 마스크 투과 함수는 연속톤 마스크(continuous tone mask) 또는 연속 투과 마스크(CTM)라고 칭해질 수 있다. 예를 들어, CTM은 픽셀화된 이미지(pixelated image)로서 표현될 수 있으며, 여기서 각각의 픽셀에는 0 또는 1 중 어느 하나의 이진 값 대신에 0과 1 사이의 값(예를 들어, 0.1, 0.2, 0.3 등)이 할당될 수 있다. 일 실시예에서, CTM은 각각의 픽셀이 값들(예를 들어, 범위 [-255, 255] 내의 값들, 범위 [0, 1] 또는 [-1, 1] 내의 정규화된 값들, 또는 다른 적절한 범위들 내의 값들)을 갖는 픽셀화된 그레이 스케일 이미지일 수 있다.
키르히호프 경계 조건이라고도 불리는 얇은-마스크 근사(thin-mask approximation)가 방사선과 패터닝 디바이스의 상호작용의 결정을 단순화하기 위해 폭넓게 사용된다. 얇은-마스크 근사는 패터닝 디바이스 상의 구조체들의 두께가 파장에 비해 매우 작고 마스크 상의 구조체들의 폭들이 파장에 비해 매우 크다고 가정한다. 그러므로, 얇은-마스크 근사는 패터닝 디바이스 이후 전자기장이 마스크 투과 함수와 입사 전자기장의 곱이라고 가정한다. 하지만, 리소그래피 공정들이 점점 더 짧은 파장들의 방사선을 사용하고, 패터닝 디바이스 상의 구조체들이 점점 더 작아짐에 따라, 얇은-마스크 근사의 가정은 무너질 수 있다. 예를 들어, 그들의 유한한 두께들로 인한 구조체들(예를 들어, 최상부 표면과 측벽 사이의 에지들)과 방사선의 상호작용("마스크 3D 효과" 또는 "M3D")이 중요해질 수 있다. 이러한 산란을 마스크 투과 함수에 포함하는 것은 마스크 투과 함수가 패터닝 디바이스와 방사선의 상호작용을 더 잘 포착할 수 있게 할 수 있다. 얇은-마스크 근사 하에서의 마스크 투과 함수는 얇은-마스크 투과 함수라고 칭해질 수 있다. M3D를 포함하는 마스크 투과 함수는 M3D 마스크 투과 함수라고 칭해질 수 있다.
본 발명의 일 실시예에 따르면, 1 이상의 이미지가 생성될 수 있다. 이미지들은 픽셀 값들 또는 각 픽셀의 세기 값들에 의해 특징지어질 수 있는 다양한 타입들의 신호를 포함한다. 이미지 내의 픽셀의 상대 값들에 따라, 신호는 예를 들어 당업자에 의해 이해될 수 있는 바와 같이 약한 신호 또는 강한 신호라고 칭해질 수 있다. "강한" 및 "약한"이라는 용어는 이미지 내의 픽셀들의 세기 값들에 기초한 상대적인 용어들이며, 특정 세기 값들이 본 발명의 범위를 제한하지는 않을 수 있다. 일 실시예에서, 강한 및 약한 신호는 선택된 임계값에 기초하여 식별될 수 있다. 일 실시예에서, 임계값은 고정될 수 있다(예를 들어, 이미지 내의 픽셀의 최고 세기 및 최저 세기의 중간점). 일 실시예에서, 강한 신호는 이미지에 걸친 평균 신호 값보다 크거나 같은 값들을 갖는 신호를 지칭할 수 있고, 약한 신호는 평균 신호 값보다 작은 값들을 갖는 신호를 지칭할 수 있다. 일 실시예에서, 상대 세기 값은 백분율에 기초할 수 있다. 예를 들어, 약한 신호는 이미지 내의 픽셀의 최고 세기의 50 % 미만의 세기를 갖는 신호일 수 있다(예를 들어, 타겟 디자인에 대응하는 픽셀들이 최고 세기를 갖는 픽셀들로 간주될 수 있음). 또한, 이미지 내의 각각의 픽셀이 변수로서 고려될 수 있다. 본 실시예에 따르면, 도함수 또는 편도함수가 이미지 내의 각각의 픽셀에 대해 결정될 수 있고, 각각의 픽셀의 값들은 비용 함수 기반 평가 및/또는 비용 함수의 기울기 기반 연산(gradient based computation)에 따라 결정 또는 수정될 수 있다. 예를 들어, CTM 이미지는 픽셀들을 포함할 수 있으며, 여기서 각각의 픽셀은 여하한의 실수 값을 취할 수 있는 변수이다.
도 2는 일 실시예에 따른, 리소그래피 투영 장치에서 리소그래피를 시뮬레이션하는 예시적인 흐름도를 나타낸다. 소스 모델(31)이 소스의 광학적 특성들(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기 모델(32)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 디자인 레이아웃 모델(35)이 패터닝 디바이스에 의해 형성되는, 또는 패터닝 디바이스 상의 피처들의 일 구성을 나타내는 디자인 레이아웃의 광학적 특성들[디자인 레이아웃(33)에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함]을 나타낸다. 소스 모델(31), 투영 광학기 모델(32) 및 디자인 레이아웃 모델(35)로부터 에어리얼 이미지(36)가 시뮬레이션될 수 있다. 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 레지스트 이미지(38)가 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 윤곽들 및 CD들을 예측할 수 있다.
더 명확하게는, 소스 모델(31)은 개구수 세팅들, 조명 시그마(σ) 세팅들 및 여하한의 특정 조명 형상[예를 들어, 환형, 쿼드러폴(quadrupole), 다이폴(dipole) 등과 같은 오프-액시스(off-axis) 방사선 소스들]을 포함하는 소스의 광학적 특성들을 나타낼 수 있으며, 이에 제한되지는 않는다. 투영 광학기 모델(32)은 수차, 왜곡, 1 이상의 굴절률, 1 이상의 물리적 크기, 1 이상의 물리적 치수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다. 디자인 레이아웃 모델(35)은, 예를 들어 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 물리적 패터닝 디바이스의 1 이상의 물리적 속성을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치, 에어리얼 이미지 세기 기울기, 및/또는 CD를 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 OPC-전 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
이 디자인 레이아웃으로부터, 1 이상의 부분들이 식별될 수 있으며, 이는 "클립(clip)들"이라고 칭해진다. 일 실시예에서, 클립들의 일 세트가 추출되고, 이는 디자인 레이아웃 내의 복잡한 패턴들을 나타낸다(전형적으로, 약 50 내지 1000 개의 클립들이 사용되지만, 여하한 수의 클립들이 사용될 수 있음). 이 패턴들 또는 클립들은 디자인의 작은 부분들(즉, 회로들, 셀들 또는 패턴들)을 나타내며, 특히 클립들은 통상적으로 특정 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다. 다시 말하면, 클립들은 경험에 의해(고객에 의해 제공된 클립들을 포함함), 시행착오에 의해, 또는 풀-칩 시뮬레이션 실행에 의해 1 이상의 임계 피처가 식별되는 디자인 레이아웃의 부분들일 수 있거나, 또는 디자인 레이아웃의 부분들과 유사할 수 있거나, 또는 디자인 레이아웃의 부분들과 유사한 거동을 가질 수 있다. 클립들은 1 이상의 테스트 패턴 또는 게이지 패턴을 포함할 수 있다.
클립들의 더 큰 초기 세트는 특정 이미지 최적화를 필요로 하는 디자인 레이아웃 내의 1 이상의 알려진 임계 피처 영역에 기초하여 고객에 의해 선험적으로(a priori) 제공될 수 있다. 대안적으로, 또 다른 실시예에서, 클립들의 더 큰 초기 세트는 1 이상의 임계 피처 영역을 식별하는 어떤 종류의 자동화[예를 들어, 머신 비전(machine vision)] 또는 수동 알고리즘을 이용함으로써 전체 디자인 레이아웃으로부터 추출될 수 있다.
리소그래피 투영 장치에서, 일 예시로서 비용 함수는 다음과 같이 표현될 수 있다:
(수학식 1)
이때, (z1,z2,…,zN)는 N 개의 디자인 변수들 또는 그 값들이다. fp(z1,z2,…,zN)은 (z1,z2,…,zN)의 디자인 변수들의 값들의 일 세트에 대한 특성의 실제 값과 의도된 값 간의 차이와 같은 디자인 변수들 (z1,z2,…,zN)의 함수일 수 있다. wp는 fp(z1,z2,…,zN)와 연계된 가중치 상수이다. 예를 들어, 특성은 에지 상의 주어진 지점에서 측정된, 패턴의 에지의 위치일 수 있다. 상이한 fp(z1,z2,…,zN)은 상이한 가중치(wp)를 가질 수 있다. 예를 들어, 특정 에지가 좁은 범위의 허용된 위치들을 갖는 경우, 에지의 실제 위치와 의도된 위치 간의 차이를 나타내는 fp(z1,z2,…,zN)에 대한 가중치(wp)에 더 높은 값이 주어질 수 있다. 또한, fp(z1,z2,…,zN)은 디자인 변수들 (z1,z2,…,zN)의 함수인 중간층 특성의 함수일 수 있다. 물론, CF(z1,z2,…,zN)는 수학식 1의 형태에 제한되지 않는다. CF(z1,z2,…,zN)는 여하한의 다른 적절한 형태일 수 있다.
비용 함수는 리소그래피 투영 장치, 리소그래피 공정 또는 기판의 여하한의 1 이상의 적절한 특성, 예를 들어 포커스, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전, 확률적 변동, 스루풋, 국부적 CD 변동, 공정 윈도우, 중간층 특성, 또는 그 조합을 나타낼 수 있다. 일 실시예에서, 디자인 변수들 (z1,z2,…,zN)은 도즈, 패터닝 디바이스의 전역적 편향, 및/또는 조명의 형상으로부터 선택되는 1 이상을 포함한다. 흔히 기판 상의 패턴을 좌우하는 것이 레지스트 이미지이기 때문에, 비용 함수는 레지스트 이미지의 1 이상의 특성을 나타내는 함수를 포함할 수 있다. 예를 들어, fp(z1,z2,…,zN)는 단순히 레지스트 이미지 내의 지점과 그 지점의 의도된 위치 간의 거리[즉, 에지 배치 오차 EPEp(z1,z2,…,zN)]일 수 있다. 디자인 변수들은 소스, 패터닝 디바이스, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터와 같은 여하한의 조정가능한 파라미터를 포함할 수 있다.
리소그래피 장치는 집합적으로 "파면 머니퓰레이터(wavefront manipulator)"라 하는 구성요소들을 포함할 수 있으며, 이는 방사선 빔의 위상 시프트 및/또는 세기 분포 및 파면의 형상들을 조정하는 데 사용될 수 있다. 일 실시예에서, 리소그래피 장치는 패터닝 디바이스 전, 퓨필 평면 부근, 이미지 평면 부근, 및/또는 초점면 부근과 같은 리소그래피 투영 장치의 광학 경로를 따르는 여하한의 위치에서 파면 및 세기 분포를 조정할 수 있다. 파면 머니퓰레이터는, 예를 들어 소스, 패터닝 디바이스, 리소그래피 투영 장치 내의 온도 변동, 리소그래피 투영 장치의 구성요소들의 열팽창 등에 의해 야기되는 위상 시프트 및/또는 파면 및 세기 분포의 소정 왜곡들을 보정 또는 보상하는 데 사용될 수 있다. 파면 및 세기 분포 및/또는 위상 시프트를 조정하는 것이 비용 함수에 의해 표현되는 특성들의 값들을 변화시킬 수 있다. 이러한 변화들은 모델로부터 시뮬레이션되거나, 또는 실제로 측정될 수 있다. 디자인 변수들은 파면 머니퓰레이터의 파라미터들을 포함할 수 있다.
디자인 변수들은 제약들을 가질 수 있으며, 이는 (z1,z2,…,zN) ∈ Z로서 표현될 수 있고, 이때 Z는 디자인 변수들의 가능한 값들의 일 세트이다. 디자인 변수들에 대한 한 가지 가능한 제약은 리소그래피 투영 장치의 요구되는 스루풋에 의해 부과될 수 있다. 요구되는 스루풋에 의해 부과되는 이러한 제약이 없으면, 최적화는 비현실적인 디자인 변수들의 값들의 세트를 산출할 수 있다. 예를 들어, 도즈가 이러한 제약 없이 디자인 변수들인 경우, 최적화는 경제적으로 불가능한 스루풋을 구성하는 도즈 값을 산출할 수 있다. 하지만, 제약들의 유용성은 필요성으로 해석되어서는 안 된다. 예를 들어, 스루풋은 퓨필 충진율(pupil fill ratio)에 의해 영향을 받을 수 있다. 몇몇 조명 디자인들에 대해, 낮은 퓨필 충진율은 방사선을 버려서 더 낮은 스루풋을 초래할 수 있다. 또한, 스루풋은 레지스트 화학적 성질에 의해 영향을 받을 수 있다. 더 느린 레지스트(예를 들어, 적절히 노광되기 위해 더 높은 양의 방사선을 필요로 하는 레지스트)가 더 낮은 스루풋을 초래한다.
본 명세서에서 사용되는 바와 같이, "패터닝 공정"이라는 용어는 리소그래피 공정의 일부로서 특정 패턴들의 광의 적용에 의해 에칭된 기판을 생성하는 공정을 의미한다. 또한, 리소그래피 공정은 포토레지스트 노광 및 현상을 포함할 수 있다.
본 명세서에서 사용되는 바와 같이, "타겟 디자인"이라는 용어는 기판 상에 에칭될 이상적인 디자인을 의미한다. 추가적으로 또는 대안적으로, "타겟 디자인"은 어시스트 피처들을 포함할 수 있는 마스크 또는 레티클 상의 디자인을 지칭한다.
본 명세서에서 사용되는 바와 같이, "프린트된 디자인"이라는 용어는 타겟 디자인에 기초하여 에칭된 기판 상의 물리적 디자인을 의미하며, 포토레지스트 상의 현상-후 레지스트 패턴을 지칭할 수도 있다. 프린트된 디자인은, 예를 들어 트로프(trough), 채널, 오목부(depression), 에지, 또는 리소그래피 공정으로부터 발생하는 다른 2 차원 및 3 차원 피처들을 포함할 수 있다.
본 명세서에서 사용되는 바와 같이, "공정 모델"이라는 용어는 리소그래피 또는 다른 생산 공정을 시뮬레이션하는 1 이상의 모델을 포함하는 모델을 의미한다. 예를 들어, 공정 모델은 광학 모델(예를 들어, 리소그래피 공정에서 광을 전달하는 데 사용되는 렌즈 시스템/투영 시스템을 모델링하며, 포토레지스트에 들어가는 광의 최종 광학 이미지를 모델링하는 것을 포함할 수 있음), 레지스트 모델(예를 들어, 광으로 인한 화학적 효과들과 같은 레지스트의 물리적 효과들을 모델링함), OPC 모델[예를 들어, 타겟 디자인들을 구성하는 데 사용될 수 있으며, 분해능-이하 레지스트 피처(SRAF) 등을 포함할 수 있음], 이미징 디바이스 모델(예를 들어, 이미징 디바이스가 프린트된 디자인으로부터 이미징할 수 있는 것을 모델링함)의 여하한의 조합을 포함할 수 있다.
본 명세서에서 사용되는 바와 같이, "이미징 디바이스"라는 용어는 프린트된 디자인 또는 그 부분들과 같은 타겟의 이미지들을 생성하도록 구성될 수 있는 여하한의 수 또는 조합의 디바이스들 및 관련 컴퓨터 하드웨어 및 소프트웨어를 의미한다. 이미징 디바이스들의 비-제한적인 예시들은 스캐닝 전자 현미경(SEM), x-선 기계 등을 포함할 수 있다.
도 3은 일 실시예에 따른 예시적인 프린트된 디자인(310)을 나타내는 단순화된 다이어그램이다.
일부 제조 공정들에서, 공정들의 시뮬레이션들 및 공정들의 구현은 결과적인 프린트된 디자인(310)을 의도된 또는 타겟 디자인(도 4에 예시됨)과 비교함으로써 개선될 수 있다. 이러한 비교들은 임계 치수들(예를 들어, 피처와 관련된 폭 또는 다른 치수) 또는 특정 좌표[본 명세서에서, 에지 배치(EP) 게이지라고도 함]들을 비교하는 것에 기초할 수 있다. 이러한 특징들, 특히 CD는 커트라인(320)을 따라 결정될 수 있다(이러한 일부 예시들이 도 3에 도시되어 있음). 일부 경우에, 이러한 특징들이 개별적으로 선택될 수 있다. 하지만, 본 발명은 많은 동등한 특징들의 식별을 허용하도록 패턴 인식을 구현하는 시스템들, 방법들, 및 컴퓨터 프로그램 제품들을 제공한다. 일부 실시예들은 이러한 특징들의 평균화(예를 들어, 평균 CD 또는 EP 게이지의 결정) 및 이후 프린트된 디자인(310)에 기초하여야 하는 것과의 비교를 허용할 수 있다. 패턴 인식 알고리즘에 의해 가능해지는 이러한 평균화 프로세스(수백 또는 수천 개의 동등한 특징들을 수반함)는 프린트된 디자인(310)과 타겟 디자인 사이의 여하한의 차이들의 더 정확한 측정을 제공할 수 있다. 따라서, 예를 들어 공정 모델을 캘리브레이션하는 일부로서 이러한 차이들을 감소시키기 위해 패터닝 공정에 대한 조정이 수행될 수 있다.
본 명세서에서 더 상세히 논의되는 바와 같이, 일부 실시예들은 부분적으로 타겟 디자인에 기초하여 구성된 프린트된 디자인(310)의 특징을 측정함으로써 공정 모델을 개선하는 방법들을 포함한다. 상기 방법은 a) 이미지 캡처 디바이스로부터의 프린트된 디자인(310)의 이미지, 및 b) 이미지에서의 형상들에 기초한 윤곽들(330)을 얻는 단계를 포함할 수 있다. 윤곽들(330)은, 예를 들어 이미지로부터 피처들의 에지들 또는 경계들을 찾는 에지 검출 프로그램, 콘트라스트 분석, 또는 다른 이미지 분석 프로그램들에 의해 생성될 수 있다.
또한, 상기 방법은 패턴 인식 프로그램에 의해, 특징을 포함하는 타겟 디자인 상의 패턴들을 식별하는 단계를 포함할 수 있다. 특징에 대응하는 윤곽들(330) 상에서 좌표가 결정될 수 있다. 예를 들어, 도 3에 나타낸 바와 같이, 커트라인(320)과 윤곽의 교차점이 EP 게이지를 정의하는 데 사용되거나 CD를 계산하는 데 사용될 수 있는 좌표(예를 들어, x-y 위치)를 정의하기 위해 사용될 수 있다.
상기 방법은 적어도 a) 좌표들에 기초하여 특징의 측정을 제공하고, b) 타겟 디자인의 대응하는 특징과 측정의 비교에 기초하여 공정 모델을 캘리브레이션함으로써 공정 모델을 개선하는 단계를 더 포함할 수 있다. 예를 들어, 레지스트 모델, OPC 모델 등이 조정되거나 캘리브레이션되어, 예를 들어 프린트된 디자인과 타겟 디자인 간의 측정된 차이들의 감소를 야기할 수 있다. 앞선 방법들 및 다른 실시예들의 세부사항들이 여기에서 논의된다.
본 명세서에서 사용되는 바와 같이, "특징"이라는 용어는 에지 배치 게이지 또는 임계 치수 중 적어도 하나일 수 있다. 본 명세서에서는 EP 게이지 및 CD에 관하여 설명되지만, 프린트된 디자인에 대응하는 다른 특징들, 예를 들어 프린트된 디자인으로부터의 곡률, 깊이, 각도, 대역폭, 기울기가 사용될 수 있다. 또한, 프린트된 디자인의 이미지들에서, 콘트라스트, 세기 등이 여기에 포함되는 개시내용에 의해 유사하게 처리될 수 있다.
또한, 본 명세서에서 사용되는 바와 같이, 좌표는 에지 배치 게이지 또는 임계 치수(또는 다른 특징들)의 좌표일 수 있다. 예를 들어, EP 게이지는 단일 좌표일 수 있다. 대조적으로, CD는 (길이와 같은) 단일 숫자로서 표현될 수 있지만, CD를 정의하는 한 쌍의 좌표들로서 표현될 수도 있다.
앞서 설명된 바와 같이, 측정은 좌표들을 평균함으로서 생성될 수 있다. 다른 실시예들에서, 측정은 CD 또는 다른 특징 값(들)을 평균함으로써 생성될 수 있다.
다른 실시예들에서, 측정은 앞서 설명된 치수 또는 좌표의 계산보다는(또는 이에 추가하여) 통계 분석을 포함할 수 있다. 예를 들어, 패턴 인식 프로그램에 의해 다수의 CD들이 식별된 경우, 표준 편차, 분산 등이 결정될 수 있다. 이 예시에서, 큰 표준 편차는 공정 모델의 조정의 필요성을 나타낼 수 있다. 앞서 언급된 바와 같이, 측정들의 이러한 분석 및/또는 평균화는 예를 들어 세기, 각도, 기울기, 곡률, 대역폭, 콘트라스트 등 중 1 이상의 특징들에 기초할 수 있다.
도 4는 일 실시예에 따른, 프린트된 디자인과 중첩된 예시적인 타겟 디자인(410)을 나타내는 단순화된 다이어그램이다.
일부 실시예들에서, 프린트된 디자인을 타겟 디자인(410)과 비교하기 위해, 프린트된 디자인은 이미지 캡처 디바이스(예를 들어, SEM 또는 AFM)에 의해 이미징될 수 있다. 이미지 분석 소프트웨어가 이 이미지들을 처리하여 형상들(420)(예를 들어, 홀, 슬롯 등)에 대응하는 이미지들로부터 윤곽들을 생성할 수 있다. 프린트된 디자인으로부터 생성된 윤곽들의 예시가 도 4에 도시되어 있다. 또한, 도 4는 윤곽들과 중첩된 타겟 디자인(410)의 단순화된 표현을 나타낸다. 도 4에 나타낸 바와 같이, 타겟 디자인(410)이 정확한 평행 라인들과 뾰족한 코너들을 갖는 경우, 프린트된 디자인은 약간의 편차 및 라운딩(rounding)을 포함한다.
도 4에 예시된 바와 같이, 일부 실시예들은 적어도 타겟 디자인(410)과 윤곽들 사이의 비교에 기초하여 이미지를 타겟 디자인(410)과 정렬하는 것을 포함한다. 예를 들어, 이미지(또는 윤곽들)가 좌표로 표현될 수 있고, 이 좌표와 타겟 디자인(410)의 대응하는 좌표 사이의 차이(예를 들어, 거리)를 감소시키기 위해 병진, 회전, 스케일링(scale), 변형 등을 수행할 수 있다. 일부 실시예들에서, 정렬은 타겟 디자인(410) 및 윤곽들의 지점들 사이의 측정된 거리들의 RMS를 감소시키거나 최소화함으로써 수행될 수 있다.
일단 이미지 및/또는 윤곽들이 타겟 디자인(410)과 정렬되면, 타겟 디자인(410) 및 프린트된 디자인의 특징들 사이에 비교가 이루어질 수 있다. 하지만, 앞서 언급된 바와 같이, 패턴 인식 프로그램의 활용을 포함하는 실시예들은 프린트된 디자인에서 많은 수의 동등한 특징들의 결정을 가능하게 하며, 따라서 프로세스 방법의 더 정확한 특성화(characterization)를 허용할 수 있다.
도 5는 일 실시예에 따른, 타겟 디자인(510)에서 예시적인 패턴들(520)을 식별하는 것을 나타내는 단순화된 다이어그램이다.
일부 실시예들에서, 타겟 디자인(510)은 타겟 디자인(510) 전체에 걸쳐 존재할 수 있는 패턴들(520)의 인스턴스(instance)들을 결정하기 위해 패턴 인식 프로그램에 의해 분석될 수 있다. 이러한 실시예는 "패턴 검색"이라고 칭해질 수 있다. 도 5의 단순화된 예시에서, 타겟 디자인(510)은 긴 채널들에 의해 분리된 다수의 짧은 직사각형 요소들(예를 들어, 슬롯들)을 갖는 것으로서 (가장 얇은 라인에 의해) 도시된다. 일 실시예에서, 패턴 인식 프로그램은 사용자에 의해 공급되거나 또 다른 프로그램에 의해 생성될 수 있는 제 1 패턴(530)을 수신할 수 있다. 제 1 패턴(530)은 도 5에서 도 5의 중앙 근처에 가장 굵은 박스에 의해 도시되어 있다.
패턴 인식 프로그램은 타겟 디자인(510)으로부터 제 1 패턴(530)과 동일한 다른 패턴들(520)을 로케이팅할 수 있다. 인스턴스들은 도 5에서 중간 굵기의 라인들에 의해 도시된다. 다른 패턴들(520)의 결정은, 일부 실시예들에서, 제 1 패턴(530)의 좌표와 타겟 디자인(510)의 좌표를 비교하는 것에 기초할 수 있다. 일 실시예에서, 다른 패턴들(520)의 특징들의 로케이팅은 타겟 디자인(510)으로부터의 다각형들의 좌표들의 비교에 기초할 수 있다. 예를 들어, 제 1 패턴(530)에 나타낸 2 개의 슬롯들을 고려하면, 이들은 특정 좌표들을 갖는 다각형들(또는 여하한의 형상 및 점 배열)에 의해 정의될 수 있다. 간단한 예시로서, 꼭짓점들이 (0,0), (0,1), (1,1) 및 (1,0) 좌표들을 갖는 정사각형 슬롯으로만 패턴이 구성된 경우, 타겟 디자인(510)이 어딘가에 동일한 좌표들을 포함하지만 시프트된다면[예를 들어, (5,0), (5,1), (5,1) 및 (5,0)], 이는 매칭 패턴으로서 식별될 것이다.
일부 실시예들에서, 패턴 인식 프로그램은 일 실시예에서 0 변동을 가질 수 있는 정확한 매칭에 기초하여 다른 패턴들(520)을 식별하도록 타겟 디자인(510)에서 다른 좌표들을 분석할 수 있다. 또 다른 실시예에서, 정확한 매칭은 예를 들어 컴퓨팅 시스템에 의해 도입되는 여하한의 수치 오차 내에서 대략 0의 변동을 포함할 수 있다. 또 다른 실시예에서, 정확한 매칭은 최대 0.1 nm의 변동을 가질 수 있다. 또한, 패턴 인식 프로그램은 퍼지 매칭에 기초하여 다른 패턴들(520)을 식별할 수 있으며, 이는 다른 패턴들(520)의 좌표들 사이에서 최대 2 nm의 변동을 허용할 수 있다. 다른 실시예들에서, 퍼지 매칭은 최대 1.5 nm의 변동, 최대 3 nm의 변동 등을 허용할 수 있다.
일단 다른 패턴들(520)이 발견되면, 앞서 설명된 평균화 프로세스에 사용되는 좌표들은 다른 패턴들(520)로부터 결정될 수 있다. 도 5에 나타낸 바와 같이, 타겟 디자인(510)의 제 1 패턴(530)에서 커트라인이 정의되었다면, 대응하는 커트라인들(540)이 다른 패턴들(520)에서[즉, 타겟 디자인(510)의 다른 위치들에서] 정의될 수 있다. 도 4에 나타낸 바와 같은 타겟 디자인(510)에 정렬된 프린트된 디자인으로, 대응하는 윤곽들의 좌표들이 결정되고 평균될 수 있다. 도 5의 단순화된 예시에서, 단일 커트라인에 의존하는 대신에 36 개의 측정들이 수행되고 평균될 수 있다. 이는 여하한 수의 커트라인들(540) 또는 패턴들(520)로 확장될 수 있다.
패턴 인식 프로그램은 2 개의 패턴들(520)이 수신되고 (실시예에 따라 정확한 또는 퍼지에 기초한) "매칭"인지 여부가 결정되는, 본 명세서에서 "패턴 그룹화"라고 하는 또 다른 기능을 가질 수 있다. 따라서, 또 다른 실시예에서, 패턴 인식 프로그램의 구현은 패턴 인식 프로그램에서 제 1 패턴(530) 및 제 2 패턴을 수신하는 것을 포함할 수 있다. 패턴 인식 프로그램은 제 1 및 제 2 패턴의 좌표들에 기초하여 제 1 패턴(530)과 제 2 패턴이 동일한지를 결정할 수 있다. 따라서, 측정은 적어도 제 1 패턴(530) 및 제 2 패턴에 기초하여 결정될 수 있다. 본 발명의 여하한의 실시예들에서, 패턴 인식 프로그램은 제 1 패턴(530) 및 제 2 패턴이 동일한지를 결정하는 경우에 제 2 패턴에 대한 제 1 패턴(530)의 병진 또는 회전 중 1 이상(예를 들어, 좌표들의 집합)을 고려할 수 있다.
도 6은 일 실시예에 따른 예시적인 커트라인 배치를 나타내는 단순화된 다이어그램이다.
본 명세서에서 설명되는 실시예들은 타겟 디자인(620) 상의 많은 커트라인들(610)의 자동 배치를 허용할 수 있다. 예를 들어, 도 6에 나타낸 예시적인 패턴에 도시된 바와 같이, 2 개의 직사각형 슬롯들 및 2 개의 채널들의 에지들이 존재한다. 커트라인들(610)은 커트라인 생성 프로그램 또는 모듈에 의해 자동으로 생성되거나, 사용자에 의해 지정되거나, 또는 둘의 조합에 의해 생성될 수 있다. 커트라인들(610)은 형상 또는 라인을 따라 또는 그 위에서 주어진 간격 및/또는 밀도를 갖도록 배치될 수 있다. 일 실시예에서, 다른 패턴들의 부분을 따라 커트라인들(610)의 밀도를 특정하는 사용자 입력이 수신될 수 있으며, 밀도는 상기 부분을 따라 단위 길이 당 커트라인들(610)의 수에 대응한다.
일 실시예에서, 시스템은 다각형 에지들에 기초하는 자동 커트라인 배치를 제공할 수 있다. 시스템은 다각형의 에지들을 통해 (시계방향 또는 반시계 방향으로) 반복(loop)하고, 폭(다각형-내 거리) 및 공간(다각형-간 거리)을 결정한 후, 폭의 절반에서 공간의 절반까지 일정한 간격으로 커트라인을 배치할 수 있다. 또한, 이는 다각형들의 중심들로부터 연장되는 커트라인들을 생성하는 것을 포함할 수도 있다. 예를 들어, 90 도 또는 -90 도를 포함한 방향전환(turns)을 가질 수 있는 코너 구역에서, 기울어진 커트라인들(라운딩 커트라인들이라고도 함)이 생성될 수 있다. 이러한 각진 커트라인들은 수평 또는 수직 커트라인보다 윤곽의 법선에 더 가까울 수 있다.
도 6에 나타낸 바와 같이, 커트라인 생성은 타겟 디자인(620)에서 1 이상의 평행 라인을 가로질러 수평 또는 수직 커트라인을 생성하는 것을 포함할 수 있다. 다른 실시예들에서, 평행 라인들은 기울어지거나, 엄격하게 수평 또는 수직인 것 외에 여하한의 다른 형상 또는 곡률을 가질 수 있다. 예를 들어, 원형 채널 또는 만곡부(bend)를 갖는 채널이 (국부적으로) 서로 평행한 두 라인들로 이루어질 수 있다. 따라서, 커트라인들(610)이 임계 치수를 결정하기 위한 기초로서 사용될 수 있다. 임계 치수들은 적어도 윤곽들(630)과 수평 또는 수직 커트라인들(610)의 교차점에 기초하여 결정될 수 있다. CD의 차이들은 커트라인들이 타겟 디자인(620) 및 윤곽(630)을 교차하는 위치의 좌표들(좌표를 나타내는 두 개의 정사각형 점으로 나타냄)의 차이들에 기초하여 계산될 수 있다. 도 6으로부터 알 수 있는 바와 같이, 이러한 많은 좌표들 및 임계 치수들이 주어진 패턴에 걸쳐 결정될 수 있다.
유사하게, 기울어진 커트라인들(610)이 타겟 디자인의 한 지점으로부터 연장되어 생성될 수 있다. 수평 또는 수직 커트라인들(610)이 기울어진 커트라인들(610)로 전환되는 지점은 시스템에 의해 자동으로 결정될 수 있거나, 사용자에 의해 특정될 수 있다. EP 게이지들이 적어도 기울어진 커트라인(610)과 윤곽들(630)의 교차점에 기초하여 생성될 수 있다. CD와 마찬가지로, EP 게이지들은 [예를 들어, 커트라인(610)으로 교차점들의 좌표들을 비교함으로써] 타겟 디자인의 대응하는 위치와 비교될 수 있다.
도 7은 일 실시예에 따른, 타겟 디자인(720)에서 동일한 상황을 갖는 커트라인들(710)의 식별을 나타내는 단순화된 다이어그램이다.
앞선 실시예들은 타겟 디자인(720)에서 로케이팅되는 다른 패턴들로 확장되고 적용될 수 있다. 이러한 일 예시가 도 7에 도시되어 있으며, 타겟 디자인(720)에 걸쳐 다수의 커트라인들(710)이 배치되었다. 여기서, 패턴 인식 프로그램은 다른 패턴들(예를 들어, 직사각형들)을 식별하고, 이에 따라 (본 명세서에서, 동일한 상황을 갖는 것으로도 언급되는) 동일한 특징에 대응하는 커트라인들(710)을 생성할 수 있다. 일 예시가 커트라인(730)에 의해 도시되며, 이 커트라인들은 타겟 디자인(720) 내의 형상의 코너를 통한다. 이 커트라인들(730)이 동일한 상황을 갖기 때문에, 타겟 디자인(720) 상의 커트라인(730)의 교차점에 대한 좌표가 대응하는 윤곽의 교차점과 비교될 수 있다. 프린트된 디자인의 윤곽들이 타겟 디자인(720)과 정렬되는 경우, 많은 CD들 및 EP 게이지들이 결정되고 본 명세서에서 설명되는 바와 같이 평균화에 사용될 수 있다.
도 8은 일 실시예에 따른, 프린트된 디자인의 특징들을 측정하기 위한 패턴 인식 프로그램의 구현을 나타내는 프로세스 흐름도이다.
부분적으로 타겟 디자인에 기초하여 구성된 프린트된 디자인의 특징을 측정함으로써 공정 모델을 개선하는 방법은, 810에서,
a) 이미지 캡처 디바이스로부터의 프린트된 디자인의 이미지, 및 b) 이미지에서의 형상들에 기초한 윤곽들을 얻는 단계를 포함할 수 있다.
820에서, 패턴 인식 프로그램은 특징을 포함하는 타겟 디자인 상의 패턴들을 식별할 수 있다.
830에서, 특징에 대응하는 윤곽들 상의 좌표들이 결정될 수 있다.
840에서, 공정 모델은 적어도 a) 좌표들에 기초하여 특징의 측정을 제공하고, b) 타겟 디자인의 대응하는 특징과 측정의 비교에 기초하여 공정 모델을 캘리브레이션함으로써 개선될 수 있다.
도 9는 일 실시예에 따른 예시적인 컴퓨터 시스템(CS)의 블록 다이어그램이다.
컴퓨터 시스템(CS)은 정보를 전달하는 버스(BS) 또는 다른 통신 기구, 및 정보를 처리하는 버스(BS)와 커플링된 프로세서(PRO)(또는 다중 프로세서)를 포함한다. 또한, 컴퓨터 시스템(CS)은 프로세서(PRO)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(BS)에 커플링된 주 메모리(MM)를 포함한다. 또한, 주 메모리(MM)는 프로세서(PRO)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(CS)은 프로세서(PRO)에 대한 정적 정보 및 명령어들을 저장하는 버스(BS)에 커플링된 ROM(read only memory: ROM) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(SD)가 제공되고 버스(BS)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(CS)은 버스(BS)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(DS)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(ID)는 정보 및 명령 선택(command selection)들을 프로세서(PRO)로 전달하기 위해 버스(BS)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(PRO)로 전달하고, 디스플레이(DS) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: CC)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(MM)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(PRO)에 응답하여 컴퓨터 시스템(CS)에 의해 본 명세서에 설명된 1 이상의 방법의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(SD)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(MM)로 읽혀질 수 있다. 주 메모리(MM) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(PRO)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(MM) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(PRO)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(SD)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(MM)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(BS)를 포함하는 와이어들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체는 비-일시적, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge)일 수 있다. 비-일시적 컴퓨터 판독가능한 매체는 그 안에 명령어들이 기록되어 있을 수 있다. 명령어들은 컴퓨터에 의해 실행될 때, 본 명세서에 설명된 여하한의 특징들을 구현할 수 있다. 일시적 컴퓨터 판독가능한 매체는 반송파 또는 다른 전파 전자기 신호를 포함할 수 있다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(PRO)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(CS)에 로컬인 모뎀이 전화선 상의 데이터를 수신하고, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(BS)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(BS)에 놓을 수 있다. 버스(BS)는, 프로세서(PRO)가 명령어들을 회수하고 실행하는 주 메모리(MM)로 상기 데이터를 전달한다. 주 메모리(MM)에 의해 수신된 명령어들은 프로세서(PRO)에 의한 실행 전이나 후에 저장 디바이스(SD)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(CS)은 버스(BS)에 커플링된 통신 인터페이스(CI)를 포함할 수 있다. 통신 인터페이스(CI)는 로컬 네트워크(LAN)에 연결되는 네트워크 링크(NDL)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(CI)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(CI)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(CI)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(NDL)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(NDL)는 로컬 네트워크(LAN)를 통해 호스트 컴퓨터(host computer: HC)로의 연결을 제공할 수 있다. 이는 이제 보편적으로 "인터넷"(INT)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 제공되는 데이터 통신 서비스들을 포함할 수 있다. 로컬 네트워크(LAN)(인터넷)는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(CS)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(CI)를 통한 네트워크 데이터 링크(NDL) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(CS)은 네트워크(들), 네트워크 데이터 링크(NDL) 및 통신 인터페이스(CI)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 호스트 컴퓨터(HC)가 인터넷(INT), 네트워크 데이터 링크(NDL), 로컬 네트워크(LAN) 및 통신 인터페이스(CI)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 명세서에 설명된 방법의 일부 또는 전부를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(PRO)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(SD) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(CS)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 10은 일 실시예에 따른 리소그래피 투영 장치의 개략적인 다이어그램이다.
리소그래피 투영 장치는 조명 시스템(IL), 제 1 대상물 테이블(MT), 제 2 대상물 테이블(WT), 및 투영 시스템(PS)을 포함할 수 있다.
조명 시스템(IL)은 방사선 빔(B)을 컨디셔닝(condition)할 수 있다. 이러한 특정한 경우, 조명 시스템은 방사선 소스(SO)도 포함한다.
제 1 대상물 테이블(예를 들어, 패터닝 디바이스 테이블)(MT)은 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기에 연결될 수 있다.
제 2 대상물 테이블(기판 테이블)(WT)은 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기에 연결될 수 있다.
투영 시스템("렌즈")(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭 광학 시스템]은 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징할 수 있다.
본 명세서에 도시된 바와 같이, 상기 장치는 투과형으로 구성될 수 있다(즉, 투과 패터닝 디바이스를 가짐). 하지만, 일반적으로 이는 예를 들어 (반사 패터닝 디바이스를 갖는) 반사형으로 구성될 수도 있다. 상기 장치는 전형적인 마스크로 상이한 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저, LPP(레이저 생성 플라즈마) EUV 소스]는 방사선 빔을 생성한다. 예를 들어, 이 빔은 곧바로 또는 빔 익스팬더(beam expander: Ex)와 같은 컨디셔닝 장치들을 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 디바이스(AD)를 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
일부 실시예들에서, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 (예를 들어, 적절한 지향 거울들의 도움으로) 장치 내부로 들어올 수 있다; 이 후자의 시나리오는 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 상기 빔(B)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과할 수 있다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PS)를 통과할 수 있으며, 이는 기판(W)의 타겟부(C) 상에 빔(B)을 포커스한다. 제 2 위치설정 장치[및 간섭 측정 장치(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 장치는 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 수 있다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 스테퍼의 경우, 패터닝 디바이스 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드, 스텝 모드 및 스캔 모드로 사용될 수 있다. 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지가 한 번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 기판 테이블(WT)은 상이한 타겟부(C)가 빔(B)에 의해 조사될 수 있도록 x 및/또는 y 방향들로 시프트될 수 있다.
스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PS)의 배율이다(통상적으로, M = 1/4 또는 1/5). 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 11은 일 실시예에 따른 또 다른 리소그래피 투영 장치(LPA)의 개략적인 다이어그램이다.
LPA는 소스 컬렉터 모듈(SO), 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL), 지지 구조체(MT), 기판 테이블(WT), 및 투영 시스템(PS)을 포함할 수 있다.
지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)는 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결될 수 있다.
기판 테이블(예를 들어, 웨이퍼 테이블)(WT)은 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결될 수 있다.
투영 시스템(예를 들어, 반사 투영 시스템)(PS)은 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성될 수 있다.
본 명세서에 도시된 바와 같이, LPA는 (예를 들어, 반사 패터닝 디바이스를 채택하는) 반사형으로 구성될 수 있다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용할 수 있다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않을 수 있으며, 방사선 빔은 예를 들어 적절한 지향 거울들 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과될 수 있다. 다른 경우, 예를 들어 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사될 수 있으며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(LPA)는 다음 모드들, 스텝 모드, 스캔 모드, 및 고정 모드 중 적어도 하나에서 사용될 수 있다.
스텝 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
스캔 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
고정 모드에서, 지지 구조체(예를 들어, 패터닝 디바이스 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 12는 일 실시예에 따른 리소그래피 투영 장치의 상세도이다.
나타낸 바와 같이, LPA는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함할 수 있다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: ES) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 고온 플라즈마(HP)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 고온 플라즈마(HP)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 고온 플라즈마(HP)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
고온 플라즈마(HP)에 의해 방출된 방사선은, 소스 챔버(source chamber: SC)의 개구부(opening) 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: CT)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(SC)로부터 컬렉터 챔버(collector chamber: CC) 내로 통과된다. 오염물 트랩(CT)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(CT)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 더 나타내는 오염물 트랩 또는 오염물 방벽(CT)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(CC)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: US) 및 방사선 컬렉터 하류측(downstream radiation collector side: DS)을 갖는다. 방사선 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: SF)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해질 수 있으며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(ES)에서의 개구부(OP)에, 또는 그 부근에 위치되도록 배치될 수 있다. 가상 소스점(IF)은 방사선 방출 플라즈마(HP)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 진폭의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(B)의 원하는 각도 분포를 제공하도록 배치되는 패싯 필드 거울 디바이스(FM) 및 패싯 퓨필 거울 디바이스(PM)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되는 패터닝 디바이스(MA)에서의 방사선 빔(B)의 반사 시, 패터닝된 빔(PB)이 형성되고, 패터닝된 빔(PB)은 투영 시스템(PS)에 의하여 반사 요소들(RE)을 통해 기판 테이블(WT)에 의해 유지되는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(SF)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
컬렉터 광학기(CO)는 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(GR)을 갖는 네스티드 컬렉터(nested collector)일 수 있다. 스침 입사 반사기들(GR)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
도 13은 일 실시예에 따른 리소그래피 투영 장치(LPA)의 소스 컬렉터 모듈(SO)의 상세도이다.
소스 컬렉터 모듈(SO)은 LPA 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: HP)를 생성할 수 있다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(ES)의 개구부(OP) 상에 포커스된다.
본 실시예들은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 부분적으로 타겟 디자인에 기초하여 구성된 프린트된 디자인의 특징을 측정함으로써 공정 모델을 개선하는 방법으로서,
a) 이미지 캡처 디바이스로부터의 프린트된 디자인의 이미지, 및 b) 이미지에서의 형상들에 기초한 윤곽들을 얻는 단계;
패턴 인식 프로그램에 의해, 특징을 포함하는 타겟 디자인 상의 패턴들을 식별하는 단계;
윤곽들에서, 특징에 대응하는 좌표들을 결정하는 단계; 및
적어도 a) 좌표에 기초하여 특징의 측정을 제공하고, b) 타겟 디자인의 대응하는 특징과 측정의 비교에 기초하여 공정 모델을 캘리브레이션함으로써 공정 모델을 개선하는 단계를 포함하는 방법.
2. 1 항에 있어서, 특징은 에지 배치 게이지 또는 임계 치수 중 적어도 하나인 방법.
3. 1 항에 있어서, 좌표들은 에지 배치 게이지들 또는 임계 치수들의 좌표들인 방법.
4. 1 항에 있어서, 측정은 좌표들을 평균함으로써 생성되는 방법.
5. 1 항에 있어서, 특징은 프린트된 디자인의 곡률, 깊이, 각도, 대역폭, 및 기울기 중 적어도 하나를 포함하는 방법.
6. 1 항에 있어서, 특징은 이미지의 콘트라스트 및 세기 중 적어도 하나를 포함하는 방법.
7. 1 항에 있어서, 이미지로부터 윤곽들을 생성하는 단계를 더 포함하는 방법.
8. 7 항에 있어서, 적어도 타겟 디자인과 윤곽들 사이의 비교에 기초하여 타겟 디자인과 이미지를 정렬하는 단계를 더 포함하는 방법.
9. 7 항에 있어서, 타겟 디자인에서 1 이상의 평행 라인을 가로질러 수평 또는 수직 커트라인을 생성하는 단계를 더 포함하는 방법.
10. 9 항에 있어서, 적어도 윤곽들과 수평 또는 수직 커트라인의 교차점에 기초하여 임계 치수를 결정하는 단계를 더 포함하는 방법.
11. 7 항에 있어서, 타겟 디자인의 한 지점으로부터 연장되는 기울어진 커트라인을 생성하는 단계를 더 포함하는 방법.
12. 11 항에 있어서, 적어도 윤곽들과 기울어진 커트라인의 교차점에 기초하여 EP 게이지를 생성하는 단계를 더 포함하는 방법.
13. 1 항에 있어서, 패턴들의 부분을 따라 커트라인들의 밀도를 특정하는 사용자 입력을 수신하는 단계를 더 포함하고, 밀도는 상기 부분을 따라 단위 길이 당 커트라인들의 수에 대응하는 방법.
14. 1 항에 있어서,
타겟 디자인으로부터, 패턴과 동일한 다른 패턴들을 로케이팅하는 단계; 및
다른 패턴들로부터 좌표들을 결정하는 단계를 더 포함하는 방법.
15. 14 항에 있어서, 로케이팅은 타겟 디자인으로부터의 다각형들의 좌표들의 비교에 더 기초하는 방법.
16. 1 항에 있어서,
패턴 인식 프로그램에서, 제 1 패턴 및 제 2 패턴을 수신하는 단계;
제 1 및 제 2 패턴의 복수의 좌표들에 기초하여 제 1 패턴 및 제 2 패턴이 동일한지를 결정하는 단계; 및
적어도 제 1 패턴 및 제 2 패턴에 기초하여 측정을 결정하는 단계를 더 포함하는 방법.
17. 1 항에 있어서, 제 1 패턴 및 제 2 패턴이 동일한지를 결정하는 경우에 제 2 패턴에 대한 제 1 패턴의 병진 또는 회전 중 1 이상을 설명하는 단계를 더 포함하는 방법.
18. 1 항에 있어서, 패턴 인식 프로그램은 0 변동을 갖는 정확한 매칭에 기초하여 다른 패턴들을 식별하는 방법.
19. 1 항에 있어서, 패턴 인식 프로그램은 퍼지 매칭에 기초하여 다른 패턴들을 식별하는 방법.
20. 19 항에 있어서, 퍼지 매칭은 다른 패턴들의 좌표들 사이에서 최대 2 nm의 변동을 허용하는 방법.
21. 부분적으로 타겟 디자인에 기초하여 구성된 프린트된 디자인의 특징을 측정함으로써 광 근접 보정 모델을 캘리브레이션하는 방법으로서,
a) 스캐닝 전자 현미경으로부터의 프린트된 디자인의 이미지, 및 b) 이미지에서의 형상들에 기초한 윤곽들을 얻는 단계;
패턴 인식 프로그램에 의해, 특징을 포함하는 타겟 디자인 상의 패턴들을 식별하는 단계;
윤곽들에서, 특징에 대응하는 좌표들을 결정하는 단계; 및
적어도 a) 좌표들에 기초하여 특징의 측정을 제공하고, b) 타겟 디자인의 대응하는 특징과 측정의 비교에 기초하여 공정 모델을 캘리브레이션함으로써 광 근접 보정 모델을 개선하는 단계를 포함하는 방법.
22. 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품으로서,
명령어들은 컴퓨터에 의해 실행될 때, 앞선 항들 중 어느 하나의 방법을 구현하는 컴퓨터 프로그램 제품.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 짧은 파장들을 생성할 수 있는 신흥 이미징 기술들로 유용할 수 있다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외), DUV 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 50 nm 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 비-일시적(non-transitory) 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램으로서,
    상기 컴퓨터 프로그램은 명령어들을 포함하고, 상기 명령어들은 컴퓨터에 의해 실행될 때 부분적으로 타겟 디자인에 기초하여 구성된 프린트된 디자인의 특징(feature)을 측정함으로써 공정 모델을 개선하는 방법을 구현하며, 상기 방법은:
    a) 이미지 캡처 디바이스로부터의 상기 프린트된 디자인의 이미지, 및 b) 상기 이미지에서의 형상들에 기초한 윤곽들을 얻는 단계;
    패턴 인식 프로그램에 의해, 복수의 커트라인을 따라 상기 특징을 포함하는 상기 타겟 디자인 상의 패턴들을 식별하는 단계;
    상기 윤곽들과 복수의 커트라인의 교차점으로서, 상기 특징에 대응하는 좌표들을 결정하는 단계; 및
    적어도 a) 상기 좌표들에 기초하여 상기 특징의 측정을 제공하고, b) 상기 타겟 디자인의 대응하는 특징과 상기 측정의 비교에 기초하여 상기 공정 모델을 캘리브레이션함으로써 상기 공정 모델을 개선하는 단계
    를 포함하는 컴퓨터 프로그램.
  2. 제 1 항에 있어서,
    상기 특징은 에지 배치 게이지 또는 임계 치수 중 적어도 하나인 컴퓨터 프로그램.
  3. 제 1 항에 있어서,
    상기 좌표들은 에지 배치 게이지들 또는 임계 치수들의 좌표들인 컴퓨터 프로그램.
  4. 제 1 항에 있어서,
    상기 측정은 상기 좌표들을 평균함으로써 생성되는 컴퓨터 프로그램.
  5. 제 1 항에 있어서,
    상기 특징은 상기 프린트된 디자인의 곡률, 깊이, 각도, 대역폭, 및 기울기 중 적어도 하나를 포함하는 컴퓨터 프로그램.
  6. 제 1 항에 있어서,
    상기 특징은 상기 이미지의 콘트라스트 및 세기 중 적어도 하나를 포함하는 컴퓨터 프로그램.
  7. 제 1 항에 있어서,
    상기 방법은 상기 이미지로부터 상기 윤곽들을 생성하는 단계를 더 포함하는 컴퓨터 프로그램.
  8. 제 7 항에 있어서,
    상기 방법은 적어도 상기 타겟 디자인과 상기 윤곽들 사이의 비교에 기초하여 상기 타겟 디자인과 상기 이미지를 정렬하는 단계를 더 포함하는 컴퓨터 프로그램.
  9. 제 7 항에 있어서,
    상기 방법은 상기 타겟 디자인에서 1 이상의 평행 라인을 가로질러 수평 또는 수직 커트라인(cutline)을 생성하는 단계를 더 포함하는 컴퓨터 프로그램.
  10. 제 9 항에 있어서,
    상기 방법은 적어도 상기 윤곽들과 상기 수평 또는 수직 커트라인의 교차점(intersection)에 기초하여 임계 치수를 결정하는 단계를 더 포함하는 컴퓨터 프로그램.
  11. 제 7 항에 있어서,
    상기 방법은 상기 타겟 디자인에서 한 지점으로부터 연장되는 기울어진 커트라인(angled cutline)을 생성하는 단계를 더 포함하는 컴퓨터 프로그램.
  12. 제 11 항에 있어서,
    상기 방법은 적어도 상기 윤곽들과 상기 기울어진 커트라인의 교차점에 기초하여 EP 게이지를 생성하는 단계를 더 포함하는 컴퓨터 프로그램.
  13. 제 1 항에 있어서,
    상기 방법은 상기 패턴들의 부분을 따라 커트라인들의 밀도를 특정하는 사용자 입력을 수신하는 단계를 더 포함하고, 상기 밀도는 상기 부분을 따라 단위 길이 당 커트라인들의 수에 대응하는 컴퓨터 프로그램.
  14. 제 1 항에 있어서,
    상기 방법은:
    상기 타겟 디자인으로부터, 상기 패턴과 동일한 다른 패턴들을 로케이팅(locate)하는 단계; 및
    상기 다른 패턴들로부터 상기 좌표들을 결정하는 단계를 더 포함하는 컴퓨터 프로그램.
  15. 제 14 항에 있어서,
    상기 로케이팅은 상기 타겟 디자인으로부터의 다각형들의 좌표들의 비교에 더 기초하는 컴퓨터 프로그램.
KR1020217000779A 2018-07-12 2019-06-21 자동으로 sem 윤곽 측정 정확성 및 안정성을 개선하기 위한 패턴 인식 활용 KR102609413B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862697169P 2018-07-12 2018-07-12
US62/697,169 2018-07-12
PCT/EP2019/066520 WO2020011513A1 (en) 2018-07-12 2019-06-21 Utilize pattern recognition to improve sem contour measurement accuracy and stability automatically

Publications (2)

Publication Number Publication Date
KR20210020086A KR20210020086A (ko) 2021-02-23
KR102609413B1 true KR102609413B1 (ko) 2023-12-04

Family

ID=67137913

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217000779A KR102609413B1 (ko) 2018-07-12 2019-06-21 자동으로 sem 윤곽 측정 정확성 및 안정성을 개선하기 위한 패턴 인식 활용

Country Status (5)

Country Link
US (1) US11422473B2 (ko)
KR (1) KR102609413B1 (ko)
CN (1) CN112424694B (ko)
TW (1) TWI714165B (ko)
WO (1) WO2020011513A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102692897B1 (ko) * 2019-02-25 2024-08-08 에이에스엠엘 네델란즈 비.브이. 프린트된 패턴들의 확률적 변동을 결정하는 방법
US11669019B2 (en) 2019-05-21 2023-06-06 Asml Netherlands B.V. Method for determining stochastic variation associated with desired pattern
CN114174879A (zh) * 2019-07-25 2022-03-11 特里纳米克斯股份有限公司 光模块及其操作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150146966A1 (en) * 2013-11-25 2015-05-28 GlobalFoundries, Inc. Methods and media for averaging contours of wafer feature edges

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP1920369A2 (en) 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
KR100914297B1 (ko) * 2007-12-28 2009-08-27 주식회사 하이닉스반도체 웨이퍼 패턴 계측 데이터를 이용한 광근접효과보정 방법
KR20090071737A (ko) * 2007-12-28 2009-07-02 주식회사 하이닉스반도체 웨이퍼 패턴 계측 데이터를 이용한 패턴 레이아웃 보정방법
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
KR20100135093A (ko) * 2009-06-16 2010-12-24 주식회사 하이닉스반도체 반도체 소자의 패턴 에러 검출 방법
US8607168B2 (en) * 2010-02-16 2013-12-10 Mentor Graphics Corporation Contour alignment for model calibration
US8429570B2 (en) * 2010-10-28 2013-04-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8938695B1 (en) * 2014-01-09 2015-01-20 Dmo Systems Limited Signature analytics for improving lithographic process of manufacturing semiconductor devices
CN106104384B (zh) 2014-03-18 2019-07-05 Asml荷兰有限公司 图案位置误差感知优化
US10754256B2 (en) 2015-10-08 2020-08-25 Asml Netherlands B.V. Method and apparatus for pattern correction and verification
WO2017162471A1 (en) 2016-03-24 2017-09-28 Asml Netherlands B.V. Optimization of a lithographic projection apparatus accounting for an interlayer characteristic

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150146966A1 (en) * 2013-11-25 2015-05-28 GlobalFoundries, Inc. Methods and media for averaging contours of wafer feature edges

Also Published As

Publication number Publication date
US11422473B2 (en) 2022-08-23
US20210263426A1 (en) 2021-08-26
WO2020011513A1 (en) 2020-01-16
CN112424694A (zh) 2021-02-26
TW202006317A (zh) 2020-02-01
KR20210020086A (ko) 2021-02-23
CN112424694B (zh) 2023-10-20
TWI714165B (zh) 2020-12-21

Similar Documents

Publication Publication Date Title
KR102585069B1 (ko) 패터닝 공정을 위한 공정 모델을 개선하는 방법
TW201539226A (zh) 用於微影程序之最佳化流程
KR20240005965A (ko) 원시 이미지들로부터 고품질 평균 sem 이미지들의 자동 선택 시 기계 학습 활용
US11435671B2 (en) SEM FOV fingerprint in stochastic EPE and placement measurements in large FOV SEM devices
WO2021004725A1 (en) Prediction data selection for model calibration to reduce model prediction uncertainty
TWI753681B (zh) 用於改良光罩圖案產生中之一致性之方法
KR102609413B1 (ko) 자동으로 sem 윤곽 측정 정확성 및 안정성을 개선하기 위한 패턴 인식 활용
WO2021175570A1 (en) Machine learning based subresolution assist feature placement
KR20180011263A (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
TWI778722B (zh) 用於選擇資訊模式以訓練機器學習模型之設備及方法
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
TWI687781B (zh) 用於減少光阻模型預測錯誤之系統及方法
WO2022268434A1 (en) Etch simulation model including a correlation between etch biases and curvatures of contours
KR20190117709A (ko) 패터닝 디바이스 상의 유한한 두께들의 구조체들에 의한 방사선의 산란을 결정하는 방법들
KR102314622B1 (ko) 공정 모델들을 조정하는 방법들
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統
KR20240105424A (ko) 시뮬레이션 모델 안정성 결정 방법
KR20240063109A (ko) 선택된 패턴 세트를 평가하는 방법
KR20240044432A (ko) 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭
KR20240101686A (ko) 에칭 편향 방향에 기초한 에칭 효과 결정
WO2023222368A1 (en) Diffraction-based pupil determination for optimization of lithographic processes
WO2024013038A1 (en) Stochastic-aware source mask optimization based on edge placement probability distribution
KR20240129206A (ko) LFP(local focus point) 결정을 위한 소프트웨어, 방법, 및 시스템
WO2023180020A1 (en) Lithographic pattern representation with curvilinear elements
KR20190100350A (ko) 제조 공정 시 공정 모델들의 안내 및 검사 방법들

Legal Events

Date Code Title Description
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant