KR20230175346A - 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정 - Google Patents

프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정 Download PDF

Info

Publication number
KR20230175346A
KR20230175346A KR1020237043812A KR20237043812A KR20230175346A KR 20230175346 A KR20230175346 A KR 20230175346A KR 1020237043812 A KR1020237043812 A KR 1020237043812A KR 20237043812 A KR20237043812 A KR 20237043812A KR 20230175346 A KR20230175346 A KR 20230175346A
Authority
KR
South Korea
Prior art keywords
simulated
patterns
pattern
ranking
substrate
Prior art date
Application number
KR1020237043812A
Other languages
English (en)
Inventor
요우핑 장
맥심 필립페 프레데릭 제닌
콩 우
징 수
웨이수안 후
이 조우
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20230175346A publication Critical patent/KR20230175346A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70675Latent image, i.e. measuring the image of the exposed resist prior to development
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning

Abstract

본 명세서에서는, 공정 모델을 트레이닝하는 방법 및 (예를 들어, 핫스폿들에 대응하는) 시뮬레이션된 패턴들의 랭킹을 결정하는 방법이 설명된다. 패터닝 공정의 기계 학습 모델을 트레이닝하는 방법이 (ⅰ) 기판 상에 프린트될 마스크 패턴과 연계된 시뮬레이션된 패턴, (ⅱ) 마스크 패턴을 사용하여 기판 상에 이미징되는 프린트된 패턴의 검사 데이터, 및 (ⅲ) 기판 상의 마스크 패턴의 이미징 동안 적용되는 패터닝 공정의 파라미터의 측정된 값들을 포함하는 트레이닝 데이터 세트를 얻는 단계; 프린트된 패턴 및 시뮬레이션된 패턴의 특성의 차이를 예측하기 위해 트레이닝 데이터 세트에 기초하여 기계 학습 모델을 트레이닝하는 단계를 수반한다. 트레이닝된 기계 학습 모델은 핫스폿들의 랭킹을 결정하기 위해 더 사용된다. 또 다른 방법에서, 핫스폿들의 랭킹을 예측하기 위해 측정 데이터에 기초하여 모델이 트레이닝된다.

Description

프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정 {DETERMINING PATTERN RANKING BASED ON MEASUREMENT FEEDBACK FROM PRINTED SUBSTRATE}
본 출원은 2018년 12월 28일에 출원된 US 출원 62/785,977의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 개시내용은 디바이스 제조 공정의 성능을 개선하는 기술들에 관한 것이다. 기술들은 리소그래피 장치와 관련하여 사용될 수 있다.
리소그래피 장치는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 그 상황에서, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층에 대응하는 회로 패턴을 생성하기 위해 사용될 수 있으며, 이 패턴은 방사선-감응재(레지스트) 층을 갖는 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 다이의 부분, 한 개 또는 수 개의 다이를 포함하는) 타겟부 상으로 이미징(image)될 수 있다. 일반적으로, 단일 기판은 연속하여 노광되는 인접한 타겟부들의 네트워크를 포함할 것이다. 알려진 리소그래피 장치는, 한 번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 소위 스테퍼, 및 빔을 통해 주어진 방향("스캐닝"-방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행하게 또는 역-평행하게(anti parallel) 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는 소위 스캐너를 포함한다.
패터닝 디바이스로부터 기판으로 회로 패턴을 전사(transfer)하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 절차들을 거칠 수 있다. 이러한 일련의 절차들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 핀에 연결되는 캐리어 등에 장착될 수 있다.
따라서, 반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처들 및 다수 층들을 형성하기 위해 다수의 제작 공정들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 이용하여 제조되고 처리된다. 다수 디바이스들은 기판의 복수의 다이들 상에 제작된 후, 개별적인 디바이스들로 분리될 수 있다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 기판에 패터닝 디바이스 상의 패턴을 전사하기 위해 리소그래피 장치에서 패터닝 디바이스를 이용하는 광학 및/또는 나노임프린트(nanoimprint) 리소그래피와 같은 패터닝 단계를 수반하며, 통상적이지만 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치를 이용하는 패턴을 이용한 에칭 등과 같은 1 이상의 관련 패턴 처리 단계를 수반한다.
일 실시예에서, 패터닝 공정의 기계 학습 모델을 트레이닝(train)하는 방법이 제공된다. 상기 방법은: (ⅰ) 기판 상에 프린트될 마스크 패턴과 연계된 시뮬레이션된 패턴, (ⅱ) 마스크 패턴을 사용하여 기판 상에 이미징되는 프린트된 패턴의 검사 데이터, 및 (ⅲ) 기판 상의 마스크 패턴의 이미징 동안 적용되는 패터닝 공정의 파라미터의 측정된 값들을 포함하는 트레이닝 데이터 세트를 얻는 단계; 프로세서를 통해, 프린트된 패턴 및 시뮬레이션된 패턴의 특성의 차이를 예측하기 위해 트레이닝 데이터 세트에 기초하여 기계 학습 모델을 트레이닝하는 단계를 포함한다.
또한, 일 실시예에서, 패터닝 공정의 시뮬레이션된 패턴의 특성을 보정하는 방법이 제공된다. 상기 방법은 패터닝 공정 모델의 시뮬레이션을 통해, 시뮬레이션된 패턴 및 시뮬레이션된 패턴의 특성을 결정하는 단계; 트레이닝된 기계 학습 모델을 통해, 시뮬레이션된 패턴의 특성에 대한 특성 조정 값을 결정하는 단계; 및 프로세서를 통해, 특성 조정 값에 기초하여 시뮬레이션된 패턴의 특성을 보정하는 단계를 수반한다.
또한, 일 실시예에서, 패터닝 공정의 시뮬레이션된 패턴들의 세트의 랭킹을 예측하도록 구성되는 기계 학습 모델을 트레이닝하는 방법이 제공된다. 상기 방법은 (ⅰ) 마스크 패턴들의 세트에 대응하는 시뮬레이션된 패턴들의 세트, (ⅱ) 마스크 패턴들의 세트를 사용하여 기판 상에 이미징되는 프린트된 패턴들의 세트의 결함 데이터, 및 (ⅲ) 마스크 패턴들의 세트를 사용하여 이미징되는 기판과 연계된 샘플링 계획(sampling plan)을 얻는 단계; 및 프로세서를 통해, 결함 데이터 및 샘플링 계획에 기초하여 기계 학습 모델을 트레이닝하고, 기계 학습 모델은 결함 데이터에 기초한 기준 랭킹(reference ranking)과 매칭하는 시뮬레이션된 패턴들의 세트의 랭킹을 예측하도록 구성되는 단계를 수반한다.
또한, 일 실시예에서, 패터닝 공정의 시뮬레이션된 패턴들의 세트의 랭킹을 결정하는 방법이 제공된다. 상기 방법은 제 1 기계 학습 모델을 통해, 시뮬레이션된 패턴들의 세트 내의 적어도 하나의 시뮬레이션된 패턴의 특성을 조정하는 단계; 프로세서를 통해, 적어도 하나의 시뮬레이션된 패턴의 조정된 특성에 기초하여 시뮬레이션된 패턴들의 서브세트를 결정하는 단계; 및 제 2 기계 학습 모델을 통해, 시뮬레이션된 패턴들의 서브세트 내의 적어도 하나의 시뮬레이션된 패턴의 랭킹을 결정하는 단계를 수반한다.
또한, 일 실시예에서, 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품이 제공되고, 명령어들은 컴퓨터 시스템에 의해 실행될 때 앞서 언급된 방법들을 구현한다.
도 1은 일 실시예에 따른 리소그래피 장치를 개략적으로 도시하는 도면;
도 2는 일 실시예에 따른 리소그래피 셀 또는 클러스터의 일 실시예를 개략적으로 도시하는 도면;
도 3은 일 실시예에 따른, 리소그래피 공정에서의 결함들의 존재를 결정하는 방법에 대한 흐름도;
도 4a는 일 실시예에 따른, 이상적인 또는 완벽한 패터닝 공정 모델을 가정한 핫스폿(hot spot)들의 예시적인 랭킹을 나타내는 도면;
도 4b는 일 실시예에 따른, 기존의 패터닝 공정 모델로부터 유도되는 시뮬레이션된 패턴들에 기초한 핫스폿들의 예시적인 랭킹을 나타내는 도면;
도 5는 일 실시예에 따른, FEM 조건에 대한 측정된 CD와 비교하여 포커스의 함수로서 시뮬레이션된 패턴의 시뮬레이션된 CD의 값들을 예시하는 도면;
도 6은 일 실시예에 따른, 패터닝 공정의 기계 학습 모델을 트레이닝하는 방법의 흐름도;
도 7은 일 실시예에 따른, 도 6에 따라 트레이닝된 기계 학습 모델에 기초하여 패터닝 공정의 시뮬레이션된 패턴의 특성을 보정하는 방법의 흐름도;
도 8a, 도 8b 및 도 8c는 일 실시예에 따른, 패터닝 공정 모델의 시뮬레이션 동안 생성되는 예시적인 시뮬레이션된 패턴들을 나타내는 도면;
도 9는 일 실시예에 따른, 도 6의 기계 학습 모델로서 사용되는 예시적인 컨볼루션 뉴럴 네트워크(convolutional neural network: CNN)를 나타내는 도면;
도 10a는 일 실시예에 따른, 기존의 패터닝 공정 모델로부터 유도되는 시뮬레이션된 패턴들에 기초한 핫스폿들의 예시적인 랭킹을 나타내는 도면;
도 10b는 일 실시예에 따른, 도 6의 트레이닝된 기계 학습 모델을 사용하여 보정된 시뮬레이션된 패턴들에 기초한 핫스폿들의 예시적인 랭킹을 나타내는 도면;
도 11은 일 실시예에 따른, 패터닝 공정의 시뮬레이션된 패턴들의 세트의 랭킹을 예측하도록 구성되는 또 다른 기계 학습 모델을 트레이닝하는 방법의 흐름도;
도 12는 일 실시예에 따른, 도 6의 제 1 트레이닝된 기계 학습 모델 및 도 11의 제 2 트레이닝된 기계 학습 모델에 기초하여 패터닝 공정의 시뮬레이션된 패턴들의 세트의 랭킹을 결정하는 방법의 흐름도;
도 13은 일 실시예에 따른, 도 11의 기계 학습 모델 및 연계된 결함 수(defect count)에 기초하여 얻어진 예시적인 랭킹을 나타내는 도면;
도 14a 및 도 14b는 일 실시예에 따른, 핫스폿들의 랭킹을 결정하는 랭킹 알고리즘의 일 예시를 나타내는 도면;
도 15는 일 실시예에 따른 예시적인 검사 장치 및 메트롤로지 기술을 개략적으로 도시하는 도면;
도 16은 일 실시예에 따른 예시적인 검사 장치를 개략적으로 도시하는 도면;
도 17은 일 실시예에 따른 검사 장치의 조명 스폿과 메트롤로지 타겟 사이의 관계를 예시하는 도면;
도 18은 일 실시예에 따른, 측정 데이터에 기초하여 복수의 관심 변수들을 도출하는 프로세스를 개략적으로 도시하는 도면;
도 19는 일 실시예에 따른 스캐닝 전자 현미경(SEM)의 일 실시예를 개략적으로 도시하는 도면;
도 20은 일 실시예에 따른 전자 빔 검사 장치의 일 실시예를 개략적으로 도시하는 도면;
도 21은 일 실시예에 따른 프린트된 기판 상의 예시적인 결함들을 나타내는 도면;
도 22는 일 실시예에 따른, 패터닝 공정의 적어도 일부를 모델링 및/또는 시뮬레이션하는 예시적인 흐름도;
도 23은 일 실시예에 따른 예시적인 컴퓨터 시스템의 블록 다이어그램;
도 24는 일 실시예에 따른 극자외(EUV) 리소그래피 투영 장치의 개략적인 다이어그램;
도 25는 일 실시예에 따른 도 24의 장치의 더 상세한 도면; 및
도 26은 일 실시예에 따른 도 24 및 도 25의 장치의 소스 컬렉터 모듈의 더 상세한 도면이다.
실시예들을 상세히 설명하기에 앞서, 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)의 일 실시예를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT)(예를 들어, WTa, WTb 또는 둘 모두); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하고, 흔히 필드라고 칭하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS) -투영 시스템은 기준 프레임(reference frame: RF)에 지지됨- 을 포함한다.
본 명세서에 도시된 바와 같이, 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다.
일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 소스는 상기 장치의 통합부일 수 있다. 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께, 방사선 시스템이라고 칭해질 수 있다.
일루미네이터(IL)는 빔의 세기 분포를 변경할 수 있다. 일루미네이터는 일루미네이터(IL)의 퓨필 평면의 환형 구역 내에서 세기 분포가 0이 아니도록(non-zero) 방사선 빔의 반경 크기를 제한하도록 배치될 수 있다. 추가적으로 또는 대안적으로, 일루미네이터(IL)는 퓨필 평면 내의 복수의 균등하게 이격된 섹터(equally spaced sector)들에서 세기 분포가 0이 아니도록 퓨필 평면 내의 빔의 분포를 제한하도록 작동가능할 수 있다. 일루미네이터(IL)의 퓨필 평면 내의 방사선 빔의 세기 분포는 조명 모드라고 칭해질 수 있다.
따라서, 일루미네이터(IL)는 빔의 (각도/공간) 세기 분포를 조정하도록 구성되는 조정기(AM)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 일루미네이터(IL)는 빔의 각도 분포를 변화시키도록 작동가능할 수 있다. 예를 들어, 일루미네이터는 세기 분포가 0이 아닌 퓨필 평면 내의 섹터들의 수, 및 각도 크기,를 변경하도록 작동가능할 수 있다. 일루미네이터의 퓨필 평면 내의 빔의 세기 분포를 조정함으로써, 상이한 조명 모드들이 달성될 수 있다. 예를 들어, 일루미네이터(IL)의 퓨필 평면 내의 세기 분포의 반경 및 각도 크기를 제한함으로써, 세기 분포는 예를 들어 다이폴(dipole), 쿼드러폴(quadrupole) 또는 헥사폴(hexapole) 분포와 같은 멀티폴(multi-pole) 분포를 가질 수 있다. 예를 들어, 일루미네이터(IL)로 그 조명 모드를 제공하는 광학기를 삽입함으로써, 또는 공간 광 변조기를 이용함으로써, 원하는 조명 모드가 얻어질 수 있다.
일루미네이터(IL)는 빔의 편광(polarization)을 변경하도록 작동가능할 수 있고, 조정기(AM)를 이용하여 편광을 조정하도록 작동가능할 수 있다. 일루미네이터(IL)의 퓨필 평면에 걸친 방사선 빔의 편광 상태는 편광 모드라고 칭해질 수 있다. 상이한 편광 모드들의 사용은 더 큰 콘트라스트(contrast)로 하여금 기판(W) 상에 형성된 이미지에 달성되게 할 수 있다. 방사선 빔은 편광되지 않을 수 있다. 대안적으로, 일루미네이터는 방사선 빔을 선형 편광시키도록 배치될 수 있다. 방사선 빔의 편광 방향은 일루미네이터(IL)의 퓨필 평면에 걸쳐 변화할 수 있다. 방사선의 편광 방향은 일루미네이터(IL)의 퓨필 평면 내의 상이한 구역들에서 상이할 수 있다. 방사선의 편광 상태는 조명 모드에 의존하여 선택될 수 있다. 멀티폴 조명 모드들에 대해, 방사선 빔의 각각의 폴의 편광은 일루미네이터(IL)의 퓨필 평면 내의 그 폴의 위치 벡터에 일반적으로 수직일 수 있다. 예를 들어, 다이폴 조명 모드에 대해, 방사선은 다이폴의 2 개의 마주하는 섹터들을 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 방사선 빔은 2 개의 상이한 직교 방향들 중 하나로 편광될 수 있고, 이는 X-편광 및 Y-편광 상태들이라고 칭해질 수 있다. 쿼드러폴 조명 모드에 대해, 각각의 폴의 섹터에서의 방사선은 그 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이 편광 모드는 XY 편광이라고 칭해질 수 있다. 이와 유사하게, 헥사폴 조명 모드에 대해, 각각의 폴의 섹터에서의 방사선은 그 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이 편광 모드는 TE 편광이라고 칭해질 수 있다.
또한, 일루미네이터(IL)는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같이, 다양한 다른 구성요소들을 포함한다. 조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
따라서, 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는 컨디셔닝된 방사선 빔(B)을 제공한다.
지지 구조체(MT)는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 지지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 일 실시예에서, 패터닝 디바이스는 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스이다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴에 정확히 대응하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이 및 프로그램가능한 LCD 패널을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입들, 및 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향들로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 포괄하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
투영 시스템(PS)은 비-균일할 수 있는 광학 전달 함수를 갖고, 이는 기판(W) 상에 이미징되는 패턴에 영향을 줄 수 있다. 편광되지 않은 방사선에 대해, 이러한 효과들이 2 개의 스칼라 맵(scalar map)들에 의해 상당히 잘 설명될 수 있으며, 이는 그 퓨필 평면 내의 위치의 함수로서 투영 시스템(PS)을 나가는 방사선의 투과[아포다이제이션(apodization)] 및 상대 위상(수차)을 설명한다. 투과 맵 및 상대 위상 맵이라 할 수 있는 이 스칼라 맵들은 기저 함수들의 전체 세트(complete set)의 선형 조합으로서 표현될 수 있다. 특히 편리한 세트는 제르니케 다항식이며, 이는 단위 원(unit circle) 상에 정의되는 직교 다항식들의 세트를 형성한다. 각각의 스칼라 맵의 결정이 이러한 전개식(expansion)에서 계수들을 결정하는 단계를 수반할 수 있다. 제르니케 다항식들이 단위 원 상에서 직교이기 때문에, 제르니케 계수들은 차례로 각각의 제르니케 다항식과 측정된 스칼라 맵의 내적(inner product)을 계산하고 이를 그 제르니케 다항식의 놈(norm)의 제곱으로 나눔으로써 결정될 수 있다.
투과 맵 및 상대 위상 맵은 필드 및 시스템 의존적이다. 즉, 일반적으로 각각의 투영 시스템(PS)이 각각의 필드 지점(즉, 그 이미지 평면 내의 각각의 공간 위치)에 대해 상이한 제르니케 전개식을 가질 것이다. 그 퓨필 평면 내의 투영 시스템(PS)의 상대 위상은, 예를 들어 투영 시스템(PS)의 대상물 평면(object plane)[즉, 패터닝 디바이스(MA)의 평면]에서의 점-형 소스(point-like source)로부터 투영 시스템(PS)을 통해 방사선을 투영하고 시어링 간섭계(shearing interferometer)를 이용하여 파면(즉, 동일한 위상을 갖는 지점들의 자취)을 측정함으로써 결정될 수 있다. 시어링 간섭계는 공통 광로 간섭계(common path interferometer)이며, 이에 따라 유리하게는 파면을 측정하기 위해 이차 기준 빔이 필요하지 않다. 시어링 간섭계는 투영 시스템의 이미지 평면[즉, 기판 테이블(WT)] 내의 회절 격자, 예를 들어 2 차원 그리드, 및 투영 시스템(PS)의 퓨필 평면에 켤레인 평면에서 간섭 패턴을 검출하도록 배치되는 검출기를 포함할 수 있다. 간섭 패턴은 시어링 방향으로의 퓨필 평면의 좌표에 대한 방사선의 위상의 미분계수(derivative)와 관련된다. 검출기는, 예를 들어 전하 결합 소자(charge coupled device: CCD)와 같은 감지 요소들의 어레이를 포함할 수 있다.
리소그래피 장치의 투영 시스템(PS)은 가시적 프린지(visible fringe)들을 생성하지 않을 수 있고, 이에 따라 파면의 결정의 정확성은 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑 기술(phase stepping technique)들을 이용하여 향상될 수 있다. 스테핑은 측정의 스캐닝 방향에 수직인 방향으로, 및 회절 격자의 평면에서 수행될 수 있다. 스테핑 범위는 1의 격자 주기일 수 있고, 적어도 3 개의 (균일하게 분포된) 위상 스텝들이 사용될 수 있다. 따라서, 예를 들어 3 개의 스캐닝 측정들이 y-방향으로 수행될 수 있고, 각각의 스캐닝 측정은 x-방향에서 상이한 위치에 대해 수행된다. 회절 격자의 이 스테핑은 위상 변동들을 세기 변동들로 효과적으로 변환하여, 위상 정보가 결정되게 한다. 격자는 회절 격자에 수직인 방향(z 방향)에서 스테핑되어 검출기를 캘리브레이션할 수 있다.
회절 격자는, 투영 시스템(PS)의 좌표계의 축선들(x 및 y)과 일치할 수 있거나 이 축선들에 대해 45 도와 같은 각도를 가질 수 있는 2 개의 수직 방향들에서 순차적으로 스캐닝될 수 있다. 스캐닝은 정수의 격자 주기들, 예를 들어 1의 격자 주기에 걸쳐 수행될 수 있다. 스캐닝은 한 방향으로의 위상 변동을 평균하여, 다른 방향으로의 위상 변동이 재구성되게 한다. 이는 파면으로 하여금 두 방향들의 함수로서 결정되게 한다.
그 퓨필 평면 내의 투영 시스템(PS)의 투과(아포다이제이션)는, 예를 들어 투영 시스템(PS)의 대상물 평면[즉, 패터닝 디바이스(MA)의 평면]에서의 점-형 소스로부터 투영 시스템(PS)을 통해 방사선을 투영하고, 검출기를 이용하여 투영 시스템(PS)의 퓨필 평면에 켤레인 평면에서 방사선의 세기를 측정함으로써 결정될 수 있다. 수차들을 결정하기 위해 파면을 측정하는 데 사용되는 것과 동일한 검출기가 사용될 수 있다.
투영 시스템(PS)은 복수의 광학(예를 들어, 렌즈) 요소들을 포함할 수 있고, 수차들(필드 도처에서의 퓨필 평면에 걸친 위상 변동들)을 보정하기 위해 광학 요소들 중 1 이상을 조정하도록 구성되는 조정 메카니즘(AM)을 더 포함할 수 있다. 이를 달성하기 위해, 조정 메카니즘은 1 이상의 상이한 방식으로 투영 시스템(PS) 내의 1 이상의 광학(예를 들어, 렌즈) 요소를 조작하도록 작동가능할 수 있다. 투영 시스템은 그 광학 축선이 z 방향으로 연장되는 좌표계를 가질 수 있다. 조정 메카니즘은 다음: 즉, 1 이상의 광학 요소를 변위시키는 것; 1 이상의 광학 요소를 기울이는 것; 및/또는 1 이상의 광학 요소를 변형시키는 것의 여하한의 조합을 행하도록 작동가능할 수 있다. 광학 요소의 변위는 여하한의 방향(x, y, z 또는 이들의 조합)으로 이루어질 수 있다. 광학 요소의 기울임은 통상적으로 x 및/또는 y 방향들의 축선을 중심으로 회전함으로써 광학 축선에 수직인 평면을 벗어나지만, z 축선을 중심으로 한 회전이 비-회전 대칭인 비구면 광학 요소에 대해 사용될 수 있다. 광학 요소의 변형은 저주파수 형상(low frequency shape)[예를 들어, 비점수차(astigmatic)] 및/또는 고주파수 형상(high frequency shape)[예를 들어, 프리폼 비구면(free form aspheres)]을 포함할 수 있다. 광학 요소의 변형은, 예를 들어 광학 요소의 1 이상의 측면에 힘을 가하도록 1 이상의 액추에이터를 이용함으로써, 및/또는 광학 요소의 1 이상의 선택된 구역을 가열하도록 1 이상의 가열 요소를 이용함으로써 수행될 수 있다. 일반적으로, 아포다이제이션(퓨필 평면에 걸친 투과 변동)을 보정하기 위해 투영 시스템(PS)을 조정하는 것은 가능하지 않을 수 있다. 투영 시스템(PS)의 투과 맵은 리소그래피 장치(LA)에 대한 패터닝 디바이스(예를 들어, 마스크)(MA)를 디자인하는 경우에 사용될 수 있다. 전산적 리소그래피 기술(computational lithography technique)을 이용하여, 패터닝 디바이스(MA)가 아포다이제이션을 적어도 부분적으로 보정하도록 디자인될 수 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 테이블들[예를 들어, 2 이상의 기판 테이블들(WTa, WTb), 2 이상의 패터닝 디바이스 테이블들, 기판 테이블(WTa)과 예를 들어 측정 및/또는 세정 등을 용이하게 하도록 지정되는 기판이 없는 투영 시스템 아래의 테이블(WTb)]을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가적인 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다. 예를 들어, 정렬 센서(AS)를 이용한 정렬 측정들 및/또는 레벨 센서(LS)를 이용한 레벨(높이, 기울기 등) 측정들이 수행될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 패터닝 디바이스와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 바와 같은 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 잠겨야 함을 의미하는 것이라기보다는, 단지 액체가 노광 시 투영 시스템과 기판 사이에 놓인다는 것을 의미한다.
따라서, 리소그래피 장치의 작동 시, 방사선 빔은 조명 시스템(IL)에 의해 컨디셔닝되고 제공된다. 방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 지지 구조체(MT)는 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(MA) 상에 1보다 많은 다이가 제공되는 상황들에서, 패터닝 디바이스 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 지지 구조체(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
본 명세서에서는 IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 또는 메트롤로지 또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한 번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함하는 기판을 칭할 수도 있다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
패터닝 디바이스 상의, 또는 패터닝 디바이스에 의해 제공되는 다양한 패턴들은 상이한 공정 윈도우들, 즉 패턴이 사양 내에서 생성될 처리 변수들의 공간을 가질 수 있다. 잠재적인 시스템적 결함들과 관련되는 패턴 사양들의 예시들은 네킹(necking), 라인 풀백(line pull back), 라인 시닝(line thinning), CD, 에지 배치, 오버래핑, 레지스트 최상부 손실(resist top loss), 레지스트 언더컷(resist undercut) 및/또는 브리징(bridging)에 대한 체크를 포함한다. 이는 완전하지 않은 결함 목록이며, 추가적인 결함 타입들 및 대응하는 결함 검출기들이 정의될 수 있다. 패터닝 디바이스 또는 그 영역 상의 모든 패턴들의 공정 윈도우는 각각의 개별적인 패턴의 공정 윈도우들을 병합(예를 들어, 오버랩)함으로써 얻어질 수 있다. 모든 패턴들의 공정 윈도우의 경계는 개별적인 패턴들 중 일부의 공정 윈도우들의 경계들을 포함한다. 다시 말하면, 이 개별적인 패턴들이 모든 패턴들의 공정 윈도우를 제한한다. 이 패턴들은 "핫스폿(hot spot)들" 또는 "공정 윈도우 제한 패턴(process window limiting pattern: PWLP)들"이라고 칭해질 수 있으며, 이들은 본 명세서에서 교환가능하게 사용된다. 패터닝 공정의 일부를 제어하는 경우, 핫스폿들에 초점을 맞추는 것이 가능하고 경제적이다. 핫스폿들에 결함이 없는 경우, 모든 패턴들에 결함이 없을 가능성이 크다.
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성할 수 있고, 이는 기판 상에 노광전(pre-exposure) 및 노광후(post-exposure) 공정들을 수행하는 장치들을 포함한다. 통상적으로, 이들은 1 이상의 레지스트 층을 증착시키는 1 이상의 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 1 이상의 디벨로퍼(developer: DE), 1 이상의 칠 플레이트(chill plate: CH) 및/또는 1 이상의 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 1 이상의 기판을 집어올리고, 이들을 상이한 공정 장치들 사이에서 이동시키며, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이러한 장치들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치들이 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 올바르고 일관성있게(consistently) 노광되기 위해서는, 및/또는 적어도 하나의 패턴 전사 단계(예를 들어, 광학 리소그래피 단계)를 포함하는 패터닝 공정(예를 들어, 디바이스 제조 공정)의 일부를 모니터링하기 위해서는, 정렬, (예를 들어, 이중 패터닝 공정에 의해 층에 별도로 제공된, 동일한 층 내의 구조체들 또는 겹쳐진 층들에서의 구조체들 사이에 있을 수 있는) 오버레이, 라인 두께, 임계 치수(CD), 포커스 오프셋, 재료 속성 등과 같은 1 이상의 속성을 측정하거나 결정하도록 기판 또는 다른 대상물을 검사하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치되는 제조 시설은 통상적으로 리소셀에서 처리된 기판(W)들 또는 리소셀 내의 다른 대상물들 중 일부 또는 전부를 측정하는 메트롤로지 시스템(MET)도 포함한다. 메트롤로지 시스템(MET)은 리소셀(LC)의 일부분일 수 있고, 예를 들어 이는 [정렬 센서(AS)와 같이] 리소그래피 장치(LA)의 일부분일 수 있다.
1 이상의 측정된 파라미터는, 예를 들어 패터닝된 기판 내에 또는 기판 상에 형성된 연속 층들 간의 오버레이, 예를 들어 패터닝된 기판 내에 또는 기판 상에 형성된 피처들의 임계 치수(CD)(예를 들어, 임계 선폭), 광학 리소그래피 단계의 포커스 또는 포커스 오차, 광학 리소그래피 단계의 도즈 또는 도즈 오차, 광학 리소그래피 단계의 광학 수차들 등을 포함할 수 있다. 이 측정은 제품 기판(product substrate) 자체의 타겟 및/또는 기판 상에 제공되는 지정된 메트롤로지 타겟에서 수행될 수 있다. 측정은 레지스트의 현상 후 에칭 전에 수행될 수 있거나, 에칭 후에 수행될 수 있다.
패터닝 공정 시 형성된 구조체들의 측정을 수행하기 위해, 스캐닝 전자 현미경, 이미지-기반 측정 툴 및/또는 다양한 특수 툴들의 사용을 포함하는 다양한 기술들이 존재한다. 앞서 설명된 바와 같이, 고속 및 비-침습(non-invasive) 형태의 특수 메트롤로지 툴이 있으며, 여기서 기판 표면의 타겟 상으로 방사선 빔이 지향되고 산란된(회절된/반사된) 빔의 속성들이 측정된다. 기판에 의해 산란된 방사선의 1 이상의 속성을 평가함으로써, 기판의 1 이상의 속성이 결정될 수 있다. 이는 회절-기반 메트롤로지라고 칭해질 수 있다. 이 회절-기반 메트롤로지의 이러한 일 적용예는 타겟 내에서의 피처 비대칭의 측정이다. 이는 예를 들어 오버레이의 척도로서 사용될 수 있으며, 다른 적용들도 알려져 있다. 예를 들어, 비대칭은 회절 스펙트럼의 반대 부분들을 비교함으로써(예를 들어, 주기적 격자의 회절 스펙트럼에서 -1차 및 +1차를 비교함으로써) 측정될 수 있다. 이는 앞서 설명된 바와 같이, 및 예를 들어 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2006-066855에 설명된 바와 같이 행해질 수 있다. 회절-기반 메트롤로지의 또 다른 적용예는 타겟 내의 피처 폭(CD)의 측정이다. 이러한 기술들은 이후 설명되는 장치 및 방법들을 사용할 수 있다.
따라서, 디바이스 제작 공정(예를 들어, 패터닝 공정 또는 리소그래피 공정)에서, 기판 또는 다른 대상물들이 공정 동안 또는 공정 후에 다양한 타입들의 측정을 거칠 수 있다. 측정은 특정 기판이 결함이 있는지를 결정할 수 있거나, 공정에서 사용되는 장치들 및 공정에 대한 조정들을 확립할 수 있거나(예를 들어, 기판 상의 두 층들을 정렬시키거나 기판에 패터닝 디바이스를 정렬시킴), 공정 및 장치들의 성능을 측정할 수 있거나, 또는 다른 목적들을 위한 것일 수 있다. 측정의 예시들은 광학 이미징(예를 들어, 광학 현미경), 비-이미징 광학 측정(예를 들어, ASML YieldStar 메트롤로지 툴, ASML SMASH 메트롤로지 시스템과 같은 회절에 기초한 측정), 기계적 측정[예를 들어, 스타일러스를 사용한 프로파일링, 원자력 현미경(AFM)], 및/또는 비-광학 이미징[예를 들어, 스캐닝 전자 현미경(SEM)]을 포함한다. SMASH(SMart Alignment Sensor Hybrid) 시스템은, 본 명세서에서 그 전문이 인용참조되는 미국 특허 제 6,961,116호에 개시된 바와 같이, 정렬 마커의 2 개의 오버랩되고 상대적으로 회전된 이미지들을 생성하고, 이미지의 푸리에 변환이 간섭하게 되는 퓨필 평면 내의 세기들을 검출하며, 간섭된 차수들에서 세기 변동들로서 나타나는 2 개의 이미지들의 회절 차수들 사이의 위상차로부터 위치 정보를 추출하는 자기-참조 간섭계(self-referencing interferometer)를 사용한다.
메트롤로지 결과들은 감독 제어 시스템(SCS)에 간접적으로 또는 직접적으로 제공될 수 있다. 오차가 검출되는 경우, [특히 검사가 뱃치(batch)의 1 이상의 다른 기판이 여전히 노광되도록 충분히 빠르게 행해질 수 있다면] 후속한 기판의 노광에 대해, 및/또는 노광된 기판의 후속한 노광에 대해 조정이 수행될 수 있다. 또한, 이미 노광된 기판은 수율을 개선하도록 벗겨져서(strip) 재작업(rework)되거나, 버려져서 결점이 있다고 알려진 기판에 또 다른 처리를 수행하는 것을 회피할 수 있다. 기판의 몇몇 타겟부들에만 결점이 있는 경우, 양호한 타겟부들 상에만 또 다른 노광들이 수행될 수 있다.
메트롤로지 시스템(MET) 내에서, 메트롤로지 장치는 기판의 1 이상의 속성을 결정하는 데 사용되며, 특히 상이한 기판들의 1 이상의 속성이 어떻게 변하는지 또는 동일한 기판의 상이한 층들이 층마다 어떻게 변하는지를 결정하는 데 사용된다. 앞서 명시된 바와 같이, 메트롤로지 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수 있으며, 또는 독립형 디바이스(stand-alone device)일 수 있다.
메트롤로지를 가능하게 하기 위해, 1 이상의 타겟이 기판 상에 제공될 수 있다. 일 실시예에서, 타겟은 특별히 디자인되고 주기적인 구조체를 포함할 수 있다. 일 실시예에서, 타겟은 디바이스 패턴의 일부, 예를 들어 디바이스 패턴의 주기적 구조체이다. 일 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조체[예를 들어, 양극성 트랜지스터(BPT), 비트 라인 콘택트(BLC) 등의 구조체]이다.
일 실시예에서, 기판 상의 타겟은 현상 이후에 주기적 구조의 피처들이 솔리드 레지스트 라인들로 형성되도록 프린트되는 1 이상의 1-D 주기적 구조체(예를 들어, 격자)를 포함할 수 있다. 일 실시예에서, 타겟은 현상 이후에 1 이상의 주기적 구조체가 레지스트에서 솔리드 레지스트 필라(pillar)들 또는 비아(via)들로 형성되도록 프린트되는 1 이상의 2-D 주기적 구조체(예를 들어, 격자)를 포함할 수 있다. 대안적으로, 바아(bar), 필라 또는 비아는 기판 안으로(예를 들어, 기판 상의 1 이상의 층 안으로) 에칭될 수 있다.
일 실시예에서, 패터닝 공정의 관심 파라미터들 중 하나는 오버레이이다. 오버레이는 (정반사에 대응하는) 0차 회절이 차단되고, 더 높은 차수들만이 처리되는 다크 필드 스케터로메트리(dark field scatterometry)를 이용하여 측정될 수 있다. 다크 필드 메트롤로지의 예시들은 PCT 특허 출원 공개공보 WO 2009/078708 및 WO 2009/106279에서 찾아볼 수 있으며, 이들은 본 명세서에서 그 전문이 인용참조된다. 기술의 추가 개발들이 미국 특허 출원 공개공보 US2011-0027704, US2011-0043791, 및 US2012-0242970에서 설명되었으며, 이들은 본 명세서에서 그 전문이 인용참조된다. 회절 차수들의 다크-필드 검출을 이용한 회절-기반 오버레이는 더 작은 타겟들에 대한 오버레이 측정들을 가능하게 한다. 이 타겟들은 조명 스폿보다 작을 수 있고, 기판 상의 디바이스 제품 구조체들에 의해 둘러싸일 수 있다. 일 실시예에서, 다수 타겟들이 한 번의 방사선 캡처에서 측정될 수 있다.
도 3은 일 실시예에 따른, 리소그래피 공정에서의 핫스폿들(또는 그로부터의 결함들)의 존재를 결정하는 방법에 대한 흐름도를 나타낸다. 프로세스 P311에서, 핫스폿들 또는 그 위치들이 패턴들(예를 들어, 패터닝 디바이스 상의 패턴들)로부터 여하한의 적절한 방법을 사용하여 식별된다. 예를 들어, 경험적 모델 또는 전산 모델을 사용하여 패터닝 디바이스 상의 패턴들을 분석함으로써 핫스폿들이 식별될 수 있다. 경험적 모델에서, 패턴들의 이미지들(예를 들어, 레지스트 이미지, 광학 이미지, 에칭 이미지)은 시뮬레이션되지 않는다; 대신에, 경험적 모델은 처리 파라미터들, 패턴들의 파라미터들, 및 핫스폿들 사이의 상관관계들에 기초하여 핫스폿들을 예측한다. 예를 들어, 경험적 모델은 결함이 생기기 쉬운 패턴들의 데이터베이스 또는 분류 모델일 수 있다. 전산 모델에서는, 이미지들의 특성 또는 부분이 계산되거나 시뮬레이션되고, 특성 또는 부분에 기초하여 핫스폿들이 식별된다. 예를 들어, 라인 풀백 결함/핫스폿은 그 원하는 위치로부터 너무 멀리 떨어진 라인 단부를 발견함으로써 식별될 수 있다; 브리징 결함/핫스폿은 2 개의 라인들이 바람직하지 않게 합쳐지는 위치를 발견함으로써 식별될 수 있다; 오버래핑 결함/핫스폿은 바람직하지 않게 오버랩되거나 바람직하지 않게 오버랩되지 않는 별개의 층들 상의 2 개의 피처들을 발견함으로써 식별될 수 있다. 경험적 모델은 일반적으로 전산 모델보다 계산 비용이 더 적다. 핫스폿 위치들 및 개별적인 핫스폿들의 공정 윈도우들에 기초하여 핫스폿들의 공정 윈도우들을 결정하고, 및/또는 맵으로 컴파일하는 것 - 즉, 위치의 함수로서 공정 윈도우들을 결정하는 것이 가능하다. 이 공정 윈도우 맵은 레이아웃-특정적 민감도들 및 패턴들의 처리 마진(processing margin)들을 특성화할 수 있다. 또 다른 예시에서, 핫스폿들, 그 위치들, 및/또는 그 공정 윈도우들은 FEM 웨이퍼 검사 또는 적절한 메트롤로지 툴에 의해서와 같이 실험적으로 결정될 수 있다. 결함들/핫스폿들의 세트는 레지스트 최상부 손실, 레지스트 언더컷 등과 같은 현상-후 검사(ADI)(일반적으로 광학 검사)에서 검출될 수 없는 결함들/핫스폿들을 포함할 수 있다. 종래의 검사는 단지 기판이 비가역적으로 처리(예를 들어, 에칭)된 후에만 이러한 결함들/핫스폿들을 드러내며, 이 시점에 웨이퍼는 재작업될 수 없다. 따라서, 이러한 레지스트 최상부 손실 결함들은 이 문서의 초안작성(drafting) 당시 현재의 광학 기술을 사용하여 검출될 수 없다. 하지만, 시뮬레이션이 사용되어 레지스트 최상부 손실이 발생할 수 있는 위치 및 심각도(severity)를 결정할 수 있다. 이 정보에 기초하여, 결함/웨이퍼가 재작업을 필요로 하는지 여부를 결정하기 위해 더 정확한(및 전형적으로 더 시간 소모적인) 검사 방법을 사용하여 특정 핫스폿들/가능한-결함을 검사하는 것이 결정되거나, 비가역적 처리(예를 들어, 에칭)가 수행되기 전에 특정 레지스트 층의 이미징을 재작업(레지스트 최상부 손실 결함을 갖는 레지스트 층을 제거하고, 웨이퍼를 재코팅하여 특정 층의 이미징을 다시 수행)하는 것이 결정될 수 있다.
프로세스 P312에서, 핫스폿들이 처리되는(예를 들어, 기판 상에 이미징되거나 에칭되는) 처리 파라미터들이 결정된다. 처리 파라미터들은 국부적 - 핫스폿들의 위치들, 다이들 또는 둘 모두에 의존적일 수 있다. 처리 파라미터들은 전역적 - 핫스폿들의 위치들 및 다이들에 독립적일 수 있다. 처리 파라미터들을 결정하는 한 가지 예시적인 방식은 리소그래피 장치의 상태를 결정하는 것이다. 예를 들어, 레이저 대역폭, 포커스, 도즈, 소스 파라미터들, 투영 광학기 파라미터들, 및 이 파라미터들의 공간적 또는 시간적 변동들이 리소그래피 장치로부터 측정될 수 있다. 또 다른 예시적인 방식은 기판 상에서 수행되는 메트롤로지로부터, 또는 처리 장치의 조작자로부터 얻어진 데이터로부터 처리 파라미터들을 추론하는 것이다. 예를 들어, 메트롤로지는 회절 툴(예를 들어, ASML YieldStar), 전자 현미경, 또는 다른 적절한 검사 툴들을 사용하여 기판을 검사하는 것을 포함할 수 있다. 식별된 핫스폿들을 포함하는, 처리된 기판 상의 여하한의 위치에 대한 처리 파라미터들을 얻는 것이 가능하다. 처리 파라미터들은 위치의 함수로서 맵 - 리소그래피 파라미터들, 또는 공정 조건들로 컴파일될 수 있다. 물론, 다른 처리 파라미터들이 위치의 함수들로서, 즉 맵에서 표현될 수 있다. 일 실시예에서, 처리 파라미터들은 각각의 핫스폿을 처리하기 전에, 및 바람직하게는 처리하기 직전에 결정될 수 있다.
프로세스 P313에서, 핫스폿이 처리되는 처리 파라미터들을 이용하여 핫스폿에서의 결함의 존재, 존재 확률, 특성들, 또는 이들의 조합이 결정된다. 이 결정은 단순히 핫스폿의 공정 윈도우 및 처리 파라미터들을 비교하는 것일 수 있다 - 처리 파라미터들이 공정 윈도우 내에 속하는 경우, 결함이 존재하지 않고; 처리 파라미터들이 공정 윈도우를 벗어나는 경우, 적어도 하나의 결함이 존재하는 것으로 예상될 것이다. 또한, 이 결정은 (통계 모델을 포함하는) 적절한 경험적 모델을 사용하여 수행될 수 있다. 예를 들어, 분류 모델이 결함의 존재 확률을 제공하는 데 사용될 수 있다. 이 결정을 수행하는 또 다른 방식은 전산 모델을 사용하여 처리 파라미터들 하에서 핫스폿의 이미지 또는 예상되는 패터닝 윤곽들을 시뮬레이션하고 이미지 또는 윤곽 파라미터들을 측정하는 것이다. 일 실시예에서, 처리 파라미터들은 패턴 또는 기판을 처리한 직후에(즉, 패턴 또는 다음 기판을 처리하기 전에) 결정될 수 있다. 결정된 결함의 존재 및/또는 특성들은 처분: 재작업 또는 수용의 결정을 위한 기초로서 작용할 수 있다. 일 실시예에서, 처리 파라미터들은 리소그래피 파라미터들의 이동 평균들을 계산하는 데 사용될 수 있다. 이동 평균들은 단기 변동들에 의한 혼란 없이 리소그래피 파라미터들의 장기 드리프트들을 포착하는 데 유용하다.
일 실시예에서, 핫스폿들은 기판 상의 패턴의 시뮬레이션된 이미지에 기초하여 검출된다. 일단 (예를 들어, OPC 및 제조성 체크와 같은 공정 모델들을 포함한) 패터닝 공정의 시뮬레이션이 완료되면, 공정 조건들의 함수로서 디자인에서의 잠재적인 약한 지점들, 즉 핫스폿들이 1 이상의 정의(예를 들어, 소정 규칙들, 임계치들, 또는 메트릭들)에 따라 계산될 수 있다. 핫스폿들은 절대 CD 값들에 기초하여, 시뮬레이션에서 변동된 파라미터들 중 1 이상에 대한 CD의 변화율("CD 민감도")에 기초하여, 에어리얼 이미지 세기의 기울기에 기초하여, 또는 레지스트 피처의 에지가 예상되는 경우(단순한 임계치/편향 모델 또는 더 완전한 레지스트 모델로부터 계산됨) 이미지 블러 또는 선예도의 결여를 나타낸 NILS(즉, "에지 기울기" 또는 "정규화된 이미지 로그 기울기", 흔히 "NILS"로 약칭됨)에 기초하여 결정될 수 있다. 대안적으로, 핫스폿들은 라인-단부 풀백, 코너 라운딩(corner rounding), 이웃하는 피처들에 대한 근접, 패턴 네킹 또는 핀칭(pinching), 및 원하는 패턴에 대한 패턴 변형의 다른 메트릭들을 포함 -이에 제한되지는 않음- 하는 디자인 규칙 체크 시스템에서 사용되는 것들과 같은 사전설정된 규칙들의 세트에 기초하여 결정될 수 있다. 마스크 CD의 작은 변화들에 대한 CD 민감도는 MEF(Mask Error Factor) 또는 MEEF(Mask Error Enhancement Factor)로 알려진 특히 중요한 리소그래피 파라미터이다. 포커스 및 노광에 대한 MEF의 계산은, 웨이퍼 공정 변동과 컨볼브(convolve)된 마스크 공정 변동이 특정 패턴 요소의 허용불가능한 패턴 열화를 유도할 확률의 소정 메트릭을 제공한다. 또한, 핫스폿들은 아래놓인 또는 후속 공정 층들에 대한 오버레이 오차들의 변동 및 CD 변동에 기초하여, 또는 다중-노광 공정에서의 노광들 사이의 오버레이 및/또는 CD 변동들에 대한 민감도에 의해 식별될 수 있다.
일 실시예에서, 패턴 충실도 메트롤로지가 가이드 결함 검사(guided defect inspection)로서 수행될 수 있으며, 여기서 시뮬레이션 툴은 실패할 가능성이 있는 패턴들을 식별하는 데 사용되고, 이는 검사 시스템의 효율을 개선하기 위해 식별된 패턴들이 위치되는 웨이퍼 내의 위치들로 검사 시스템을 안내한다. 검사 시스템은 웨이퍼 상의 패턴/핫스폿/결함 이미지들을 획득하고 분석한다. 예를 들어, 웨이퍼 이미지들은 전자 빔(e-빔) 시스템, 또는 광학 시스템(다크 필드 또는 브라이트 필드 검사 시스템들)의 반사된 이미지로부터 획득될 수 있다.
e-빔 시스템은 광학 시스템보다 훨씬 더 높은 분해능을 갖는다는 장점을 갖지만, 이는 또한 비교적 느리고, 전체 웨이퍼 이미지를 스캐닝하는 것은 실용적이지 않다. e-빔 검사(또는 심지어 광학 시스템)의 속도를 높이기 위해, 시뮬레이션들은 검사 시스템을 안내하여 결함 발생의 가능성이 웨이퍼 내에서 상대적으로 더 높은 웨이퍼 상의 영역들을 찾도록 구성된다. 그렇게 함으로써, 검사 프로세스는 결함 포착 정확성의 손실 없이 수 차수 크기만큼 가속될 수 있다.
각각의 칩 디자인이 엄청난 수의 패턴들을 포함하고, 단지 작은 비율의 패턴들만이 결함을 유도할 가능성이 있다. 예를 들어, 이러한 패턴들이 핫스폿들 또는 핫스폿 패턴들이라고 칭해진다. 결함들은 공정 변동들(예를 들어, 포커스 및 도즈와 같은 공정 파라미터들의 변동들)로 인해 발생하고, 핫스폿들은 이러한 공정 변동들로 인해 먼저 실패할 수 있거나 실패 가능성이 더 높은 그 패턴들을 지칭한다. 공정 시뮬레이션들은 실제 웨이퍼 및 검사 툴을 필요로 하지 않고 핫스폿들을 식별하도록 수행될 수 있다.
따라서, 가이드 검사는 칩 또는 웨이퍼의 전체 디자인 레이아웃에 비해 매우 적은 수의 핫스폿들을 식별하는 시뮬레이션을 채택하며, 그 후 검사 시스템을 구동하여 핫스폿 패턴들에 대응하는 웨이퍼 상의 영역들을 검사하는 데 초점을 맞추고, 나머지는 검사하지 않아 수 자릿수의 스루풋 이득을 얻는다.
패턴 충실도 메트롤로지 및 핫스폿 결정 또는 검증 방법들의 다양한 실시형태들이 본 명세서에서 그 전문이 인용참조되는 상이한 특허들/특허 출원들에서 상세히 논의된다. 예를 들어, 미국 특허 출원 15/546,592는 예를 들어 결함들을 발견하기 위한 공정 파라미터들의 변동들에 기초한 결함 예측 방법을 논의하는 공정 가변성 인식 적응적 검사 및 메트롤로지를 설명한다. 미국 특허 출원 15/821,051은 디자인 레이아웃의 관심 영역(예를 들어, 처리 윈도우 제한 패턴 또는 핫스폿 패턴)의 공정 윈도우 또는 오버래핑 공정 윈도우에 기초한 핫스폿 식별을 설명한다. 미국 특허 출원 15/580,515는 웨이퍼의 메트롤로지 이미지 및 제 1 이미지(예를 들어, 시뮬레이션된 이미지)를 정렬하는 결함 검증을 위한 방법들을 설명하고, 이미지들의 정렬/오정렬과 관련된 검증 흐름 및 임계치 피드백을 채택한다. PCT 특허 출원 공개공보 WO2017080729A1은 핫스폿들의 발견을 개선하는 공정 윈도우 경계를 식별하는 방법들을 설명한다.
기존의 전산 리소그래피 관련 해결책들(예를 들어, 앞서 논의된 바와 같은 웨이퍼 결함 검사를 위한 패턴 충실도 메트롤로지/모니터링)은 검사 장치(예를 들어, e-빔)를 안내하기 위해 전체 칩에서 핫스폿들을 식별하는 데 전산 리소그래피 모델을 사용하는 리소그래피 제조성 체크(LMC) 기반 핫스폿 검출과 같은 모듈들(예를 들어, 소프트웨어)을 채택한다. 또한, 이러한 LMC 기반 핫스폿 검출을 채택하는 전산 리소그래피 소프트웨어는 OPC 검증(예를 들어, OPC와 관련된 결함들)을 넘어 수행하고 공정 윈도우 결함들을 발견하도록 구성되며, 또한 풀칩 디자인을 위해 수십만 개의 핫스폿들을 생성할 수 있다. 빠른 턴어라운드 타임(turn-around-time) 요건 및 비교적 느린 속력의 검사 툴을 사용한 측정으로 인해, 전체 웨이퍼에 대한 핫스폿들의 작은 분율(예를 들어, 백만 중 수천)만의 검사가 수행될 수 있다. 이러한 문제를 해결하기 위해, 전산 모델들은 각각의 핫스폿들의 심각도를 나타내기 위한 랭킹 지표(등급이라고도 함)를 채택한다. 핫스폿의 심각도는 핫스폿 패턴이 실제 웨이퍼 결함들로 변환될 가능성이 얼마나 되는지의 측정이다. 예를 들어, 높은 심각도의 핫스폿은 이것이 결함으로 변환될 가능성이 있고, 핫스폿과 연계된 이러한 결함들의 실제 총수가 다른 패턴들과 비교하여 상대적으로 높을 가능성이 있음을 의미한다. 그러므로, 이러한 핫스폿은 또한 높게 랭킹될 것이다. 반면에, 낮은 심각도의 핫스폿은 이것이 결함으로 변환될 가능성이 적고, 웨이퍼 상의 실제 결함 수가 작거나 존재하지 않을 가능성이 있음을 의미한다. 이러한 핫스폿은 낮게 랭킹될 것이다.
랭킹에 기초하여, 검사 시스템은 결함 검사를 위해 핫스폿들의 작은 부분(예를 들어, 상대적으로 더 높은 등급을 갖는 핫스폿들)을 선택할 수 있다. 그러므로, 핫스폿들 및 그 심각도/랭킹의 정확한 식별이 높은 포착율[즉, 패턴들과 관련된 결함들을 드러내는 더 많은 데이터 또는 더 많은 진양성(true positives)] 및 낮은 방해율(nuisance rate)[즉, 비-결함 패턴들과 관련된 더 적은 데이터 또는 더 적은 위양성(false positive)]을 보장하는 데 중요하다.
앞서 언급된 바와 같이, 메트롤로지 툴을 통한 측정들은 측정들을 수행하는 데 필요한 시간 및 자원의 양으로 인해 프린트된 웨이퍼 상의 제한된 수의 선택된 위치(예를 들어, 핫스폿 위치들)에서 수행된다. 일 실시예에서, 부정확한 핫스폿 랭킹이 프린트된 기판 상의 덜 중대한 위치들(예를 들어, 비-핫스폿 위치들)로 검사 장치를 안내할 수 있고, 이에 의해 실제 결함들일 가능성이 없는 패턴들을 검사하는 데 툴 시간을 소비(또는 낭비)할 수 있다. 예를 들어, 대표적이지 않은 측정 샘플이 결함들을 드러내지 않을 수 있는 일부 측정들을 포함할 수 있는 한편, 일부 결함들이 누락될 수 있다. 예를 들어, 핫스폿들의 부정확한 낮은 랭킹에 기초하여 실제 결함들일 가능성이 없는 것으로 간주되는 중대한 핫스폿 패턴들이 스킵되어 실제 결함이 누락되게 하고, 이는 흔히 발생하는 결함들에 관한 정보를 놓치게 한다. 일 실시예에서, 가이드 검사에 의해 누락된 결함들은 다른 수단, 즉 광학 검사 시스템, (가이드에 비해) 조밀한 e-빔 검사에 의해 발견될 수 있다. (이러한 측정들이) 패터닝 공정, 원하는 결과를 개선하는 데 사용되는 경우(예를 들어, 원하는 수율 또는 공정 개선)에 측정들로부터 드러나는 시뮬레이션에서의 부정확성(부정확한 측정이라고도 함)이 관찰되지 않을 수 있다.
일 실시예에서, 어시스트 피처들(예를 들어, SRAF 및 SERIF)과 같은 OPC를 포함하는 마스크 디자인 후, 다음 단계는 OPC 검증과 같은 마스크 검증이다. 마스크 검증은 제조 또는 제작 시설을 위한 마스크 디자인을 보내기 전 레티클 테이프-아웃(tape-out)을 위한 마스크 데이터 준비(MDP) 흐름에서의 표준 단계이다. 이러한 마스크 검증의 목적은 프린트된 기판 상의 패터닝 결함들을 잠재적으로 초래할 OPC-후 디자인에서의 오차들 또는 약한 지점들을 식별하는 것이다. 일 실시예에서, 이러한 마스크 검증은 LMC 규칙들을 채택하는 타키온 소프트웨어와 같은 리소그래피 제조성 체크들(LMC)을 채택하는 소프트웨어를 사용하여 수행될 수 있다. 마스크 검증의 정확성은 OPC 모델을 포함하는 패터닝 공정 모델의 정확성에 의존한다. 공정 모델에서의 여하한의 부정확성은 이후 기판 상의 실제 결함들 또는 실제가 아닌 성가신 결함들을 놓치게 한다. 일 실시예에서, 결함은 기판 상에 이미징되는 경우에 사양을 벗어나는 피처 또는 피처의 일부를 지칭한다. 예를 들어, 결함은 네킹, 홀 폐쇄, 홀 병합(merging holes) 등일 수 있다.
또한, LMC를 통해 식별된 결함들 중 일부가 기판 검사 또는 모니터링을 위해 보내진다. 일 실시예에서, LMC에 의해 식별된 결함에 대응하는 마스크 상의 위치가 핫스폿으로 지칭된다. 일 실시예에서, 핫스폿은 핫스폿과 연계된 패턴이 기판 상에 이미징될 때 실제 결함이 될 가능성이 높은 마스크 상의 위치로서 정의될 수 있다.
예를 들어, ASML의 패턴 충실도 메트롤로지(PFM) 제품은 검사 장치의 효율을 개선하기 위해 프린트된 기판 상의 특정 위치들에만 e-빔 검사 장치를 안내하도록 LMC에 의해 식별되는 소정 패턴들 또는 그 위치들(예를 들어, 핫스폿들)에 의존한다. PFM에 대한 턴어라운드 타임 요건 및 검사 툴의 속도로 인해, PFM은 전체 프린트된 기판의 이 위치들(예를 들어, 핫스폿들) 중 작은 분율, 통상적으로 수천 개만을 검사할 수 있다. 이러한 검사 문제를 해결하기 위해, LMC에 의해 식별되는 (예를 들어, 핫스폿들과 관련된) 마스크 패턴들이 기판 상에 이미징될 때 실제 결함들이 될 가능성에 기초하여 랭킹될 필요가 있고, PFM은 검사를 위한 핫스폿들의 작은 분율을 선택하기 위해 이러한 핫스폿 랭킹에 의존한다. 그러므로, 핫스폿들 및 그 심각도의 정확한 식별이 PFM의 높은 포착율 및 낮은 방해율을 보장하기 위해 수행될 수 있는 중요한 한 단계이다. 본 발명에서는, 개념들을 설명하기 위해 마스크 패턴들이 일 예시로서 핫스폿들에 대응하지만, 이러한 핫스폿의 사용이 본 발명을 제한하지는 않는다. 본 발명에서, "결함"이라는 용어는 기판에 관련되는 경우에 마스크 결함들 또는 웨이퍼 결함들에 관련될 때 (교환가능하게 핫스폿이라고 칭해지는) LMC 결함을 지칭한다. 당업자라면, 검사될 다른 마스크 패턴들에 본 발명의 방법들을 적용할 수 있다.
OPC 모델을 포함하는 공정 모델은, 예를 들어 시뮬레이션 프로세스의 속도를 간단히 개선하기 위해 사용되는 몇몇 근사들로 인해 정확한 결과들을 제공하지 않을 수 있다. 다시 말하면, 모델들만으로는 공정의 물리적 현상 또는 이로부터의 결함들을 포착하지 않는다. 따라서, 잠재적인 결함들이 누락되지 않도록 엄격한 사양이 패턴 또는 그 안의 피처에 적용되는 더 보수적인 접근법이 사용된다. 하지만, 그 결과는 다수의 성가신 결함들, 즉 실제 프린트된 기판 상에 나타나지 않을 수 있는 핫스폿들이다. 따라서, LMC에 기초하여 식별되는 핫스폿들의 수가 검사 사이클 시간 예산에 맞추도록 작게 선택되어야 한다.
일 실시예에서, LMC는 각각의 기판의 각 층에 대해 실행되어 수백만 개의 이러한 결함들을 검토하고 처분할 수 있으며, 이는 현재 사람에 의해 행해지고 매우 시간 소모적일 수 있다. 그러므로, LMC가 대부분 또는 모든 실제 결함들을 식별하면서 성가신 결함들의 수를 감소시키는 것이 바람직하다. 또한, 결함의 임계도(criticality)가 시뮬레이션된 결함 크기에 기초하여 평가될 수 있다. 일 실시예에서, 결함 크기는 프린트된 웨이퍼 상의 다수의 결함 수로서 정의된다. 일 실시예에서, 결함 크기는 기판 상에 프린트된 패턴들의 CD 값들을 지칭할 수 있다. 하지만, 공정 모델이 부정확한 결과들을 유도하는 근사들을 수반하기 때문에, 결함 크기도 오차들을 가질 수 있다.
또한, LMC를 통한 핫스폿 식별에서의 오차가 핫스폿들의 랭킹에 영향을 미칠 것이다. 랭킹이 정확하지 않은 경우, 잘못 랭킹된 핫스폿 리스트가 가이드 검사에 사용되며, 이는 프린트된 기판 상의 실제 결함들이 샘플링된 핫스폿 리스트들 내에 존재하지 않을 수 있기 때문에 이들의 누락을 유도할 수 있거나, 또는 검사 시간을 낭비하는 많은 수의 성가신 결함들이 사용될 수 있다.
도 4a는 프린트된 기판으로부터의 측정된 결함들(410)의 발생과 이상적인 패터닝 모델에 기초한 핫스폿들(405)의 랭킹 사이의 정확한 상관관계를 제공하는 이상적인 또는 완벽한 패터닝 공정 모델(예를 들어, OPC 모델을 포함함)을 가정한 핫스폿들(405)의 예시적인 랭킹을 나타낸다. 하지만, 몇몇 공정 변동들이 기판 상에서 나타나는 결함들을 사실상 랜덤이도록 하기 때문에, 상관관계는 결코 완벽하지 않을 것이다. 예를 들어, 나타낸 바와 같이, 350 이상으로 랭킹된 LMC 핫스폿들은 프린트된 기판 상에서의 결함 발생들을 갖지 않으며, 이에 따라 350 이상으로 랭킹된 핫스폿들은 성가신 것이다. 따라서, 이 상황에서, 이상적으로는 LMC가 처음 350 개의 핫스폿들만을 보고하여야 한다.
하지만, 덜 정확한 공정 모델로의 동일한 LMC 검사는, 도 4b에 나타낸 바와 같이 매우 낮게 랭킹된 핫스폿들(예를 들어, 350 이상으로 랭킹된 핫스폿들)에 대해서도 결함 발생을 유도한다. 도 4b에서, 핫스폿들의 랭킹(420)은 측정된 결함 수와 일치하지 않는다. 예를 들어, 더 낮게 랭킹된 핫스폿(예를 들어, 600 주위)이 프린트된 기판 상에서 더 높은 결함 발생을 갖는 한편, 더 높게 랭킹된 핫스폿(예를 들어, 400 주위)은 프린트된 웨이퍼 상에서 더 낮은 결함 발생을 갖는다. 다시 말하면, 핫스폿들의 랭킹(420)이 반전되거나 부정확하다. 따라서, 도 4b의 플롯은 결함 데이터와 핫스폿들의 랭킹(420) 사이의 열악한 상관관계를 나타낸다. 열악한 상관관계 또는 부정확한 랭킹이 메트롤로지 시간의 손실, 낮은 포착율 및 높은 방해율을 유도하고, 이는 바람직하지 않은 결과들이다. 다시 말하면, 실제 웨이퍼 결함들의 대부분을 포착하기 위해 많은 수의 핫스폿들의 샘플링이 행해질 수 있다. 많은 수의 핫스폿들을 샘플링하는 것은, 샘플링되는 많은 핫스폿들이 낮은 실제 결함 발생들을 갖거나 갖지 않을 것이기 때문에 높은 방해율을 초래할 수 있다.
따라서, 프린트된 기판으로부터의 피드백이 얻어지고 LMC 기반 핫스폿 랭킹에 공급되어, 랭킹(420)에 대한 보정들이 이루어지고 다음 기판이 더 정확하게 샘플링되어 측정 포착율을 개선하고 방해율을 낮게 할 것이다. 다시 말하면, 핫스폿들의 개선된 랭킹으로 인해 더 많은 결함들이 포착될 것이다.
일 실시예에서, 모델 부정확성은 예를 들어 핫스폿에 대응하는 시뮬레이션된 패턴의 특성(예를 들어, CD 또는 윤곽)의 부정확한 값들을 유도한다. 예를 들어, 도 5는 실제 특성(즉, 측정된 값들)과 비교하여 포커스의 함수로서 시뮬레이션된 패턴의 시뮬레이션된 CD의 값들(즉, 시뮬레이션된 특성)을 예시한다. 일 실시예에서, 시뮬레이션된 CD(510)는 (예를 들어, 도 22에서 논의되는 바와 같이) 1 이상의 패터닝 공정 모델들을 시뮬레이션하거나, 도즈-포커스 관계를 사용하여 보썽(Bossung) 곡선을 시뮬레이션하는 것을 통해 얻어진다. 이러한 시뮬레이션된 CD(510)는 측정된 CD(520)(즉, 참된 CD)와 실질적으로 상이하다. 시뮬레이션된 CD(510)와 측정된 CD(520) 사이의 차이는 모델 부정확성들로 인한 것이다. 본 실시예에 따르면, 시뮬레이션된 패턴의 특성(예를 들어, CD)에 대한 보정을 결정하도록 구성되는 트레이닝된 기계 학습 모델을 얻기 위한 방법(예를 들어, 도 6)이 제공된다. 따라서, 시뮬레이션된 CD(510)는 보정된 CD(530) 또는 일반적으로 보정된 패턴을 얻기 위해 트레이닝된 기계 학습 모델을 통해 보정될 수 있다. 시뮬레이션된 패턴의 이러한 보정된 패턴 또는 보정된 특성은 핫스폿 랭킹, 샘플링 계획, 공정 파라미터들의 최적화 또는 다른 패터닝 관련 적용들과 같은 다양한 목적들을 위해 사용될 수 있다.
일 실시예에서, 모델(예를 들어, 기계 학습 모델)은 마스크 패턴에 대응하는(예를 들어, 핫스폿에 대응하는) 시뮬레이션을 통해 얻어진 패턴의 특성을 보정하도록 구성된다. 일 실시예에서, 이러한 기계 학습 모델은 시뮬레이션된 패턴의 특성의 정확한 보정 및 보정된 시뮬레이션된 패턴들에 대응하는 핫스폿들의 더 정확한 랭킹을 허용하는 측정 데이터에 기초하여 (예를 들어, 도 6에서 논의되는 바와 같이) 트레이닝된다. 예를 들어, 도 5에 예시된 바와 같이, 시뮬레이션된 패턴의 특성(510)은 기계 학습 모델을 통해 보정되어, 보정된 시뮬레이션된 패턴 또는 그 보정된 특성(530)을 유도할 수 있다.
도 6은 패터닝 공정의 기계 학습 모델(604)을 트레이닝하는 방법(600)의 흐름도이다. 기계 학습 모델(604)의 트레이닝은 기판 상의 (예를 들어, 핫스폿에 대응하는) 마스크 패턴의 이미징 동안 사용되는 파라미터(예를 들어, 도즈 포커스, 레벨 제어 등)의 측정된 값들 및 검사 데이터와 같은 측정된 데이터에 기초한다. 본 발명에서, 마스크 패턴은 OPC 후 패턴, 즉 OPC 프로세스 후에 얻어진 최적화된 마스크 패턴을 지칭한다. 실제 프린트된 기판으로부터의 이러한 데이터를 사용하는 것이 기계 학습 모델로 하여금 시뮬레이션된 결과의 부정확성들을 보정하게 한다. 일 실시예에서, 기계 학습 모델(604)은 컨볼루션 뉴럴 네트워크(CNN), 딥 러닝 모델, 또는 다른 모델들이다. 오늘날 HVM에서의 모든 IC 제조는 포토마스크들을 사용하지만, 본 발명은 특정 패터닝 공정에 제한되지 않으며, 상기 방법(600)도 포토마스크가 사용되지 않는 직접 기록 공정(direct write process)과 같은 다른 패터닝 기술들에 적용된다.
상기 방법(600)은, 프로세스 P602에서, (ⅰ) 기판 상에 프린트될 마스크 패턴과 연계된 시뮬레이션된 패턴(601), (ⅱ) 마스크 패턴을 사용하여 기판 상에 이미징되는 프린트된 패턴의 검사 데이터(603), 및 (ⅲ) 기판 상의 마스크 패턴의 이미징 동안 적용되는 패터닝 공정의 파라미터의 측정된 값들(605)을 포함하는 트레이닝 데이터 세트를 얻는 단계를 수반한다. 일 실시예에서, 트레이닝 데이터 세트는 기판 상에 이미징된 대응하는 복수의 프린트된 패턴들에 관련되는 측정된 데이터(예를 들어, 검사 데이터, 및 파라미터들의 측정된 값들) 및 [예를 들어, 파라미터의 측정된 값들(605)에 기초하여 얻어지는] 복수의 시뮬레이션된 패턴들을 포함한다.
일 실시예에서, 시뮬레이션된 패턴(601)은 에어리얼 이미지, 마스크 이미지, 레지스트 이미지, 및/또는 에칭 이미지와 같은 시뮬레이션된 이미지이다. 따라서, 일 실시예에서, 시뮬레이션된 패턴(601)을 얻는 것은 마스크 패턴(예를 들어, 핫스폿에 대응하는 디자인 레이아웃의 부분)을 입력으로서 사용하여 패터닝 공정 모델(예를 들어, 마스크 모델, 광학 모델, 레지스트 모델 등)을 시뮬레이션하는 것, 및 도즈, 포커스 등과 같은 패터닝 공정 파라미터들을 조작하는 것을 수반한다. 도 8a 내지 도 8c는 (예를 들어, 도 22에서 논의되는 바와 같은) 패터닝 공정 모델의 시뮬레이션 동안 생성되는 예시적인 시뮬레이션된 패턴들을 나타낸다. 예를 들어, 시뮬레이션된 패턴(601)은 마스크 이미지(801), 에어리얼 이미지(803), 및/또는 레지스트 이미지(805)일 수 있다. 각각의 이러한 이미지들(801, 803, 805)은 복수의 패턴들을 포함하며, 여기서 1 이상의 피처들이 프린트된 기판 상에 결함을 생성하거나 실패할 수 있다.
일 실시예에서, 시뮬레이션된 패턴(601)은 기판 상에 이미징될 때 실패할 가능성이 있는 핫스폿을 포함한다. 일 실시예에서, 시뮬레이션된 패턴(601)은 시뮬레이션된 패턴(601)이 기판 상에 이미징될 때 리소그래피 제조성 체크를 충족시키지 않는 패턴을 포함한다. 예를 들어, 곡선적 마스크(curvilinear mask)의 소정 부분들이 CD의 사양 밖에 있는 특성들을 갖는 패턴들을 유도할 수 있다.
일 실시예에서, 검사 데이터는 프린트된 기판의 이미지이다. 일 실시예에서, 이미지는 스캐닝 전자 빔 이미지 및/또는 광학 메트롤로지 이미지이다. 검사 데이터는 (예를 들어, 도 15 내지 도 18에서 논의된 바와 같은) 광학 검사 시스템, 스케터로미터 또는 (예를 들어, 도 19 및 도 20을 참조하여 논의된 바와 같은) e-빔 툴과 같은 검사 툴로부터 얻어질 수 있다. 일 실시예에서, 시뮬레이션된 패턴(601)에 대응하는 이러한 검사 데이터는 트레이닝 프로세스 동안 기계 학습 모델(604)(예를 들어, CNN)의 모델 파라미터들(예를 들어, 가중치들 및 바이어스들)을 수정하기 위한 기초를 제공한다.
일 실시예에서, 패터닝 공정의 파라미터의 측정된 값들은 기판 상에 프린트되는 패턴에 영향을 미치는 여하한의 변수일 수 있다. 일 실시예에서, 파라미터의 측정된 값들은 도즈, 포커스, MSDx,y, 광학 파라미터들의 측정된 값들 중 1 이상 또는 기판 상의 마스크 패턴의 이미징 동안 적용되는 패터닝 공정의 파라미터들의 다른 측정된 값들을 포함한다. 일 실시예에서, 프린트된 웨이퍼 패턴들 또는 프린트된 패턴 이미지들(603)은 대응하는 패터닝 공정 조건의 값들(예를 들어, 도즈 및 포커스의 측정들)과 함께 검사 툴(예를 들어, SEM)로부터 얻어진다. 그 후, 공정 파라미터들(또는 공정 조건들)의 측정된 값들(605)은 시뮬레이션된 이미지들(601)(예를 들어, MI/AI/RI)을 얻기 위해 패터닝 공정 모델들을 사용하여 패터닝 공정을 시뮬레이션하는 데 사용된다. 이 시뮬레이션된 이미지들(601)(예를 들어, MI/AI/RI)을 입력으로서, 그리고 프린트된 패턴 이미지들(603)을 실측 자료(ground truth)로서 사용하여, 기계 학습 모델은 기계 학습 모델(604)(예컨대, CNN)의 모델 파라미터들[예를 들어, 하이퍼파라미터(hyperparameter), 가중치 및 바이어스]을 수정함으로써 트레이닝된다.
일 실시예에서, 패터닝 공정의 파라미터의 측정된 값들은 메트롤로지 툴을 통해 얻어진다. 일 실시예에서, 1 이상의 메트롤로지 툴이 리소그래피 장치에 통합될 수 있다.
상기 방법(600)은, 프로세스 P604에서, 프로세서[예를 들어, 프로세서(104)]를 통해, 시뮬레이션된 패턴(601)과 프린트된 패턴의 특성의 차이를 예측하기 위해 트레이닝 데이터 세트에 기초하여 기계 학습 모델(604)을 트레이닝하는 단계를 수반한다. 트레이닝 프로세스의 끝에, (예를 들어, 도 5를 참조하여 논의된 바와 같이) 시뮬레이션된 패턴(601)에 대한 보정을 결정하도록 구성되는 트레이닝된 기계 학습 모델(640)이 얻어진다.
일 실시예에서, 시뮬레이션된 패턴(601) 또는 프린트된 패턴의 특성은 시뮬레이션된 패턴(601)의 피처의 임계 치수, 또는 시뮬레이션된 패턴(601)의 피처의 윤곽이다. 따라서, 트레이닝된 기계 학습 모델(640)은 예를 들어 시뮬레이션된 패턴(601)의 시뮬레이션된 CD 값과 프린트된 패턴의 측정된 CD 값 사이의 CD 차이(ΔCD)를 예측하도록 구성된다. ΔCD는 시뮬레이션된 패턴(601)의 CD를 보정하는 데 더 사용되어 시뮬레이션된 패턴(601)의 CD의 더 정확한 값을 유도할 수 있다. 예를 들어, 도 5를 참조하여 앞서 논의된 바와 같이, 시뮬레이션된 CD(510)는 기판 상에 프린트된 실제 CD(520)에 더 가까운 CD(530)로 보정된다.
일 실시예에서, 트레이닝 단계(즉, 프로세스 P604)는 반복 프로세스이다. 트레이닝은 패터닝 공정의 파라미터의 측정된 값들 및 프린트된 패턴 및/또는 그 프린트된 패턴 이미지를 포함하는 검사 데이터를 수신하는 단계; 기계 학습 모델을 통해, 검사 데이터에 기초하여 시뮬레이션된 패턴(601)에 대응하는 이미지를 예측하는 단계; 예측된 패턴 이미지와 프린트된 패턴 이미지 사이의 차이를 결정하는 단계; 및 예측된 패턴 이미지 및 프린트된 패턴 이미지 사이의 차이가 감소되도록 기계 학습 모델의 모델 파라미터 값들을 조정하는 단계를 수반한다. 일 실시예에서, 기계 학습 모델의 출력은 이미지에 제한되지 않는다. 기계 학습 모델은 웨이퍼 이미지뿐만 아니라, 예측된 웨이퍼 이미지 내의 피처의 CD, 이미지 차이(예를 들어, 픽셀 값들의 차이) 또는 프린트된 이미지와 예측된 이미지 사이의 CD 차이, 또는 다른 관련 공정 파라미터들도 예측하도록 구성될 수 있다.
일 실시예에서, 기계 학습 모델의 모델 파라미터 값들의 조정은 모델 파라미터의 함수로서 예측된 패턴 이미지와 프린트된 패턴 이미지 사이의 차이의 기울기 맵(gradient map)을 결정하는 것; 예측된 패턴 이미지 및 프린트된 패턴 이미지 사이의 차이가 감소되도록 기울기 맵에 기초하여 모델 파라미터 값들을 식별하는 것; 및 식별된 값들에 기초하여 모델 파라미터 값들을 조정하는 것을 수반한다.
일 실시예에서, 모델 파라미터 값들의 조정은: 컨볼루션 뉴럴 네트워크의 층의 1 이상의 가중치, 컨볼루션 뉴럴 네트워크의 층의 1 이상의 바이어스, CNN의 하이퍼파라미터들 및/또는 CNN의 층들의 수의 값들을 조정하는 것을 포함한다. 일 실시예에서, 층들의 수는 미리 선택될 수 있고 트레이닝 프로세스 동안 변경되지 않을 수 있는 CNN의 하이퍼파라미터이다. 일 실시예에서, 일련의 트레이닝 프로세스가 수행될 수 있으며, 여기서 층들의 수는 수정될 수 있다. CNN의 일 예시가 도 9에 도시되어 있다.
일 실시예에서, 트레이닝(예를 들어, 도 9의 CNN)은 비용 함수의 값을 결정하는 것, 및 비용 함수가 감소(일 실시예에서, 최소화)되도록 CNN의 1 이상의 층의 가중치를 점진적으로 조정하는 것을 수반한다. 일 실시예에서, 비용 함수는 컨볼루션 뉴럴 네트워크로부터 얻어지는 예측된 패턴(예를 들어, CNN의 출력)과 마스크 패턴(예를 들어, 검사 데이터로부터의 패턴, 디자인 레이아웃 등) 사이의 차이이다. 일 실시예에서, 비용 함수는 윤곽-기반 메트릭, CD, 또는 다른 적절한 기하학적 또는 공정 파라미터들의 측면에서 정의될 수 있다. 비용 함수의 개선은 CNN 모델 파라미터들[예를 들어, 가중치들, 바이어스, 스트라이드(stride) 등]의 값들을 수정함으로써 달성된다.
예를 들어, 비용 함수는 에지 배치 오차(EPE)일 수 있다. 이 경우, EPE(예를 들어, 프린트된 패턴 윤곽과 예측된 패턴 윤곽 사이의 차이)를 추가로 결정하기 위해 프린트된 패턴 이미지(603) 및 예측된 패턴 이미지들로부터 윤곽들이 추출될 수 있다. 그 후, 비용 함수는: cost = f(프린트된 패턴 이미지 - CNN(입력, cnn_파라미터들)로서 표현될 수 있으며, 여기서 cost는 EPE(또는 EPE2 또는 다른 적절한 EPE 기반 메트릭)일 수 있고, 함수 f는 (예를 들어, CNN에 의한) 예측된 패턴 및 프린트된 패턴으로부터의 윤곽 추출을 수행하여 예측된 패턴 이미지와 프린트된 패턴 사이의 차이를 더 결정한다. 일 실시예에서, CNN에 대한 입력은 시뮬레이션된 이미지들(예를 들어, MI/AI/RI)을 포함한다. 예를 들어, 함수 f는 먼저 예측된 이미지로부터 윤곽들을 도출한 후, 프린트된 패턴에 대해 EPE를 계산할 수 있다. cnn_파라미터들은 기울기 기반 방법을 사용하여 CNN 트레이닝 동안 결정되는 최적화된 파라미터들이다. 일 실시예에서, cnn_파라미터들은 CNN의 가중치들 및 바이어스일 수 있다. 또한, 비용 함수에 대응하는 기울기는 dcost/d파라미터일 수 있으며, 여기서 파라미터는 수학식(예를 들어, 파라미터 = 파라미터 - learning_rate * 기울기)에 기초하여 업데이트될 수 있다. 일 실시예에서, 파라미터는 기계 학습 모델(예를 들어, CNN)의 가중치 및/또는 바이어스일 수 있으며, learning_rate(학습률)는 트레이닝 프로세스를 튜닝하는 데 사용되는 하이퍼-파라미터일 수 있고, 트레이닝 프로세스의 수렴을 개선(예를 들어, 더 빠른 수렴)하기 위해 사용자 또는 컴퓨터에 의해 선택될 수 있다.
트레이닝된 기계 학습 모델(640)(예를 들어, 도 9의 트레이닝된 CNN)은 시뮬레이션된 패턴들 또는 여하한의 그 특성을 보정하기 위해 더 사용될 수 있다.
도 7은 트레이닝된 기계 학습 모델(예를 들어, 640)에 기초하여 패터닝 공정의 시뮬레이션된 패턴의 특성을 보정하는 방법(700)의 흐름도이다. 상기 방법(700)은, 프로세스 P702에서, 패터닝 공정 모델의 시뮬레이션을 통해, 시뮬레이션된 패턴 및 시뮬레이션된 패턴의 특성을 결정하는 단계를 포함한다. 일 실시예에서, 예를 들어 도 22에서 논의되는 바와 같이, 시뮬레이션은 마스크 패턴(예를 들어, 핫스폿에 대응하는 디자인 레이아웃의 부분)을 입력으로서 사용하여 패터닝 공정 모델(예를 들어, 마스크 모델, 광학 모델, 레지스트 모델 등)을 실행하는 것을 수반한다. 이에 의해, 시뮬레이션된 패턴(702)은 에어리얼 이미지, 마스크 이미지, 레지스트 이미지, 및/또는 에칭 이미지이다.
상기 방법(700)은, 프로세스 P704에서, 트레이닝된 기계 학습 모델(예를 들어, 640)을 통해, 시뮬레이션된 패턴(702)의 특성에 대한 특성 조정 값(704)을 결정하는 단계를 수반한다. 일 실시예에서, 특성 조정 값은 시뮬레이션된 특성이 공정 모델의 부정확성들을 설명하도록 수정될 값이다. 일 실시예에서, 트레이닝된 기계 학습 모델은 시뮬레이션된 패턴들 및 프린트된 기판으로부터의 측정된 데이터에 기초하여 트레이닝되고, 따라서 트레이닝된 기계 학습 모델은 입력으로서 수신되는 여하한의 시뮬레이션된 패턴에 대한 이러한 조정 값들을 예측하도록 구성된다.
앞서 언급된 바와 같이, 패턴[예를 들어, 시뮬레이션된 패턴(701) 또는 프린트된 패턴]의 특성은 피처의 임계 치수, 또는 피처의 윤곽일 수 있다. 따라서, 트레이닝된 기계 학습 모델(640)은 예를 들어 시뮬레이션된 패턴(702)의 시뮬레이션된 CD 값에 적용될 CD 차이(ΔCD)를 예측하여 여하한의 모델 부정확성들을 조정한다.
시뮬레이션된 패턴(702)에 대한 특성 조정 값은, 프로세스 P706에서 시뮬레이션된 패턴을 보정하는 데 더 사용된다. 프로세스 P706은 프로세서[예를 들어, 프로세서(104)]를 통해, 특성 조정 값(704)에 기초하여 시뮬레이션된 패턴(702)의 특성을 보정하는 것을 수반한다. 보정된 특성 또는 연계된 보정된 시뮬레이션된 패턴은, 핫스폿의 랭킹, 공정 파라미터들의 최적화, 패터닝 공정의 파라미터들의 제어 또는 패터닝 공정을 거친 기판 상에 프린트된 패턴들을 개선하는 것과 관련된 다른 적용들과 같은 다양한 목적들을 위해 사용될 수 있다.
일 실시예에서, 복수의 시뮬레이션된 패턴들은 복수의 디자인 패턴들을 사용하여 생성될 수 있다. 예를 들어, 통상적으로 수천 내지 수백만 개의 시뮬레이션된 패턴들이 (예를 들어, 도 22에서 논의되는 바와 같은) 패터닝 공정 모델의 시뮬레이션을 통해 생성될 수 있다. 이들 각각은 마스크 패턴이 아닐 수 있는데, 이는 몇몇 패턴들이 기판 상에 프린트될 때 실패할 가능성이 매우 낮기 때문이다. 따라서, 복수의 시뮬레이션된 패턴들은 핫스폿들에만 대응할 수 있다(예를 들어, 통상적으로 수천 개).
따라서, 일 실시예에서, 상기 방법(700)은 프로세스 P708에서, 트레이닝된 기계 학습 모델을 통해 복수의 시뮬레이션된 패턴들 및 복수의 시뮬레이션된 패턴들에 대응하는 복수의 조정 특성 값들을 얻는 단계를 더 포함한다. 일 실시예에서, 복수의 시뮬레이션된 패턴들은 복수의 핫스폿들에 대응하는 패턴들을 포함한다.
또한, 프로세스 P710은 프로세서를 통해, 복수의 조정 특성 값들에 기초하여 복수의 시뮬레이션된 패턴들 각각의 특성을 조정하는 것을 수반한다.
시뮬레이션된 패턴들의 조정된 특성들에 기초하여, 프로세스 P712에서, 복수의 시뮬레이션된 패턴들의 랭킹(712)이 결정된다. 예를 들어, 공정 모델 기반 시뮬레이션에서의 부정확성들을 설명하기 위해 트레이닝된 기계 학습 모델(640)에 기초하여 복수의 핫스폿들의 특성들이 조정되는 경우, 복수의 핫스폿들 중 1 이상의 핫스폿은 더 이상 중요하지 않을 수 있다(즉, 프린트된 기판 상에서 실패를 야기할 가능성이 높지 않음). 이러한 것으로서, 조정된 특성에 기초한 랭킹(712)은 더 정확할 것이고, 비교적 높은 실패 가능성을 갖는 핫스폿들의 서브세트만이 먼저 분석되어 패터닝 공정의 결과들을 개선할 것이다. 예를 들어, 랭킹(712)에 기초하여 더 우수한 샘플링 계획이 결정될 수 있고, 이에 의해 메트롤로지 시간 및 리소스 할당을 개선할 뿐만 아니라 결함 포착율도 개선한다.
일 실시예에서, 랭킹(712)은 1 내지 n의 정수 스케일일 수 있고, 여기서 n은 전체 핫스폿들의 수이다. 랭크 1은, 예를 들어 연계된 핫스폿의 가장 높은 실패 가능성을 나타내는 최고 랭크를 나타내고, 정수 값이 증가함에 따라, 랭크는 더 낮은 것으로 간주되며, 예를 들어 n은 최저 랭크이다. 예를 들어, 1 내지 10의 랭크에서, 랭크 1이 가장 높고 랭크 10이 가장 낮다.
일 실시예에서, 랭킹(712)의 결정은 아래에서 논의되는 도 14a 및 도 14b에서와 같은 랭킹 알고리즘에 기초할 수 있다. 도 14a 및 도 14b에서, 랭킹은 예를 들어 결함 메트릭(예를 들어, 결함 크기)에 기초하여 결정된다. 예를 들어, 결함 크기에 기초하여, 높은 랭크(예를 들어, 1)가 더 높은 결함 크기를 갖는 핫스폿(또는 핫스폿 패턴)에 할당될 수 있고, 랭킹 알고리즘은 결함 크기가 감소함에 따라 더 낮은 랭크를 다른 핫스폿들에 점진적으로 할당할 수 있다. 일 실시예에서, 랭킹은 랭킹되는 핫스폿에 대응하는 결함 발생의 확률 또는 결함들의 총 수에 기초할 수 있다. 일 실시예에서, 랭킹 알고리즘(예를 들어, 도 14a 및 도 14b)은 패터닝 공정의 시뮬레이션 또는 공정 모델의 일부일 수 있고, 이에 의해 시뮬레이션 결과는 그 랭킹과 함께 핫스폿 패턴들일 수 있다.
일 실시예에서, 랭킹(712)은 아래에서 도 11을 참조하여 논의되는 바와 같은 시뮬레이션된 패턴에 기초하여 랭킹을 예측하도록 구성되는 또 다른 기계 학습 모델(1140)에 기초하여 결정될 수 있다.
일 실시예에서, 상기 방법(700)은 프로세스 P714에서, 복수의 시뮬레이션된 패턴들의 랭킹에 기초하여 프린트된 기판(713)의 메트롤로지를 위한 샘플링 계획(714)을 결정하는 단계를 더 포함할 수 있다. 샘플링 계획(714)의 결정은 특정 핫스폿에서 수행되어야 하는 측정들의 수 및/또는 위치를 식별한다. 일 실시예에서, 샘플링 계획은 핫스폿들의 랭킹에 기초한다. 예를 들어, 가장 높게 랭킹된 핫스폿(예를 들어, 랭크 1)은 측정들이 이러한 위치에서 발견될 수 있는 결함들을 나타낼 수 있기 때문에, 결함들이 누락되지 않도록 비교적 많은 수의 측정들로 먼저 샘플링될 수 있다. 유사하게, 가장 높게 랭킹된 핫스폿에 비해 상대적으로 더 낮게 랭킹된 핫스폿은 샘플링될 핫스폿들의 시퀀스에서 나중에 샘플링될 수 있다.
상기 방법(700)은 프로세스 P716에서, 프로세서를 통해, 핫스폿들의 랭킹(712)에 기초하여 프린트된 기판 상의 측정 데이터를 수집하도록 검사 장치를 안내하는 단계를 더 포함할 수 있다. 예를 들어, 검사 장치(예를 들어, 도 19 및 도 20의 e-빔 툴)는 최고 랭킹을 갖는 핫스폿으로부터 시작하여 최저 랭킹을 갖는 핫스폿으로의 시퀀스로 지향될 수 있다. 일 실시예에서, 핫스폿들의 랭킹(712)에 추가하여, 가이딩(또는 관련된 샘플링 계획)은 상이한 핫스폿들 사이의 이동 시간 및 측정 데이터를 최적화하는 상이한 파라미터들(예를 들어, 특정 위치에서 수행될 측정들의 수, 상이한 핫스폿들 사이의 거리 등)에 기초할 수 있다. 본 발명은 특정 샘플링 계획 결정 알고리즘 또는 가이딩 접근법에 제한되지 않으며, 여하한의 알고리즘이 샘플링 계획 또는 검사 장치의 가이딩을 결정하기 위해 랭킹(712)을 수신하도록 구성될 수 있다.
또한, 검사로부터의 측정 데이터에도 기초하여, 프로세스 P718에서, 프린트된 기판 상의 결함들이 결정될 수 있다. 일 실시예에서, 여하한의 기존 결함 검출 알고리즘이 결함들을 결정하기 위해 채택될 수 있다. 예를 들어, 결함 검출은 이미지 처리 및 피처 크기의 사양들(예를 들어, CD 값들과 관련된 사양)과 관련된 체크들을 수반할 수 있다.
도 10a 및 도 10b는 트레이닝된 기계 학습 모델(640)로부터의 피드백[예를 들어, 조정된 특성(704)]으로부터 발생하는 랭킹의 예시적인 개선을 나타낸다. 도 10a는 패터닝 공정 모델들을 사용하는 것과 같은 전산 리소그래피 시뮬레이션의 기존 결과들에 기초한 핫스폿들의 랭킹(1003)(x-축)을 예시한다. 나타낸 바와 같이, 랭킹(1003)은 더 높게 랭킹된 핫스폿들이 더 적은 수의 결함을 포착하는 한편, 낮게 랭킹된 핫스폿이 더 높은 수의 결함들을 나타내기 때문에 부정확하다. 또한, 90 %보다 많은 결함들을 포착하기 위해, 포착율 곡선(1010)에 의해 나타낸 바와 같이, 대략 300 개의 핫스폿들이 측정되어야 한다.
반면에, 도 10b에 나타낸 바와 같이, 핫스폿들의 랭킹(1004)은 더 정확하다. 이러한 랭킹은 앞서 논의된 바와 같이 시뮬레이션된 패턴들의 조정된 특성에 기초할 수 있다. 핫스폿들의 랭킹(1004)(x-축)은 (예를 들어, 1과 50 사이에서) 상대적으로 더 높게 랭킹된 핫스폿들이 프린트된 기판 상에서 발생할 가능성이 있는 대부분의 결함들(좌측의 y-축)을 포착하도록 이루어진다. 나타낸 바와 같이, 곡선(1020)은 포착율(우측의 y-축)을 나타낸다. 포착율 곡선(1020)은 결함들의 총 수의 대략 90%가 처음 50 개의 랭킹된 핫스폿들에 의해 포착됨을 나타낸다. 따라서, 상기 방법(700)에 기초한 랭킹은, 대략 90 % 결함들을 포착하기 위해 대략 300 개의 핫스폿들이 평가되어야 하는 도 10a에 나타낸 바와 같은 부정확한 랭킹에 비해 개선된 랭킹이다. 예를 들어, 50 개의 핫스폿들에 대한 측정 시간은 유사한 수의 결함들을 포착하기 위해 300 개의 핫스폿들을 측정하는 것보다 실질적으로 더 적을 것이다.
일 실시예에서, 도 11을 참조하면, 패터닝 공정의 시뮬레이션된 패턴들의 세트의 랭킹을 예측하도록 구성되는 또 다른 기계 학습 모델(1110)을 트레이닝하는 방법의 흐름도가 제공된다. 기계 학습 모델(1110)은 입력으로서 패터닝 공정 조건들(예를 들어, 보썽 곡선, FEM 등) 하에서의 시뮬레이션된 패턴들(예를 들어, MI/AI/RI) 또는 특성(예를 들어, CD)을 수신하고, 출력으로서 시뮬레이션된 패턴과 연계된 랭킹 또는 결함 수를 예측하도록 구성된다. 일 실시예에서, 기계 학습 모델(1110)은 CNN, 회귀 모델 또는 CNN에 비해 비교적 적은 수의 파라미터들을 갖는 분류 모델일 수 있다. 트레이닝 프로세스 후, (예를 들어, 공정 모델들의 시뮬레이션을 통해 얻어지는) 시뮬레이션된 패턴들 또는 (예를 들어, 도 7의 방법으로부터 얻어지는) 보정된 시뮬레이션된 패턴들의 세트의 적어도 하나의 시뮬레이션된 패턴을 랭킹하도록 구성되는 트레이닝된 기계 학습 모델(1140)이 생성된다.
상기 방법(1100)은 프로세스 P1102에서, (ⅰ) 마스크 패턴들의 세트에 대응하는 시뮬레이션된 패턴들의 세트(1101)(예를 들어, 601 또는 702의 인스턴스들), (ⅱ) 마스크 패턴들의 세트를 사용하여 기판 상에 이미징되는 프린트된 패턴들의 세트의 결함 데이터(1103), 및 (ⅲ) 마스크 패턴들의 세트를 사용하여 이미징되는 기판과 연계된 샘플링 계획(1105)을 얻는 단계를 수반한다.
일 실시예에서, 시뮬레이션된 패턴들의 세트(1101)를 얻는 것은 마스크 패턴들의 세트 내의 마스크 패턴 각각을 사용하여 (예를 들어, 도 22에서 논의되는 바와 같은) 패터닝 공정 모델을 시뮬레이션하는 것, 및 시뮬레이션 결과들로부터, 기판 상에 이미징될 때 실패할 가능성이 있는 시뮬레이션된 패턴들의 세트(1101)를 식별하는 것을 수반한다. 따라서, 시뮬레이션된 패턴들의 세트(1101)는 핫스폿 패턴들의 세트에 대응한다. 일 실시예에서, 시뮬레이션된 패턴들의 세트(1101)는 리소그래피 제조성 체크를 충족시키지 않는 시뮬레이션된 패턴을 포함한다.
일 실시예에서, [예를 들어, 검사 장치(예를 들어, 도 15 내지 도 20)를 통해 얻어지는] 결함 데이터는 프린트된 기판 상에서 실패한(예를 들어, 사양을 벗어난) 피처들을 포함한다. 일 실시예에서, 프린트된 기판의 SEM 이미지를 분석함으로써 결함이 식별될 수 있다. 따라서, 일 실시예에서, 특정 패턴(예를 들어, 핫스폿)과 연계된 결함들의 총 수(즉, 결함 수)가 결정될 수 있고, 또한 결함 수는 특정 패턴(예를 들어, 핫스폿)의 랭킹과 상관하는 데 사용될 수 있다.
일 실시예에서, 결함 데이터(1103)는 프린트된 기판 상의 1 이상의 패턴과 연계된 결함 수이다. 일 실시예에서, 결함 데이터(1103)는 프린트된 기판 상의 1 이상의 패턴과 연계된 임계 치수의 값 또는 값들의 범위이다. 일 실시예에서, 결함 데이터(1103)는 프린트된 기판의 이미지로부터 추출되고, 여기서 이미지는 스캐닝 전자 빔 이미지 및/또는 광학 메트롤로지 이미지이다.
일 실시예에서, 프린트된 기판으로부터의 결함 데이터(1103)는 메트롤로지 툴(예를 들어, 도 15 내지 도 20)을 통해 얻어진다. 일 실시예에서, 프린트된 기판은 메트롤로지 툴들(예를 들어, SEM)을 사용하여 측정될 수 있고, 패터닝 공정의 파라미터들이 측정들로부터 추출될 수 있다. 예를 들어, 패턴들의 윤곽들은 SEM으로부터의 SEM 이미지로부터 추출될 수 있고, 윤곽들은 원하는 윤곽 또는 디자인 사양에 대해 체크될 수 있다. 윤곽들이 디자인 사양을 충족시키지 않는 경우, 윤곽은 결함으로서 플래깅(flag)된다. 일 실시예에서, 결함에 기초한 결함 메트릭이 핫스폿의 특성(예를 들어, 심각도 또는 임계도)을 정량화하기 위해, 및/또는 핫스폿들의 랭킹을 위해 정의될 수 있다. 일 실시예에서, 결함 메트릭은 결함있는 피처와 관련된 여하한의 메트릭이다. 일 실시예에서, 결함있는 피처는 패터닝 공정의 파라미터의 원하는 임계값(예를 들어, CD < 30 nm 또는 CD > 30)을 돌파(예를 들어, 초과)하는 피처이다.
일 실시예에서, 결함 메트릭은 결함 크기, 결함 발생 확률, 특정 핫스폿에 관련된 결함 수, 또는 다른 결함 관련 메트릭일 수 있다. 결함 크기가 결함있는 피처에 관련된 공정 파라미터(예를 들어, CD)의 값 또는 임계값에 대한 공정 파라미터의 값 사이의 차이로서 정의될 수 있다. 예를 들어, 바아에 대한 CD 임계치는 30 nm일 수 있고, 노광된 웨이퍼 상의 바아의 CD는 25 nm일 수 있으며, 이때 결함 크기는 25 nm 또는 CD 차이, 즉 5 nm일 수 있다. 따라서, 일 실시예에서, 핫스폿 패턴에 관련된 결함 크기는 핫스폿의 심각도 또는 임계도를 결정하는 데 사용될 수 있다. 일 실시예에서, 결함 크기와 임계값 사이의 차이가 클수록, 핫스폿의 심각도가 더 높고, 그 역도 마찬가지이다.
일 실시예에서, 결함 메트릭은 특정 결함 타입(예를 들어, 라인 풀백, 홀 폐쇄, 홀 누락 등)의 결함들의 총 수로서 정의되는 결함 수일 수 있다. 예를 들어, 결함들의 총 수는 특정 타입의 결함을 계수함으로써 얻어진 정수일 수 있다.
일 실시예에서, 샘플링 계획(1105)은 측정들이 수행되는 프린트된 기판 상의 위치들의 세트 -위치들의 세트는 시뮬레이션된 패턴들의 세트(1101)의 초기 랭킹에 기초함- ; 및/또는 시뮬레이션된 패턴들의 세트(1101)의 초기 랭킹에 기초한 위치들의 세트의 1 이상의 위치에서 수행될 측정들의 수를 포함한다.
상기 방법(1100)은 프로세스 P1104에서, 프로세서[예를 들어, 프로세서(104)]를 통해, 기계 학습 모델(1110)이 결함 데이터(1103)에 기초한 기준 랭킹과 매칭하는 시뮬레이션된 패턴들의 세트(1101)의 랭킹을 예측하도록 구성되도록 결함 데이터(1103) 및 샘플링 계획(1105)에 기초하여 기계 학습 모델(1110)을 트레이닝하는 단계를 수반한다. 기준 랭킹은 프린트된 기판으로부터의 실제 측정된 결함 데이터에 기초하기 때문에 진정한 랭킹으로 간주된다. 트레이닝 프로세스 후, 여하한의 시뮬레이션된 패턴들의 세트(1101)(예를 들어, 601 또는 702의 인스턴스들)의 랭킹(1142)을 예측할 수 있는 트레이닝된 기계 학습 모델(1140)이 얻어진다. 이러한 랭킹은 트레이닝된 기계 학습 모델이 프린트된 기판으로부터 얻어진 실제 데이터에 기초하여 조정된 모델 파라미터들을 포함함에 따라 더 정확할 것이다.
일 실시예에서, 트레이닝 단계는 반복 프로세스이다. 트레이닝 프로세스는 기계 학습 모델(1110)을 통해, 샘플링 계획(1105) 및 결함 데이터(1103)를 입력으로서 사용하여 시뮬레이션된 패턴들의 세트(1101)의 랭킹을 예측하는 것; 결함 데이터(1103)에 기초한 기준 랭킹과 예측된 랭킹 사이의 차이를 결정하는 것; 및 랭킹들 사이의 차이가 감소되도록 기계 학습 모델의 모델 파라미터 값들을 조정하는 것을 수반한다.
상기 방법(1100)은 프로세스 P1106에서, 시뮬레이션된 패턴들의 세트(1101) 및 시뮬레이션된 패턴들의 세트(1101)의 초기 랭킹을 수신하는 단계; 트레이닝된 기계 학습 모델(1140)을 통해, 시뮬레이션된 패턴들의 세트(1101)의 랭킹(1142)을 예측하는 단계; 및 프로세서를 통해, 시뮬레이션된 패턴들의 세트(1101)의 예측된 랭킹(1142)에 기초하여 샘플링 계획(1105)을 조정하는 단계를 수반한다.
일 실시예에서, 샘플링 계획(1105)의 조정은 측정될 위치들의 세트의 위치, 및/또는 측정될 위치들의 세트의 1 이상의 위치에서 수행될 측정들의 수를 조정하는 것을 수반한다. 일 실시예에서, 위치들의 세트의 위치를 조정하는 것은 상대적으로 낮게 랭킹된 시뮬레이션된 패턴에 대응하는 위치들의 세트로부터의 위치를 생략하는 것, 및/또는 상대적으로 높게 랭킹된 시뮬레이션된 패턴에 대응하여 위치들의 세트에 새로운 위치를 추가하는 것을 수반한다. 일 실시예에서, 위치들의 세트의 위치를 조정하는 것은 위치들의 서브세트의 위치에서 수행될 측정들의 수를 증가 및/또는 감소시키는 것을 포함한다.
상기 방법(1100)은 프로세스 P1108(도 7의 프로세스 P716과 유사함)에서, 프로세서를 통해, 시뮬레이션된 패턴들의 세트의 예측된 랭킹(1042)에 기초하여 프린트된 기판 상의 측정 데이터를 수집하도록 검사 장치를 안내하는 단계, 및 프로세서를 통해, 측정 데이터에 기초하여 프린트된 기판 상의 결함들을 결정하는 단계를 수반한다.
일 실시예에서, 도 12를 참조하면, 제 1 트레이닝된 기계 학습 모델(640) 및 제 2 트레이닝된 기계 학습 모델(1140)에 기초하여 패터닝 공정의 시뮬레이션된 패턴들의 세트의 랭킹을 결정하는 또 다른 방법(1200)이 제공된다. 일 실시예에서, 예를 들어 핫스폿들을 포함하는 패턴들의 세트의 랭킹은, 시뮬레이션된 패턴의 개선된 특성 및 개선된 특성들에 기초한 추가 랭킹이 이루어지도록 두 트레이닝된 모델들(640 및 1140)이 조합되는 경우에 더 개선될 수 있다.
상기 방법(1200)은 프로세스 P1202에서, 제 1 기계 학습 모델(예를 들어, 640)을 통해, 시뮬레이션된 패턴들의 세트 내의 적어도 하나의 시뮬레이션된 패턴의 특성을 조정하는 단계를 수반한다. 일 실시예에서, 적어도 하나의 시뮬레이션된 패턴 또는 프린트된 패턴의 특성은 시뮬레이션된 패턴들의 세트의 적어도 하나의 시뮬레이션된 패턴의 피처의 임계 치수이다. 일 실시예에서, 특성의 조정은 시뮬레이션된 패턴들의 적어도 하나의 시뮬레이션된 패턴의 시뮬레이션된 특성에 대한 특성 조정 값(예를 들어, ΔCD)을 결정하는 것을 수반한다. 일 실시예에서, 제 1 트레이닝된 기계 학습 모델(640)은 시뮬레이션된 패턴들 및 프린트된 기판으로부터의 측정된 데이터에 기초하여 트레이닝되고, 따라서 제 1 트레이닝된 기계 학습 모델(640)은 입력으로서 수신된 여하한의 시뮬레이션된 패턴에 대해 이러한 조정 값들을 예측하도록 구성된다.
상기 방법(1200)은 프로세스 P1204에서, 프로세서를 통해, 적어도 하나의 시뮬레이션된 패턴의 조정된 특성에 기초하여 시뮬레이션된 패턴들의 서브세트(1204)를 결정하는 단계를 수반한다. 일 실시예에서, 시뮬레이션된 패턴들의 서브세트를 결정하는 단계는 적어도 하나의 시뮬레이션된 패턴의 조정된 특성이 리소그래피 제조성 체크를 충족시키는지 여부를 결정하는 단계; 및 리소그래피 제조성 체크를 충족시키지 않는 것에 응답하여, 시뮬레이션된 패턴들의 서브세트 내에 적어도 하나의 시뮬레이션된 패턴을 포함하는 단계를 수반한다. 예를 들어, 시뮬레이션된 패턴의 초기 세트는 200,000 개의 핫스폿들을 포함할 수 있는 한편, 시뮬레이션된 패턴들의 특성을 조정한 후, 1 이상의 시뮬레이션된 패턴이 LMC 체크를 만족시킬 수 있고, 이에 의해 더 이상 핫스폿으로서 자격이 없을 수 있다. 따라서, LMC를 만족시키는 이러한 1 이상의 시뮬레이션된 패턴은 200,000 개의 핫스폿들로부터 제거될 수 있고, 예를 들어 2000 개의 핫스폿들의 서브세트가 얻어질 수 있다. 전형적으로, 200,000 개의 핫스폿들 중 몇몇은 검사 툴 시간의 낭비를 초래하는 성가신 것들이다. 따라서, 이러한 감소된 수의 핫스폿들에 기초한 랭킹이 더 적은 시간을 필요로 하고 개선된 측정 시간뿐만 아니라 더 우수한 포착율로 인한 개선된 수율을 유도함에 따라 바람직하다.
상기 방법(1200)은 프로세스 P1206에서, 제 2 기계 학습 모델(1140)을 통해, 시뮬레이션된 패턴들의 서브세트(1204) 내의 적어도 하나의 시뮬레이션된 패턴의 랭킹(1206)을 결정하는 단계를 수반한다.
도 13은 기계 학습 모델(1140) 및 연계된 결함 수에 기초하여 얻어지는 예시적인 랭킹을 나타낸다. 예를 들어, 나타낸 바와 같이, 핫스폿들의 랭킹(1304)(x-축)은 상대적으로 더 높게(예를 들어, 1과 50 사이에) 랭킹된 핫스폿들이 프린트된 기판 상에서 발생할 가능성이 있는 대부분의 결함들(좌측의 y-축)을 포착하도록 이루어진다. 일 실시예에서, 곡선(1310)은 포착율(우측의 y-축)을 나타낸다. 포착율 곡선(1310)은 결함들의 총 수의 대략 90%가 처음 50 개의 랭킹된 핫스폿들에 의해 포착됨을 나타낸다. 따라서, 상기 방법(1200)에 기초한 랭킹은, 대략 90 % 결함들을 포착하기 위해 대략 300 개의 핫스폿들이 평가되어야 하는 도 10a에 나타낸 바와 같은 부정확한 랭킹에 비해 더 우수한 랭킹을 입증하였다. 예를 들어, 50 개의 핫스폿들에 대한 측정 시간은 유사한 수의 결함들을 포착하기 위해 300 개의 핫스폿들을 측정하는 것보다 실질적으로 더 적을 것이다.
도 12를 다시 참조하면, 상기 방법(1200)은 프로세스 P1208에서, 프로세서를 통해, 시뮬레이션된 패턴들의 서브세트 내의 적어도 하나의 시뮬레이션된 패턴의 랭킹에 기초하여 프린트된 기판 상의 측정 데이터를 수집하도록 검사 장치를 안내하는 단계; 및 프로세서를 통해, 측정 데이터에 기초하여 프린트된 기판 상의 결함들을 결정하는 단계를 더 수반한다.
상기 방법들은 여러 이점들을 제공한다. 예를 들어, 기계 학습 모델들 또는 트레이닝된 기계 학습 모델들(예를 들어, 640, 1140)은 생산 OPC 모델의 변경들을 요구하지 않고, 전산 리소그래피 제품(예컨대, LMC를 채택하는 타키온) 내에서 채택될 수 있다. 이러한 기계 학습 모델들을 채택하는 것이 베이스라인 시프트를 발생시키지 않고, 툴 가용성 시간을 극적으로 개선한다. 예를 들어, 베이스라인은 패터닝 공정를 위해 채택될 자격 있는 베이스라인(예를 들어, 모델, 레시피들 등)을 수반하고, 이러한 베이스라인에 대한 변경들은 통상적으로 이러한 모델 및 레시피들의 재-자격부여(re-qualification)를 수반하며, 이는 재-자격부여 과정이 상당한 노력을 요구하고 시간 소모적이기 때문에 바람직하지 않다. 예를 들어, 베이스라인 OPC는 기존의 패터닝 공정 모델을 사용하여 확립될 수 있다. 기존의 공정 모델은 완벽하지 않지만, 이러한 OPC를 사용하여 OPC 및 관련된 패터닝 공정 검증들(예를 들어, 패터닝 공정 레시피들)을 결정하는 것이 상당한 노력을 수반한다.
기계 학습 모델(1140)은 핫스폿 심각도 및 실제 결함이 될 가능성을 더 정확하게 랭킹한다. 이는, 모델이 시간에 걸쳐 이러한 인자들을 "학습"할 것이기 때문에, 결함들에 대한 모든 기여 인자들의 특정 사전 지식을 필요로 하지 않는다. 기계 학습 모델들(예를 들어, 640 및 1140)은 둘 다 적응적이어서, LMC로 하여금 더 많은 디자인들이 생산을 통과하고 더 많은 측정 피드백들이 프린트된 기판들로부터 제공됨에 따라 시간이 지남에 따라 점점 더 정확해지게 한다.
반면에, 고전적인 접근법은 LMC 능력에 대해 공정 모델들(예를 들어, OPC)을 재-캘리브레이션하는 것이다. 하지만, 이러한 접근법은 여러 단점들을 갖는다: 모델 캘리브레이션은 PFM 사용자들에게 실용적이지 않은, 특정 기술 요건을 갖는 시간-소모적인 운동이다. 프린트된 웨이퍼들로부터의 피드백이 정기적으로 올 수 있지만, 정기적으로 모델을 재캘리브레이션하는 것은 실용적이지 않다. 공정 모델을 재캘리브레이션하는 것은 바람직하지 않을 수 있는 베이스라인 시프트를 필요로 할 것이다.
도 14a 및 도 14b는 핫스폿들의 랭킹을 결정하기 위한 랭킹 알고리즘의 일 예시를 나타낸다. 일 실시예에서, 예시적인 랭킹 알고리즘은 결함 크기와 패터닝 공정의 파라미터 사이의 관계를 정의하는 보썽 플롯(1050)에 기초한다. 예를 들어, 랭킹은 결함 크기(예를 들어, 임계치에 대한 CD 값들 또는 CD 차이)와 포커스 값들 사이의 관계에 기초한다. 결함 관계는 패턴의 타입(예를 들어, 상이한 핫스폿들)에 의존한다. 따라서, 상이한 핫스폿들은 포커스 값들의 변화에 대해 상이한 결함 거동을 나타낼 수 있다. 일 실시예에서, 포커스가 증가함에 따라[예를 들어, 플롯(1050)의 x-축을 따라 좌측에서 우측으로), 결함 크기는 감소한다(예를 들어, 1052 또는 1053 참조). 예를 들어, 제 1 핫스폿에 대해, 제 1 관계(1052)는 포커스 값이 변함에 따라 결함 발생의 확률의 역을 나타낼 수 있다. 유사하게, 제 2 핫스폿에 대해, 제 2 관계(1053)는 포커스 값이 변함에 따라 결함 발생의 확률의 역을 나타낼 수 있다. 일 실시예에서, 제 2 관계(1053)는 공정 윈도우에 대한 최대 제한 조건에 대응할 수 있다. 다시 말하면, 제 2 핫스폿은 예를 들어 CD 값들 및 대응하는 도즈/포커스 값들의 외부 한계들에 제약들을 부과한다. 이러한 제 2 핫스폿이 결함의 가장 높은 확률을 유도할 수 있고, 이에 따라 가장 높게 랭킹될 수 있다.
전형적으로, 기판 제조 동안, 포커스 값들은 변동하여 기판 상에 프린트된 CD의 변동을 유도한다. 따라서, 일 실시예에서, 포커스 값의 확률 분포(1051)가 특정 핫스폿에 대해 결함 크기가 연산될 수 있는 범위를 정의하기 위해 사용될 수 있다. 일 실시예에서, 확률 분포(1051)는 선택된 범위 내의 가우시안 분포, 즉 정규화된 분포일 수 있다. 일 실시예에서, 선택된 범위는 경계 값들 f+ 및 f++Δf로서 정의되는 양의 포커스 범위에 대응할 수 있고; 여기서, f+는 하한이고, f++Δf는 상한이다. 그 후, 랭킹 알고리즘은 선택된 양의 포커스 범위(예를 들어, 1055) 내에서 결함 크기를 결정할 수 있다. 예를 들어, 관계(예를 들어, 1052 또는 1053)에 기초하여, 결함 크기는 포커스 범위(1055) 내에서 연산될 수 있다.
앞서 언급된 바와 같이, 일 실시예에서, 랭킹은 결함 임계치(예를 들어, 최소 허용가능한 CD 한계)에 대한 결함 크기에 기초하여 연산될 수 있다. 일 실시예에서, 결함 임계치는 원하는 수율(예를 들어, 99.9 %)에 기초할 수 있다. 예를 들어, 결함 크기는 도 14b에 예시된 바와 같이, 결함 임계치(1060)에 대해 연산될 수 있다.
일 실시예에서, 핫스폿의 결함 크기는 핫스폿에 대한 결함 발생과 결함 임계치 사이의 차이 및 포커스 값 확률의 곱(product)의 합으로서 정의될 수 있다. 예를 들어, 결함 크기는 다음의 수학식에 기초하여 연산될 수 있다:
ΔDFSZi = Σj(포커스 값 확률)j(DFSZij-Dth)
= A1×W1 + A2×W2 + ... + A10×W10
여기서, ΔDFSZi는 포커스 불확실성 범위에서 프린트할 가능성이 가장 높은 i-번째 핫스폿 Hi에 대한 예상된 결함 크기이고; DFSZij는 j-번째 포커스 값에서의 i-번째 핫스폿에 대한 결함 크기이며; Dth는 결함 임계치이다. 또한, 도 14b에 예시된 바와 같이, 값들 A1 내지 A10은 결함 관계(예를 들어, 1052)와 결함 임계치 사이의 차이일 수 있고, W1 내지 W10은 예를 들어 선택된 포커스 범위 내에서의 정규화된 포커스 값들로부터 연산된 포커스 값들의 확률일 수 있다. 일 예시에서, A1 내지 A7 > 0, A8 내지 A10 < 0, 및 W1 > W2 > W3 ... > W10이다.
앞선 수학식들에 기초하여, 핫스폿들의 세트(예를 들어, 4001 또는 5001)의 1 이상의 핫스폿에 대한 결함 크기가 결정될 수 있다. 또한, 최고 결함 크기를 갖는 핫스폿에 최고 랭크(예를 들어, 랭크 1)가 할당될 수 있다. 예를 들어, (1053에 대응하는) 제 2 핫스폿에 랭크 1이 할당될 수 있고, 제 1 핫스폿에 랭크 2가 할당될 수 있으며, 제 3 핫스폿에 랭크 3이 할당될 수 있는 식이다. 일 실시예에 따르면, 랭킹은 포커스 값들 및 결함 관계(예를 들어, 1052 및 1053)의 정확성에 의존한다. 이러한 것으로서, 관계가 변화하는 경우, 랭킹이 변화할 것이다. 일 실시예에서, 측정 피드백(예를 들어, 4008 또는 5004)에 기초한 포커스 및/또는 결함 관계의 (예를 들어, 방법 4000 또는 5000으로부터의) 조정된 값들은 핫스폿들의 정확한 랭킹을 유도할 수 있다. 예를 들어, 일 실시예에서, 보썽 곡선은 핫스폿들의 랭킹에 효과적으로 영향을 줄 수 있는 바이어스 함수(예를 들어, 도 9a)에 기초하여 조정될 수 있다.
도 15는 예시적인 검사 장치(예를 들어, 스케터로미터)를 도시한다. 이는 기판(W) 상으로 방사선을 투영하는 광대역(백색 광) 방사선 투영기(2)를 포함한다. 전향(redirect)된 방사선은, 예를 들어 좌측 하부의 그래프에 나타낸 바와 같은 정반사된 방사선(specular reflected radiation)의 스펙트럼(10)(파장의 함수로서 세기)을 측정하는 분광계 검출기(spectrometer detector: 4)로 통과된다. 이 데이터로부터, 검출된 스펙트럼을 야기하는 프로파일 또는 구조체가, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀(non-linear regression)에 의해, 또는 도 15의 우측 하단에 나타낸 바와 같은 시뮬레이션된 스펙트럼들의 라이브러리와 비교함으로써, 프로세서(PU)에 의해 재구성될 수 있다. 일반적으로, 재구성을 위해 구조체의 일반적인 형태가 알려지며, 구조체가 만들어진 공정의 정보로부터 일부 변수들이 가정되어, 측정된 데이터로부터 결정될 구조체의 몇몇 변수들만이 남게 된다. 이러한 검사 장치는 수직-입사(normal-incidence) 검사 장치 또는 경사-입사(oblique-incidence) 검사 장치로서 구성될 수 있다.
사용될 수 있는 또 다른 검사 장치가 도 16에 도시된다. 이 디바이스에서, 방사선 소스(2)에 의해 방출된 방사선은 렌즈 시스템(12)을 이용하여 평행하게 되고(collimate), 간섭 필터(interference filter: 13) 및 편광기(polarizer: 17)를 통해 투과되며, 부분 반사면(partially reflecting surface: 16)에 의해 반사되고, 바람직하게는 적어도 0.9 또는 적어도 0.95인 높은 개구수(NA)를 갖는 대물 렌즈(15)를 통해 기판(W) 상의 스폿(S)으로 포커스된다. (물과 같은 비교적 높은 굴절률의 유체를 이용하는) 침지 검사 장치는 심지어 1이 넘는 개구수를 가질 수도 있다.
리소그래피 장치(LA)에서와 같이, 측정 작업들 동안 기판(W)을 유지하기 위해 1 이상의 기판 테이블이 제공될 수 있다. 기판 테이블들은 도 1의 기판 테이블(WT)의 형태와 유사하거나 동일할 수 있다. 검사 장치가 리소그래피 장치와 통합되는 일 예시에서, 이들은 심지어 동일한 기판 테이블일 수 있다. 개략 및 미세 위치설정기들이 측정 광학 시스템에 관하여 기판을 정확히 위치시키도록 구성되는 제 2 위치설정기(PW)에 제공될 수 있다. 예를 들어, 관심 타겟의 위치를 획득하기 위해, 및 이를 대물 렌즈(15) 아래의 위치로 가져오기 위해 다양한 센서들 및 액추에이터들이 제공된다. 통상적으로, 기판(W)에 걸친 상이한 위치들에서 타겟들에 대해 많은 측정이 수행될 것이다. 기판 지지체는 상이한 타겟들을 획득하기 위해 X 및 Y 방향들로, 및 광학 시스템의 포커스에 대한 타겟의 원하는 위치를 얻기 위해 Z 방향으로 이동될 수 있다. 예를 들어, 실제로는 광학 시스템이 (통상적으로는 X 및 Y 방향들에서, 하지만 아마도 Z 방향에서도) 실질적으로 정지 상태로 유지될 수 있고 기판만이 이동하는 경우에, 대물 렌즈가 기판에 대해 상이한 위치들로 옮겨지고 있는 것처럼 작동들을 생각하고 설명하는 것이 편리하다. 기판 및 광학 시스템의 상대 위치가 올바르다면, 원칙적으로 현실에서 이들 중 어느 것이 이동하고 있는지, 또는 둘 다 이동하고 있는지, 또는 광학 시스템의 일부의 조합이 (예를 들어, Z 및/또는 기울기 방향에서) 이동하고 광학 시스템의 나머지는 정지상태이며, 기판이 (예를 들어, X 및 Y 방향들에서, 하지만 선택적으로 Z 및/또는 기울기 방향에서도) 이동하고 있는지는 중요하지 않다.
그 후, 기판(W)에 의해 전향된 방사선은 스펙트럼이 검출되게 하기 위해서, 부분 반사면(16)을 통해 검출기(18)로 전달된다. 검출기(18)는 배면-투영된 초점면(11)에[즉, 렌즈 시스템(15)의 초점 길이에] 위치될 수 있으며, 또는 평면(11)이 보조 광학기(도시되지 않음)를 이용하여 검출기(18) 상에 재-이미징(re-image)될 수 있다. 검출기는 기판 타겟(30)의 2-차원 각도 산란 스펙트럼이 측정될 수 있도록 2-차원 검출기일 수 있다. 검출기(18)는, 예를 들어 CCD 또는 CMOS 센서들의 어레이일 수 있으며, 예를 들어 프레임당 40 밀리초의 통합 시간(integration time)을 사용할 수 있다.
예를 들어, 입사 방사선의 세기를 측정하기 위해 기준 빔이 사용될 수 있다. 이를 위해, 방사선 빔이 부분 반사면(16)에 입사하는 경우, 그 일부분이 부분 반사면(16)을 통해 투과되어 기준 빔으로서 기준 거울(14)을 향한다. 그 후, 기준 빔은 동일한 검출기(18)의 상이한 부분 상으로, 또는 대안적으로 상이한 검출기(도시되지 않음) 상으로 투영된다.
가령 405 내지 790 nm의 범위, 또는 200 내지 300 nm와 같이 훨씬 낮은 범위에서 관심 파장을 선택하기 위해, 1 이상의 간섭 필터(13)가 이용될 수 있다. 간섭 필터는 상이한 필터들의 일 세트를 포함하기보다는 튜닝가능(tunable)할 수 있다. 간섭 필터 대신에, 격자가 사용될 수 있다. 타겟에 대한 방사선의 입사 각도의 범위를 제어하기 위해 조명 경로에 어퍼처 스톱(aperture stop) 또는 공간 광 변조기(도시되지 않음)가 제공될 수 있다.
검출기(18)는 단파장(또는 협파장 범위)에서의 전향된 방사선의 세기, 다수 파장들에서의 별도 세기, 또는 파장 범위에 걸쳐 통합된 세기를 측정할 수 있다. 또한, 검출기는 횡자기(transverse magnetic)- 및 횡전기(transverse electric)-편광 방사선의 세기, 및/또는 횡자기- 및 횡전기-편광 방사선 간의 위상차를 별도로 측정할 수 있다.
기판(W) 상의 타겟(30)은 현상 이후에 바아들이 솔리드 레지스트 라인들로 형성되도록 프린트되는 1-D 격자일 수 있다. 타겟(30)은 현상 이후에 격자가 레지스트에서 솔리드 레지스트 필라들 또는 비아들로 형성되도록 프린트되는 2-D 격자일 수 있다. 바아, 필라 또는 비아는 기판 안으로, 또는 기판 상에(예를 들어, 기판 상의 1 이상의 층 안으로) 에칭될 수 있다. (예를 들어, 바아, 필라 또는 비아의) 패턴은 패터닝 공정에서의 처리의 변화[예를 들어, 리소그래피 투영 장치(특히, 투영 시스템(PS))의 광학 수차, 포커스 변화, 도즈 변화 등]에 민감하며, 프린트된 격자의 변동에서 드러날 것이다. 따라서, 프린트된 격자의 측정된 데이터가 격자를 재구성하는 데 사용된다. 프린팅 단계 및/또는 다른 검사 공정들의 정보로부터, 라인 폭 및/또는 형상과 같은 1-D 격자의 1 이상의 파라미터, 또는 필라 또는 비아 폭 또는 길이 또는 형상과 같은 2-D 격자의 1 이상의 파라미터가 프로세서(PU)에 의해 수행되는 재구성 공정에 입력될 수 있다.
재구성에 의한 파라미터의 측정 이외에, 각도 분해 스케터로메트리(angle resolved scatterometry)가 제품 및/또는 레지스트 패턴들 내의 피처들의 비대칭 측정에 유용하다. 비대칭 측정의 특정 적용은 오버레이의 측정을 위한 것이며, 이때 타겟(30)은 서로 중첩된 주기적 피처들의 일 세트를 포함한다. 도 15 또는 도 16의 기구를 이용하는 비대칭 측정의 개념들은, 예를 들어 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US2006-066855에서 설명된다. 간단히 말하면, 타겟의 회절 스펙트럼에서의 회절 차수들의 위치들은 타겟의 주기성에 의해서만 결정되는 한편, 회절 스펙트럼에서의 비대칭이 타겟을 구성하는 개별적인 피처들에서의 비대칭을 나타낸다. 검출기(18)가 이미지 센서일 수 있는 도 16의 기구에서, 회절 차수들에서의 이러한 비대칭은 검출기(18)에 의해 기록되는 퓨필 이미지에서의 비대칭으로서 직접 나타난다. 이 비대칭이 유닛(PU)에서의 디지털 이미지 처리에 의해 측정되고, 오버레이의 알려진 값들에 대해 캘리브레이션될 수 있다.
도 17은 통상적인 타겟(30)의 평면도, 및 도 16의 장치에서의 조명 스폿(S)의 크기를 예시한다. 주위 구조체들로부터 간섭이 없는 회절 스펙트럼을 얻기 위해, 일 실시예에서 타겟(30)은 조명 스폿(S)의 폭(예를 들어, 직경)보다 큰 주기적 구조체(예를 들어, 격자)이다. 스폿(S)의 폭은 타겟의 폭과 길이보다 작을 수 있다. 다시 말하면, 타겟은 조명에 의해 '언더필링(underfill)'되고, 회절 신호는 본질적으로 타겟 자체 외부의 제품 피처들 등으로부터의 여하한의 신호들로부터 자유롭다. 조명 구성부(2, 12, 13, 17)는 대물렌즈(15)의 후초점면(back focal plane)에 걸쳐 균일한 세기의 조명을 제공하도록 구성될 수 있다. 대안적으로, 예를 들어 조명 경로에 어퍼처를 포함함으로써, 조명이 온액시스(on axis) 또는 오프액시스(off axis) 방향들로 제한될 수 있다.
도 18은 메트롤로지를 이용하여 얻어지는 측정 데이터에 기초한 타겟 패턴(30')의 1 이상의 관심 변수의 값의 결정의 예시적인 프로세스를 개략적으로 도시한다. 검출기(18)에 의해 검출된 방사선이 타겟(30')에 대한 측정된 방사선 분포(608)를 제공한다.
주어진 타겟(30')에 대해, 예를 들어 수치적 맥스웰 솔버(numerical Maxwell solver: 610)를 이용하여 파라미터화된 모델(606)로부터 방사선 분포(614)가 연산/시뮬레이션될 수 있다. 파라미터화된 모델(606)은 타겟을 구성하고 이와 연계되는 다양한 재료들의 예시적인 층들을 나타낸다. 파라미터화된 모델(606)은, 변동되고 도출될 수 있는 고려 중인 타겟의 부분의 피처들 및 층들에 대한 변수들 중 1 이상을 포함할 수 있다. 도 18에 나타낸 바와 같이, 변수들 중 1 이상은 1 이상의 층의 두께(t), 1 이상의 피처의 폭(w)(예를 들어, CD), 1 이상의 피처의 높이(h), 및/또는 1 이상의 피처의 측벽 각도(α)를 포함할 수 있다. 도시되지는 않지만, 변수들 중 1 이상은 층들 중 1 이상의 굴절률[예를 들어, 실수(real) 또는 복소(complex) 굴절률, 굴절률 텐서(tensor) 등], 1 이상의 층의 흡광 계수, 1 이상의 층의 흡수, 현상 시 레지스트 손실, 1 이상의 피처의 푸팅(footing), 및/또는 1 이상의 피처의 라인 에지 거칠기를 더 포함할 수 있으며, 이에 제한되지는 않는다. 변수들의 초기 값들은 측정되고 있는 타겟에 대해 기대되는 것들일 수 있다. 그 후, 측정된 방사선 분포(608)는 612에서 연산된 방사선 분포(614)와 비교되어, 둘 사이의 차이를 결정한다. 차이가 존재하는 경우, 파라미터화된 모델(606)의 변수들 중 1 이상의 값들은 변동될 수 있고, 측정된 방사선 분포(608)와 연산된 방사선 분포(614) 사이에 충분한 매칭이 존재할 때까지 새로운 연산된 방사선 분포(614)가 계산되고 측정된 방사선 분포(608)와 비교된다. 그 시점에, 파라미터화된 모델(606)의 변수들의 값들은 실제 타겟(30')의 지오메트리의 우수한 또는 최적 매칭을 제공한다. 일 실시예에서, 측정된 방사선 분포(608)와 연산된 방사선 분포(614) 사이의 차이가 공차 임계치 내에 있는 경우에 충분한 매칭이 존재한다.
도 19는 전자 빔 검사 장치(200)의 일 실시예를 개략적으로 도시한다. 전자 소스(201)로부터 방출되는 일차 전자 빔(202)이 집광 렌즈(203)에 의해 수렴된 후, 빔 디플렉터(204), E x B 디플렉터(205), 및 대물 렌즈(206)를 통과하여 포커스에서 기판 테이블(101) 상의 기판(100)을 조사한다.
기판(100)이 전자 빔(202)으로 조사될 때, 기판(100)으로부터 이차 전자들이 생성된다. 이차 전자들은 E x B 디플렉터(205)에 의해 편향되고 이차 전자 검출기(207)에 의해 검출된다. 예를 들어, X 또는 Y 방향 중 다른 방향에서의 기판 테이블(101)에 의한 기판(100)의 연속적인 이동과 함께, X 또는 Y 방향에서의 빔 디플렉터(204)에 의한 전자 빔(202)의 반복적인 스캐닝 또는 빔 디플렉터(204)에 의한 전자 빔의 2 차원 스캐닝과 동기화하여 샘플로부터 생성되는 전자들을 검출함으로써 2-차원 전자 빔 이미지가 얻어질 수 있다. 따라서, 일 실시예에서, 전자 빔 검사 장치는 전자 빔 검사 장치에 의해 전자 빔이 제공될 수 있는 각도 범위[예를 들어, 디플렉터(204)가 전자 빔(202)을 제공할 수 있는 각도 범위]에 의해 정의되는 전자 빔에 대한 시야(field of view)를 갖는다. 따라서, 시야의 공간 크기는 전자 빔의 각도 범위가 표면에 충돌할 수 있는 공간 크기이다(여기서, 표면은 고정될 수 있거나, 필드에 대해 이동할 수 있음).
이차 전자 검출기(207)에 의해 검출되는 신호는 아날로그/디지털(A/D) 변환기(208)에 의해 디지털 신호로 변환되고, 디지털 신호는 이미지 처리 시스템(300)으로 전송된다. 일 실시예에서, 이미지 처리 시스템(300)은 처리 유닛(304)에 의한 처리를 위해 디지털 이미지들의 전부 또는 일부를 저장하는 메모리(303)를 가질 수 있다. 처리 유닛(304)(예를 들어, 특별히 디자인된 하드웨어 또는 하드웨어 및 소프트웨어의 조합 또는 소프트웨어를 포함한 컴퓨터 판독가능한 매체)은 디지털 이미지들을 디지털 이미지들을 나타내는 데이터세트들로 변환하거나 처리하도록 구성된다. 일 실시예에서, 처리 유닛(304)은 본 명세서에 설명된 방법의 실행을 야기하도록 구성되거나 프로그램된다. 또한, 이미지 처리 시스템(300)은 참조 데이터베이스에 디지털 이미지들 및 대응하는 데이터세트들을 저장하도록 구성되는 저장 매체(301)를 가질 수 있다. 디스플레이 디바이스(302)가 이미지 처리 시스템(300)과 연결되어, 운영자가 그래픽 사용자 인터페이스의 도움으로 장비의 필요한 작동을 수행할 수 있도록 할 수 있다.
도 20은 검사 장치의 또 다른 실시예를 개략적으로 나타낸다. 시스템은 샘플 스테이지(89)에서 (기판과 같은) 샘플(90)을 검사하는 데 사용되며, 하전 입자 빔 생성기(81), 집광 렌즈 모듈(82), 프로브 형성 대물 렌즈 모듈(83), 하전 입자 빔 편향 모듈(84), 이차 하전 입자 검출기 모듈(85), 및 이미지 형성 모듈(86)을 포함한다.
하전 입자 빔 생성기(81)는 일차 하전 입자 빔(91)을 생성한다. 집광 렌즈 모듈(82)은 생성된 일차 하전 입자 빔(91)을 집광한다. 프로브 형성 대물 렌즈 모듈(83)은 집광된 일차 하전 입자 빔을 하전 입자 빔 프로브(92)로 포커스한다. 하전 입자 빔 편향 모듈(84)은 형성된 하전 입자 빔 프로브(92)를 샘플 스테이지(89)에 고정된 샘플(90) 상의 관심 영역의 표면에 걸쳐 스캔한다. 일 실시예에서, 하전 입자 빔 생성기(81), 집광 렌즈 모듈(82) 및 프로브 형성 대물 렌즈 모듈(83), 또는 이들의 동등한 디자인들, 대안예들 또는 여하한의 그 조합은 함께 스캐닝 하전 입자 빔 프로브(92)를 생성하는 하전 입자 빔 프로브 생성기를 형성한다.
이차 하전 입자 검출기 모듈(85)은 하전 입자 빔 프로브(92)에 의해 충격을 받을 때 (아마도 샘플 표면으로부터의 다른 반사되거나 산란된 하전 입자들과 함께) 샘플 표면으로부터 방출되는 이차 하전 입자들(93)을 검출하여, 이차 하전 입자 검출 신호(94)를 발생시킨다. 이미지 형성 모듈(86)(예를 들어, 컴퓨팅 디바이스)은 이차 하전 입자 검출기 모듈(85)과 커플링되어, 이차 하전 입자 검출기 모듈(85)로부터 이차 하전 입자 검출 신호(94)를 수신하고, 이에 따라 적어도 하나의 스캔 이미지를 형성한다. 일 실시예에서, 이차 하전 입자 검출기 모듈(85) 및 이미지 형성 모듈(86), 또는 이들의 동등한 디자인들, 대안예들 또는 여하한의 그 조합은 함께 하전 입자 빔 프로브(92)에 의해 충격을 받는 샘플(90)로부터 방출된 검출된 이차 하전 입자들로부터 스캔 이미지를 형성하는 이미지 형성 장치를 형성한다.
일 실시예에서, 모니터링 모듈(87)은 이미지 형성 장치의 이미지 형성 모듈(86)에 커플링되어, 이미지 형성 모듈(86)로부터 수신되는 샘플(90)의 스캔 이미지를 사용하여 패터닝 공정의 모니터링, 제어 등을 수행하고, 및/또는 패터닝 공정 디자인, 제어, 모니터링 등을 위한 파라미터를 도출한다. 따라서, 일 실시예에서, 모니터링 모듈(87)은 본 명세서에 설명된 방법의 실행을 야기하도록 구성되거나 프로그램된다. 일 실시예에서, 모니터링 모듈(87)은 컴퓨팅 디바이스를 포함한다. 일 실시예에서, 모니터링 모듈(87)은 여기에서 기능을 제공하고 모니터링 모듈(87)을 형성하거나 그 안에 배치되는 컴퓨터 판독가능한 매체 상에 인코딩되는 컴퓨터 프로그램을 포함한다.
일 실시예에서, 프로브를 사용하여 기판을 검사하는 도 19의 전자 빔 검사 툴과 같이, 도 20의 시스템의 전자 전류는 예를 들어 도 19에 도시된 바와 같은 CD SEM에 비해 상당히 더 크므로, 프로브 스폿이 충분히 커서 검사 속도가 빠를 수 있다. 하지만, 분해능은 큰 프로브 스폿으로 인해 CD SEM에 비해 높지 않을 수 있다. 일 실시예에서, (도 19 및 도 20에서) 앞서 논의된 검사 장치들은 본 발명의 범위를 제한하지 않고 단일 빔 또는 멀티-빔 장치일 수 있다.
예를 들어, 도 19 및/또는 도 20의 시스템으로부터의 SEM 이미지는 이미지에서 디바이스 구조체들을 나타내는 대상물들의 에지들을 설명하는 윤곽들을 추출하도록 처리될 수 있다. 그 후, 이 윤곽들은 통상적으로 사용자-정의 커트-라인에서 CD와 같은 메트릭을 통해 정량화된다. 따라서, 통상적으로 디바이스 구조체들의 이미지들은 추출된 윤곽들에서 측정되는 에지간 거리(CD) 또는 이미지들 간의 간단한 픽셀 차이들과 같은 메트릭을 통해 비교되고 정량화된다.
도 21은 푸팅(2402) 및 네킹(1412) 결함 타입들과 같은 예시적인 결함들을 나타낸다. 이들은 도즈/포커스와 같은 공정 변수의 소정 설정에 대해 관찰될 수 있다. 푸팅의 경우, 기판에서 풋(2404)을 제거하기 위해 탈-스커밍(de-scumming)이 수행될 수 있다. 네킹(2412)의 경우, 최상부 층(2414)을 제거함으로써 레지스트 두께가 감소될 수 있다. 일 실시예에서, 또 다른 랭킹 기준은 일부 핫스폿들로부터 발생하는 결함들이 패터닝-후 공정을 통해 조정가능한지의 여부일 수 있다. 예를 들어, 패터닝-후 공정을 통해 조정될 수 있고 다른 결함들보다 덜 빈번히 발생할 수 있는 결함들을 초래하는 핫스폿들이 상당히 더 낮게 랭킹될 수 있다.
패터닝 공정의 부분들을 모델링 및/또는 시뮬레이션하는 예시적인 흐름도가 도 22에 예시된다. 이해하는 바와 같이, 모델들은 상이한 패터닝 공정을 나타낼 수 있으며, 아래에서 설명되는 모델들을 모두 포함할 필요는 없다. 소스 모델(1200)이 패터닝 디바이스의 조명의 광학적 특성들(방사선 세기 분포, 대역폭 및/또는 위상 분포를 포함함)을 나타낸다. 소스 모델(1200)은 개구수 세팅들, 조명 시그마(σ) 세팅들 및 여하한의 특정 조명 형상(예를 들어, 환형, 쿼드러폴, 다이폴 등과 같은 오프-액시스 방사선 형상)을 포함 -이에 제한되지는 않음- 하는 조명의 광학적 특성들을 나타낼 수 있으며, 여기서 σ(또는 시그마)는 일루미네이터의 외반경 크기이다.
투영 광학기 모델(1210)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 투영 광학기 모델(1210)은 수차, 왜곡, 1 이상의 굴절률, 1 이상의 물리적 크기, 1 이상의 물리적 치수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다.
패터닝 디바이스/디자인 레이아웃 모델 모듈(1220)은 패터닝 디바이스의 패턴 내에 디자인 피처들이 어떻게 레이아웃되는지를 포착하고, 예를 들어 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 패터닝 디바이스의 상세한 물리적 속성들의 표현을 포함할 수 있다. 일 실시예에서, 패터닝 디바이스/디자인 레이아웃 모델 모듈(1220)은 패터닝 디바이스에 의해 형성되는, 또는 패터닝 디바이스 상의 피처들의 일 구성을 나타내는 디자인 레이아웃(예를 들어, 집적 회로, 메모리, 전자 디바이스 등의 피처에 대응하는 디바이스 디자인 레이아웃)의 광학적 특성들(주어진 디자인 레이아웃에 의해 야기되는 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 조명 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하는 것이 바람직하다. 시뮬레이션의 목적은 흔히, 예를 들어 이후 디바이스 디자인과 비교될 수 있는 에지 배치 및 CD를 정확히 예측하는 것이다. 디바이스 디자인은 일반적으로 OPC-전 패터닝 디바이스 레이아웃으로서 정의되며, GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷으로 제공될 것이다.
에어리얼 이미지(1230)가 소스 모델(1200), 투영 광학기 모델(1210) 및 패터닝 디바이스/디자인 레이아웃 모델(1220)로부터 시뮬레이션될 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사선 세기 분포이다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 조명, 패터닝 디바이스 및 투영 광학기의 속성들)이 에어리얼 이미지를 좌우한다.
기판 상의 레지스트 층이 에어리얼 이미지에 의해 노광되고, 에어리얼 이미지는 그 안에 잠재적인 "레지스트 이미지"(RI)로서 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 용해도(solubility)의 공간 분포로서 정의될 수 있다. 레지스트 모델(1240)을 이용하여 에어리얼 이미지(1230)로부터 레지스트 이미지(1250)가 시뮬레이션될 수 있다. 레지스트 모델은 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 사용될 수 있으며, 이 예시는 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2009-0157360호에서 찾아볼 수 있다. 레지스트 모델은 통상적으로 레지스트 노광, 노광후 베이크(PEB) 및 현상 시 일어나는 화학 공정들의 효과들을 설명하여, 예를 들어 기판 상에 형성되는 레지스트 피처들의 윤곽들을 예측하고, 따라서 이는 통상적으로 이러한 레지스트 층의 속성들(예를 들어, 노광, 노광후 베이크 및 현상 시 일어나는 화학 공정들의 효과들)에만 관련된다. 일 실시예에서, 레지스트 층의 광학적 속성들, 예를 들어 굴절률, 필름 두께, 전파 및 편광 효과들은 투영 광학기 모델(1210)의 일부로서 포착될 수 있다.
따라서, 일반적으로, 광학 및 레지스트 모델 간의 연결은 레지스트 층 내의 시뮬레이션된 에어리얼 이미지 세기이며, 이는 기판 상으로의 방사선의 투영, 레지스트 계면에서의 굴절 및 레지스트 필름 스택에서의 다수 반사들로부터 발생한다. 방사선 세기 분포(에어리얼 이미지 세기)는 입사 에너지의 흡수에 의해 잠재적인 "레지스트 이미지"로 바뀌고, 이는 확산 과정 및 다양한 로딩 효과들에 의해 더 수정된다. 풀-칩 적용들을 위해 충분히 빠른 효율적인 시뮬레이션 방법들이 2-차원 에어리얼(및 레지스트) 이미지에 의해 레지스트 스택에서 현실적인 3-차원 세기 분포를 근사시킨다.
일 실시예에서, 레지스트 이미지는 패턴 전사-후 공정 모델 모듈(1260)로의 입력으로서 사용될 수 있다. 패턴 전사-후 공정 모델(1260)은 1 이상의 레지스트 현상-후 공정들(예를 들어, 에칭, 현상 등)의 성능을 정의한다.
패터닝 공정의 시뮬레이션은, 예를 들어 레지스트 및/또는 에칭된 이미지 내의 윤곽, CD, 에지 배치(예를 들어, 에지 배치 오차) 등을 예측할 수 있다. 따라서, 시뮬레이션의 목적은 예를 들어 프린트된 패턴의 에지 배치, 및/또는 에어리얼 이미지 세기 기울기, 및/또는 CD 등을 정확히 예측하는 것이다. 이 값들은, 예를 들어 패터닝 공정을 보정하고, 결함이 발생할 것으로 예측되는 곳을 식별하는 등을 위해 의도된 디자인과 비교될 수 있다. 의도된 디자인은 일반적으로 OPC-전 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
따라서, 모델 공식화는 전체 공정의 알려진 물리학 및 화학적 성질의 전부는 아니더라도 대부분을 설명하고, 모델 파라미터들 각각은 바람직하게는 별개의 물리적 또는 화학적 효과에 대응한다. 따라서, 모델 공식화는 모델이 전체 제조 공정을 시뮬레이션하는 데 얼마나 잘 사용될 수 있는지에 대한 상한을 설정한다.
일 실시예에서, 패터닝 공정의 기계 학습 모델을 트레이닝하는 방법이 제공된다. 상기 방법은: (ⅰ) 기판 상에 프린트될 마스크 패턴과 연계된 시뮬레이션된 패턴, (ⅱ) 마스크 패턴을 사용하여 기판 상에 이미징되는 프린트된 패턴의 검사 데이터, 및 (ⅲ) 기판 상의 마스크 패턴의 이미징 동안 적용되는 패터닝 공정의 파라미터의 측정된 값들을 포함하는 트레이닝 데이터 세트를 얻는 단계; 프로세서를 통해, 프린트된 패턴 및 시뮬레이션된 패턴의 특성의 차이를 예측하기 위해 트레이닝 데이터 세트에 기초하여 기계 학습 모델을 트레이닝하는 단계를 포함한다.
일 실시예에서, 트레이닝 단계는 반복 프로세스이며, 이는 패터닝 공정의 파라미터의 측정된 값들 및 프린트된 패턴 이미지를 포함하는 검사 데이터를 수신하는 단계; 패터닝 공정의 파라미터의 측정된 값들에 기초한 패터닝 공정 모델의 시뮬레이션을 통해, 시뮬레이션된 패턴을 얻는 단계; 기계 학습 모델을 통해, 시뮬레이션된 패턴에 대응하는 이미지를 예측하는 단계; 예측된 패턴 이미지와 프린트된 패턴 이미지 사이의 차이를 결정하는 단계; 및 예측된 패턴 이미지와 프린트된 패턴 이미지 사이의 차이가 감소되도록 기계 학습 모델의 모델 파라미터 값들을 조정하는 단계를 수반한다.
일 실시예에서, 기계 학습 모델의 모델 파라미터 값들의 조정은 모델 파라미터의 함수로서 예측된 패턴 이미지와 프린트된 패턴 이미지 사이의 차이의 기울기 맵을 결정하는 것; 예측된 패턴 이미지 및 프린트된 패턴 이미지 사이의 차이가 감소되도록 기울기 맵에 기초하여 모델 파라미터 값들을 식별하는 것; 및 식별된 값들에 기초하여 모델 파라미터 값들을 조정하는 것을 수반한다.
일 실시예에서, 시뮬레이션된 패턴은 에어리얼 이미지, 마스크 이미지, 레지스트 이미지, 및/또는 에칭 이미지이다.
일 실시예에서, 시뮬레이션된 패턴을 얻는 단계는: 마스크 패턴을 입력으로서 사용하여 패터닝 공정 모델을 시뮬레이션하는 단계를 포함한다.
일 실시예에서, 시뮬레이션된 패턴은 기판 상에 이미징될 때 실패할 가능성이 있는 핫스폿을 포함한다.
일 실시예에서, 시뮬레이션된 패턴은 리소그래피 제조성 체크를 충족시키지 않는 패턴을 포함한다.
일 실시예에서, 시뮬레이션된 패턴 또는 프린트된 패턴의 특성은 시뮬레이션된 패턴의 피처의 임계 치수, 또는 시뮬레이션된 패턴의 피처의 윤곽이다.
일 실시예에서, 검사 데이터는 검사 장치를 통해 얻어지는 이미징된 기판의 결함 데이터를 포함한다.
일 실시예에서, 검사 데이터는 프린트된 기판의 이미지이고, 이미지는 스캐닝 전자 빔 이미지 및/또는 광학 메트롤로지 이미지이다.
일 실시예에서, 패터닝 공정의 파라미터는 도즈 및/또는 포커스이다.
일 실시예에서, 패터닝 공정의 파라미터의 측정된 값들은 메트롤로지 툴을 통해 얻어진다.
일 실시예에서, 기계 학습 모델은 컨볼루션 뉴럴 네트워크이다.
일 실시예에서, 모델 파라미터 값들의 조정은: 컨볼루션 뉴럴 네트워크의 층의 1 이상의 가중치, 컨볼루션 뉴럴 네트워크의 층의 1 이상의 바이어스, 컨볼루션 뉴럴 네트워크에 관련된 하이퍼파라미터들, 및/또는 컨볼루션 뉴럴 네트워크의 층들의 수의 값들을 조정하는 것을 포함한다.
일 실시예에서, 패터닝 공정의 시뮬레이션된 패턴의 특성을 보정하는 방법이 제공된다. 상기 방법은 패터닝 공정 모델의 시뮬레이션을 통해, 시뮬레이션된 패턴 및 시뮬레이션된 패턴의 특성을 결정하는 단계; 트레이닝된 기계 학습 모델을 통해, 시뮬레이션된 패턴의 특성에 대한 특성 조정 값을 결정하는 단계; 및 프로세서를 통해, 특성 조정 값에 기초하여 시뮬레이션된 패턴의 특성을 보정하는 단계를 수반한다.
일 실시예에서, 상기 방법은 트레이닝된 기계 학습 모델을 통해, 복수의 시뮬레이션된 패턴들 및 복수의 시뮬레이션된 패턴들에 대응하는 복수의 조정 특성 값들을 얻는 단계; 프로세서를 통해, 복수의 조정 특성 값들에 기초하여 복수의 시뮬레이션된 패턴들 각각의 특성을 조정하는 단계; 및 프로세서를 통해, 시뮬레이션된 패턴들의 조정된 특성에 기초하여 복수의 시뮬레이션된 패턴들의 랭킹을 결정하는 단계를 더 수반한다.
일 실시예에서, 상기 방법은 복수의 시뮬레이션된 패턴들의 랭킹에 기초하여 프린트된 기판의 메트롤로지를 위한 샘플링 계획을 결정하는 단계를 더 수반한다.
일 실시예에서, 복수의 시뮬레이션된 패턴들은 복수의 핫스폿들에 대응하는 패턴들을 포함한다.
일 실시예에서, 샘플링 계획은 핫스폿들의 랭킹에 기초한다.
일 실시예에서, 상기 방법은 프로세서를 통해, 핫스폿들의 랭킹에 기초하여 프린트된 기판 상의 측정 데이터를 수집하도록 검사 장치를 안내하는 단계; 및 프로세서를 통해, 측정 데이터에 기초하여 프린트된 기판 상의 결함들을 결정하는 단계를 더 수반한다.
또한, 일 실시예에서, 패터닝 공정의 시뮬레이션된 패턴들의 세트의 랭킹을 예측하도록 구성되는 기계 학습 모델을 트레이닝하는 방법이 제공된다. 상기 방법은 (ⅰ) 마스크 패턴들의 세트에 대응하는 시뮬레이션된 패턴들의 세트, (ⅱ) 마스크 패턴들의 세트를 사용하여 기판 상에 이미징되는 프린트된 패턴들의 세트의 결함 데이터, 및 (ⅲ) 마스크 패턴들의 세트를 사용하여 이미징되는 기판과 연계된 샘플링 계획을 얻는 단계; 및 프로세서를 통해, 기계 학습 모델이 결함 데이터에 기초한 기준 랭킹과 매칭하는 시뮬레이션된 패턴들의 세트의 랭킹을 예측하도록 구성되도록 결함 데이터 및 샘플링 계획에 기초하여 기계 학습 모델을 트레이닝하는 단계를 수반한다.
일 실시예에서, 트레이닝 단계는 반복 프로세스이고, 반복은 기계 학습 모델을 통해, 샘플링 계획 및 결함 데이터를 입력으로서 사용하여 시뮬레이션된 패턴들의 세트의 랭킹을 예측하는 것; 결함 데이터에 기초하여 예측된 랭킹과 기준 랭킹 사이의 차이를 결정하는 것; 및 랭킹들 사이의 차이가 감소되도록 기계 학습 모델의 모델 파라미터 값들을 조정하는 것을 수반한다.
일 실시예에서, 시뮬레이션된 패턴들의 세트를 얻는 것은 마스크 패턴들의 세트 내의 마스크 패턴 각각을 사용하여 패터닝 공정 모델을 시뮬레이션하는 것, 및 시뮬레이션 결과들로부터, 기판 상에 이미징될 때 실패할 가능성이 있는 시뮬레이션된 패턴들의 세트를 식별하는 것을 수반한다.
일 실시예에서, 시뮬레이션된 패턴들의 세트는 핫스폿들의 세트에 대응한다.
일 실시예에서, 시뮬레이션된 패턴들의 세트는 리소그래피 제조성 체크를 충족시키지 않는 시뮬레이션된 패턴을 포함한다.
일 실시예에서, 결함 데이터는 프린트된 기판 상의 1 이상의 패턴과 연계된 결함 수이다.
일 실시예에서, 결함 데이터는 프린트된 기판 상의 1 이상의 패턴과 연계된 임계 치수의 값 또는 값들의 범위이다.
일 실시예에서, 결함 데이터는 프린트된 기판의 이미지로부터 추출되고, 이미지는 스캐닝 전자 빔 이미지 및/또는 광학 메트롤로지 이미지이다.
일 실시예에서, 샘플링 계획은 측정들이 수행되는 프린트된 기판 상의 위치들의 세트 -위치들의 세트는 시뮬레이션된 패턴들의 세트의 초기 랭킹에 기초함- ; 및/또는 시뮬레이션된 패턴들의 세트의 초기 랭킹에 기초하여 위치들의 세트의 1 이상의 위치에서 수행될 측정들의 수를 수반한다.
일 실시예에서, 상기 방법은 시뮬레이션된 패턴들의 세트를 수신하는 단계; 트레이닝된 기계 학습 모델을 통해, 시뮬레이션된 패턴들의 세트의 랭킹을 예측하는 단계; 및 프로세서를 통해, 시뮬레이션된 패턴들의 세트의 예측된 랭킹에 기초하여 샘플링 계획을 조정하는 단계를 더 수반한다.
일 실시예에서, 샘플링 계획의 조정은 측정될 위치들의 세트의 위치; 및/또는 위치들의 세트의 1 이상의 위치에서 수행될 측정들의 수를 조정하는 것을 수반한다.
일 실시예에서, 위치들의 세트의 위치를 조정하는 것은 상대적으로 낮게 랭킹되는 시뮬레이션된 패턴에 대응하는 위치들의 세트로부터 위치를 생략하는 것; 및/또는 상대적으로 높게 랭킹되는 시뮬레이션된 패턴에 대응하여 위치들의 세트에 새로운 위치를 추가하는 것을 수반한다.
일 실시예에서, 위치들의 세트의 위치를 조정하는 것은 위치들의 서브세트의 위치에서 수행될 측정들의 수를 증가 및/또는 감소시키는 것을 포함한다.
일 실시예에서, 상기 방법은 프로세서를 통해, 시뮬레이션된 패턴들의 세트의 예측된 랭킹에 기초하여 프린트된 기판 상의 측정 데이터를 수집하도록 검사 장치를 안내하는 단계; 및 프로세서를 통해, 측정 데이터에 기초하여 프린트된 기판 상의 결함들을 결정하는 단계를 더 수반한다.
또한, 일 실시예에서, 패터닝 공정의 시뮬레이션된 패턴들의 세트의 랭킹을 결정하는 방법이 제공된다. 상기 방법은 제 1 기계 학습 모델을 통해, 시뮬레이션된 패턴들의 세트 내의 적어도 하나의 시뮬레이션된 패턴의 특성을 조정하는 단계; 프로세서를 통해, 적어도 하나의 시뮬레이션된 패턴의 조정된 특성에 기초하여 시뮬레이션된 패턴들의 서브세트를 결정하는 단계; 및 제 2 기계 학습 모델을 통해, 시뮬레이션된 패턴들의 서브세트 내의 적어도 하나의 시뮬레이션된 패턴의 랭킹을 결정하는 단계를 수반한다.
일 실시예에서, 시뮬레이션된 패턴들의 서브세트를 결정하는 단계는 적어도 하나의 시뮬레이션된 패턴의 조정된 특성이 리소그래피 제조성 체크를 충족시키는지 여부를 결정하는 단계; 및 리소그래피 제조성 체크를 충족시키지 않는 것에 응답하여, 시뮬레이션된 패턴들의 서브세트에 적어도 하나의 시뮬레이션된 패턴을 포함하는 단계를 수반한다.
일 실시예에서, 상기 방법은 프로세서를 통해, 시뮬레이션된 패턴들의 서브세트 내의 적어도 하나의 시뮬레이션된 패턴의 랭킹에 기초하여 프린트된 기판 상의 측정 데이터를 수집하도록 검사 장치를 안내하는 단계; 및 프로세서를 통해, 측정 데이터에 기초하여 프린트된 기판 상의 결함들을 결정하는 단계를 더 수반한다.
일 실시예에서, 적어도 하나의 시뮬레이션된 패턴 또는 프린트된 패턴의 특성은 시뮬레이션된 패턴들의 세트의 적어도 하나의 시뮬레이션된 패턴의 피처의 임계 치수이다.
일 실시예에서, 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품이 제공되고, 명령어들은 컴퓨터 시스템에 의해 실행될 때 앞서 언급된 방법들을 구현한다.
도 23은 본 명세서에 개시된 방법들 및 흐름들을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random-access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되고 버스(102)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 프로세스의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀이 전화선 상의 데이터를 수신하고, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 바람직하게는 버스(102)에 커플링된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 보편적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 둘 다 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 실시예의 조명 최적화를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 24는 또 다른 예시적인 리소그래피 투영 장치(1000)를 개략적으로 도시하며, 이는:
- 방사선을 제공하는 소스 컬렉터 모듈(SO);
- 소스 컬렉터 모듈(SO)로부터의 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치(1000)는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 다층 스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 24를 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 24에 도시되지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울들 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 방사선 소스가 흔히 DPP 방사선 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 방사선 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(1000)는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 25는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(1000)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 방사선 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 더 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치되는 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 25에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 25에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 바람직하게는 방전 생성 플라즈마 방사선 소스와 조합하여 사용된다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 26에 나타낸 바와 같은 LPP 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.
본 실시예들은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 패터닝 공정의 기계 학습 모델을 트레이닝하는 방법으로서,
(ⅰ) 기판 상에 프린트될 마스크 패턴과 연계된 시뮬레이션된 패턴, (ⅱ) 마스크 패턴을 사용하여 기판 상에 이미징되는 프린트된 패턴의 검사 데이터, 및 (ⅲ) 기판 상의 마스크 패턴의 이미징 동안 적용되는 패터닝 공정의 파라미터의 측정된 값들을 포함하는 트레이닝 데이터 세트를 얻는 단계;
프로세서를 통해, 프린트된 패턴 및 시뮬레이션된 패턴의 특성의 차이를 예측하기 위해 트레이닝 데이터 세트에 기초하여 기계 학습 모델을 트레이닝하는 단계를 포함하는 방법.
2. 1 항에 있어서, 트레이닝 단계는:
패터닝 공정의 파라미터의 측정된 값들 및 프린트된 패턴 이미지를 포함하는 검사 데이터를 수신하는 단계;
패터닝 공정의 파라미터의 측정된 값들에 기초한 패터닝 공정 모델의 시뮬레이션을 통해, 시뮬레이션된 패턴을 얻는 단계;
기계 학습 모델을 통해, 시뮬레이션된 패턴에 대응하는 이미지를 예측하는 단계;
예측된 패턴 이미지와 프린트된 패턴 이미지 사이의 차이를 결정하는 단계; 및
예측된 패턴 이미지와 프린트된 패턴 이미지 사이의 차이가 감소되도록 기계 학습 모델의 모델 파라미터 값들을 조정하는 단계를 포함하는 반복 프로세스인 방법.
3. 2 항에 있어서, 기계 학습 모델의 모델 파라미터 값들의 조정은:
모델 파라미터의 함수로서 예측된 패턴 이미지와 프린트된 패턴 이미지 사이의 차이의 기울기 맵을 결정하는 것;
예측된 패턴 이미지 및 프린트된 패턴 이미지 사이의 차이가 감소되도록 기울기 맵에 기초하여 모델 파라미터 값들을 식별하는 것; 및
식별된 값들에 기초하여 모델 파라미터 값들을 조정하는 것을 포함하는 방법.
4. 1 항 내지 3 항 중 어느 하나에 있어서, 시뮬레이션된 패턴은 에어리얼 이미지, 마스크 이미지, 레지스트 이미지, 및/또는 에칭 이미지인 방법.
5. 1 항 내지 4 항 중 어느 하나에 있어서, 시뮬레이션된 패턴을 얻는 단계는: 마스크 패턴을 입력으로서 사용하여 패터닝 공정 모델을 시뮬레이션하는 단계를 포함하는 방법.
6. 1 항 내지 5 항 중 어느 하나에 있어서, 시뮬레이션된 패턴은 기판 상에 이미징될 때 실패할 가능성이 있는 핫스폿을 포함하는 방법.
7. 1 항 내지 6 항 중 어느 하나에 있어서, 시뮬레이션된 패턴은 리소그래피 제조성 체크를 충족시키지 않는 패턴을 포함하는 방법.
8. 1 항 내지 7 항 중 어느 하나에 있어서, 시뮬레이션된 패턴 또는 프린트된 패턴의 특성은 시뮬레이션된 패턴의 피처의 임계 치수, 또는 시뮬레이션된 패턴의 피처의 윤곽인 방법.
9. 1 항 내지 8 항 중 어느 하나에 있어서, 검사 데이터는 검사 장치를 통해 얻어지는 이미징된 기판의 결함 데이터를 포함하는 방법.
10. 1 항 내지 9 항 중 어느 하나에 있어서, 검사 데이터는 프린트된 기판의 이미지이고, 이미지는 스캐닝 전자 빔 이미지 및/또는 광학 메트롤로지 이미지인 방법.
11. 1 항 내지 10 항 중 어느 하나에 있어서, 패터닝 공정의 파라미터는 도즈 및/또는 포커스인 방법.
12. 1 항 내지 11 항 중 어느 하나에 있어서, 패터닝 공정의 파라미터의 측정된 값들은 메트롤로지 툴을 통해 얻어지는 방법.
13. 1 항 내지 12 항 중 어느 하나에 있어서, 기계 학습 모델은 컨볼루션 뉴럴 네트워크인 방법.
14. 2 항 내지 13 항 중 어느 하나에 있어서, 모델 파라미터 값들의 조정은:
컨볼루션 뉴럴 네트워크의 층의 1 이상의 가중치,
컨볼루션 뉴럴 네트워크의 층의 1 이상의 바이어스,
컨볼루션 뉴럴 네트워크에 관련된 하이퍼파라미터들, 및/또는
컨볼루션 뉴럴 네트워크의 층들의 수의 값들을 조정하는 것을 포함하는 방법.
15. 패터닝 공정의 시뮬레이션된 패턴의 특성을 보정하는 방법으로서,
패터닝 공정 모델의 시뮬레이션을 통해, 시뮬레이션된 패턴 및 시뮬레이션된 패턴의 특성을 결정하는 단계;
트레이닝된 기계 학습 모델을 통해, 시뮬레이션된 패턴의 특성에 대한 특성 조정 값을 결정하는 단계; 및
프로세서를 통해, 특성 조정 값에 기초하여 시뮬레이션된 패턴의 특성을 보정하는 단계를 포함하는 방법.
16. 15 항에 있어서,
트레이닝된 기계 학습 모델을 통해, 복수의 시뮬레이션된 패턴들 및 복수의 시뮬레이션된 패턴들에 대응하는 복수의 조정 특성 값들을 얻는 단계;
프로세서를 통해, 복수의 조정 특성 값들에 기초하여 복수의 시뮬레이션된 패턴들 각각의 특성을 조정하는 단계; 및
프로세서를 통해, 시뮬레이션된 패턴들의 조정된 특성에 기초하여 복수의 시뮬레이션된 패턴들의 랭킹을 결정하는 단계를 더 포함하는 방법.
17. 15 항 또는 16 항에 있어서, 복수의 시뮬레이션된 패턴들의 랭킹에 기초하여 프린트된 기판의 메트롤로지를 위한 샘플링 계획을 결정하는 단계를 더 포함하는 방법.
18. 15 항 내지 17 항 중 어느 하나에 있어서, 복수의 시뮬레이션된 패턴들은 복수의 핫스폿들에 대응하는 패턴들을 포함하는 방법.
19. 15 항 내지 18 항 중 어느 하나에 있어서, 샘플링 계획은 핫스폿들의 랭킹에 기초하는 방법.
20. 15 항 내지 19 항 중 어느 하나에 있어서,
프로세서를 통해, 핫스폿들의 랭킹에 기초하여 프린트된 기판 상의 측정 데이터를 수집하도록 검사 장치를 안내하는 단계; 및
프로세서를 통해, 측정 데이터에 기초하여 프린트된 기판 상의 결함들을 결정하는 단계를 더 포함하는 방법.
21. 패터닝 공정의 시뮬레이션된 패턴들의 세트의 랭킹을 예측하도록 구성되는 기계 학습 모델을 트레이닝하는 방법으로서,
(ⅰ) 마스크 패턴들의 세트에 대응하는 시뮬레이션된 패턴들의 세트, (ⅱ) 마스크 패턴들의 세트를 사용하여 기판 상에 이미징되는 프린트된 패턴들의 세트의 결함 데이터, 및 (ⅲ) 마스크 패턴들의 세트를 사용하여 이미징되는 기판과 연계된 샘플링 계획을 얻는 단계; 및
프로세서를 통해, 기계 학습 모델이 결함 데이터에 기초한 기준 랭킹과 매칭하는 시뮬레이션된 패턴들의 세트의 랭킹을 예측하도록 구성되도록 결함 데이터 및 샘플링 계획에 기초하여 기계 학습 모델을 트레이닝하는 단계를 포함하는 방법.
22. 21 항에 있어서, 트레이닝 단계는 반복 프로세스이고, 반복은:
기계 학습 모델을 통해, 샘플링 계획 및 결함 데이터를 입력으로서 사용하여 시뮬레이션된 패턴들의 세트의 랭킹을 예측하는 것;
결함 데이터에 기초하여 예측된 랭킹과 기준 랭킹 사이의 차이를 결정하는 것; 및
랭킹들 사이의 차이가 감소되도록 기계 학습 모델의 모델 파라미터 값들을 조정하는 것을 포함하는 방법.
23. 21 항 또는 22 항에 있어서, 시뮬레이션된 패턴들의 세트를 얻는 것은:
마스크 패턴들의 세트 내의 마스크 패턴 각각을 사용하여 패터닝 공정 모델을 시뮬레이션하는 것, 및
시뮬레이션 결과들로부터, 기판 상에 이미징될 때 실패할 가능성이 있는 시뮬레이션된 패턴들의 세트를 식별하는 것을 포함하는 방법.
24. 21 항 내지 23 항 중 어느 하나에 있어서, 시뮬레이션된 패턴들의 세트는 핫스폿들의 세트에 대응하는 방법.
25. 21 항 내지 24 항 중 어느 하나에 있어서, 시뮬레이션된 패턴들의 세트는 리소그래피 제조성 체크를 충족시키지 않는 시뮬레이션된 패턴을 포함하는 방법.
26. 21 항 내지 25 항 중 어느 하나에 있어서, 결함 데이터는 프린트된 기판 상의 1 이상의 패턴과 연계된 결함 수인 방법.
27. 21 항 내지 26 항 중 어느 하나에 있어서, 결함 데이터는 프린트된 기판 상의 1 이상의 패턴과 연계된 임계 치수의 값 또는 값들의 범위인 방법.
28. 21 항 내지 27 항 중 어느 하나에 있어서, 결함 데이터는 프린트된 기판의 이미지로부터 추출되고, 이미지는 스캐닝 전자 빔 이미지 및/또는 광학 메트롤로지 이미지인 방법.
29. 21 항 내지 28 항 중 어느 하나에 있어서, 샘플링 계획은:
측정들이 수행되는 프린트된 기판 상의 위치들의 세트 -위치들의 세트는 시뮬레이션된 패턴들의 세트의 초기 랭킹에 기초함- ; 및/또는
시뮬레이션된 패턴들의 세트의 초기 랭킹에 기초하여 위치들의 세트의 1 이상의 위치에서 수행될 측정들의 수를 포함하는 방법.
30. 29 항에 있어서,
시뮬레이션된 패턴들의 세트를 수신하는 단계;
트레이닝된 기계 학습 모델을 통해, 시뮬레이션된 패턴들의 세트의 랭킹을 예측하는 단계; 및
프로세서를 통해, 시뮬레이션된 패턴들의 세트의 예측된 랭킹에 기초하여 샘플링 계획을 조정하는 단계를 더 포함하는 방법.
31. 30 항에 있어서, 샘플링 계획의 조정은:
측정될 위치들의 세트의 위치; 및/또는
위치들의 세트의 1 이상의 위치에서 수행될 측정들의 수를 조정하는 것을 포함하는 방법.
32. 31 항에 있어서, 위치들의 세트의 위치를 조정하는 것은:
상대적으로 낮게 랭킹되는 시뮬레이션된 패턴에 대응하는 위치들의 세트로부터 위치를 생략하는 것; 및/또는
상대적으로 높게 랭킹되는 시뮬레이션된 패턴에 대응하여 위치들의 세트에 새로운 위치를 추가하는 것을 포함하는 방법.
33. 31 항에 있어서, 위치들의 세트의 위치를 조정하는 것은 위치들의 서브세트의 위치에서 수행될 측정들의 수를 증가 및/또는 감소시키는 것을 포함하는 방법.
34. 21 항 내지 33 항 중 어느 하나에 있어서,
프로세서를 통해, 시뮬레이션된 패턴들의 세트의 예측된 랭킹에 기초하여 프린트된 기판 상의 측정 데이터를 수집하도록 검사 장치를 안내하는 단계; 및
프로세서를 통해, 측정 데이터에 기초하여 프린트된 기판 상의 결함들을 결정하는 단계를 더 포함하는 방법.
35. 패터닝 공정의 시뮬레이션된 패턴들의 세트의 랭킹을 결정하는 방법으로서,
제 1 기계 학습 모델을 통해, 시뮬레이션된 패턴들의 세트 내의 적어도 하나의 시뮬레이션된 패턴의 특성을 조정하는 단계;
프로세서를 통해, 적어도 하나의 시뮬레이션된 패턴의 조정된 특성에 기초하여 시뮬레이션된 패턴들의 서브세트를 결정하는 단계; 및
제 2 기계 학습 모델을 통해, 시뮬레이션된 패턴들의 서브세트 내의 적어도 하나의 시뮬레이션된 패턴의 랭킹을 결정하는 단계를 포함하는 방법.
36. 35 항에 있어서, 시뮬레이션된 패턴들의 서브세트를 결정하는 단계는:
적어도 하나의 시뮬레이션된 패턴의 조정된 특성이 리소그래피 제조성 체크를 충족시키는지 여부를 결정하는 단계; 및
리소그래피 제조성 체크를 충족시키지 않는 것에 응답하여, 시뮬레이션된 패턴들의 서브세트에 적어도 하나의 시뮬레이션된 패턴을 포함하는 단계를 포함하는 방법.
37. 35 항 또는 36 항에 있어서,
프로세서를 통해, 시뮬레이션된 패턴들의 서브세트 내의 적어도 하나의 시뮬레이션된 패턴의 랭킹에 기초하여 프린트된 기판 상의 측정 데이터를 수집하도록 검사 장치를 안내하는 단계; 및
프로세서를 통해, 측정 데이터에 기초하여 프린트된 기판 상의 결함들을 결정하는 단계를 더 포함하는 방법.
38. 35 항 내지 37 항 중 어느 하나에 있어서, 적어도 하나의 시뮬레이션된 패턴 또는 프린트된 패턴의 특성은 시뮬레이션된 패턴들의 세트의 적어도 하나의 시뮬레이션된 패턴의 피처의 임계 치수인 방법.
39. 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품으로서,
명령어들은 컴퓨터 시스템에 의해 실행될 때, 1 항 내지 38 항 중 어느 하나의 방법을 구현하는 컴퓨터 프로그램 제품.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 짧은 파장들을 생성할 수 있는 신흥 이미징 기술들로 유용할 수 있다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외) 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
본 명세서에서는 IC 제조에 있어서 실시예들의 특정 사용예에 대하여 언급되지만, 본 명세서의 실시예들은 다수의 다른 가능한 적용예들을 가질 수 있다는 것을 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이(LCD), 박막 자기 헤드, MEMS(micromechanical systems) 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "패터닝 디바이스", "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 또는 교환가능한 것으로 간주될 수 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴) 또는 메트롤로지 또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한 번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함하는 기판을 칭할 수도 있다.
본 명세서에서, 여기에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 약 365, 약 248, 약 193, 약 157 또는 약 126 nm의 파장을 갖는) 자외 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 결과들 및/또는 공정들이 더 바람직한 특성들, 예컨대 기판 상의 디자인 패턴의 더 높은 투영 정확성, 더 큰 공정 윈도우 등을 갖도록 패터닝 장치(예를 들어, 리소그래피 장치), 패터닝 공정 등을 조정하는 것을 칭하거나 의미한다. 따라서, 본 명세서에서 사용되는 "최적화하는" 및 "최적화"라는 용어는 1 이상의 파라미터에 대한 1 이상의 값의 초기 세트에 비해, 적어도 하나의 관련 메트릭에서 개선, 예를 들어 국부적 최적을 제공하는 1 이상의 파라미터에 대한 1 이상의 값을 식별하는 공정을 칭하거나 의미한다. "최적" 및 다른 관련 용어들은 이에 따라 해석되어야 한다. 일 실시예에서, 최적화 단계들은 1 이상의 메트릭에서 추가 개선을 제공하도록 반복적으로 적용될 수 있다.
본 발명의 실시형태들은 여하한의 편리한 형태로 구현될 수 있다. 예를 들어, 일 실시예는 유형의 전달 매체(예를 들어, 디스크) 또는 무형의 전달 매체(예를 들어, 통신 신호)일 수 있는 적절한 전달 매체에서 전달될 수 있는 1 이상의 적절한 컴퓨터 프로그램에 의해 구현될 수 있다. 본 발명의 실시예들은, 구체적으로 본 명세서에 설명된 방법을 구현하도록 배치되는 컴퓨터 프로그램을 실행하는 프로그램가능한 컴퓨터의 형태를 취할 수 있는 적절한 장치를 사용하여 구현될 수 있다. 따라서, 본 발명의 실시예들은 하드웨어, 펌웨어, 소프트웨어 또는 여하한의 그 조합으로 구현될 수 있다. 또한, 본 발명의 실시예들은 기계-판독가능한 매체 상에 저장된 명령어들로서 구현될 수 있으며, 이는 1 이상의 프로세서에 의해 판독되고 실행될 수 있다. 기계-판독가능한 매체는 기계(예를 들어, 컴퓨팅 디바이스)에 의해 판독가능한 형태로 정보를 저장하거나 전송하는 여하한의 메카니즘을 포함할 수 있다. 예를 들어, 기계-판독가능한 매체는 ROM(read only memory); RAM(random access memory); 자기 디스크 저장 매체; 광학 저장 매체; 플래시 메모리 디바이스; 전기, 광학, 음향 또는 다른 형태의 전파 신호(propagated signal)(예를 들어, 반송파, 적외선 신호, 디지털 신호 등), 및 그 밖의 것들을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴(routine), 명령어들은 본 명세서에서 소정 동작을 수행하는 것으로서 설명될 수 있다. 하지만, 이러한 설명들은 단지 편의를 위한 것이며, 이러한 동작은 사실상 컴퓨팅 디바이스, 프로세서, 제어기, 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 디바이스들로부터 일어난다는 것을 이해하여야 한다.
블록 다이어그램들에서, 예시된 구성요소들은 개별 기능 블록들로서 도시되어 있지만, 실시예들은 본 명세서에 설명된 기능이 예시된 바와 같이 구성되는 시스템들로 제한되지 않는다. 구성요소들 각각에 의해 제공되는 기능은 현재 도시된 것과 상이하게 구성되는 소프트웨어 또는 하드웨어 모듈들에 의해 제공될 수 있으며, 예를 들어 이러한 소프트웨어 또는 하드웨어는 (예를 들어, 데이터 센터 내에서 또는 지리적으로) 혼합, 결합, 복제, 분리, 분포, 또는 달리 상이하게 구성될 수 있다. 본 명세서에 설명된 기능은 유형의 비-일시적 기계 판독가능한 매체 상에 저장된 코드를 실행하는 1 이상의 컴퓨터의 1 이상의 프로세서에 의해 제공될 수 있다. 몇몇 경우, 타사의 콘텐츠 전송 네트워크가 네트워크를 통해 전달되는 정보의 일부 또는 전부를 호스팅할 수 있으며, 이 경우 정보(예를 들어, 콘텐츠)가 공급되거나 달리 제공되라고 하는 범위에 대하여, 정보는 콘텐츠 전송 네트워크로부터 그 정보를 검색하도록 명령어들을 송신함으로써 제공될 수 있다.
달리 특정적으로 명시되지 않는 한, 논의에서 명백한 바와 같이, 본 명세서 전반에 걸쳐 "처리", "연산", "계산", "결정" 등과 같은 용어를 사용한 설명들은 특수 목적 컴퓨터 또는 유사한 특수 목적 전자 처리/연산 디바이스와 같은 특정한 장치의 동작 또는 공정을 지칭한다는 것을 이해한다.
본 출원은 수 개의 발명들을 설명한다는 것을 이해하여야 한다. 이러한 발명들을 다수의 개별 특허 출원들로 분리하기보다는, 이 발명들은 단일 문서로 그룹화되었는데, 이는 이들의 관련 대상이 출원 과정에서의 절약에 적합하기 때문이다. 하지만, 이러한 발명들의 별개의 장점들 및 측면들은 합쳐지지 않아야 한다. 몇몇 경우, 실시예들이 본 명세서에 명시된 결점들을 모두 해결하지만, 본 발명들은 독립적으로 유용하며, 몇몇 실시예들은 이러한 문제들의 서브세트만을 해결하거나 본 기재내용을 검토하는 당업자에게 명백할 언급되지 않은 다른 이점들을 제공한다는 것을 이해하여야 한다. 비용의 제약으로 인해, 본 명세서에 개시된 일부 발명들은 현재 청구되지 않을 수 있으며, 본 청구항을 보정함으로써 또는 계속 출원과 같이 추후 출원에서 청구될 수 있다. 유사하게, 공간 제약으로 인해, 본 문서의 초록(Abstract)이나 발명의 요약(Summary) 부분들은 이러한 발명들 전부의 포괄적인 목록 또는 이러한 발명들의 모든 실시형태들을 포함하는 것으로 간주되어서는 안 된다.
설명 및 도면들은 본 발명을 개시된 특정 형태로 제한하려는 것이 아니라, 반대로 본 발명이 첨부된 청구항에 의해 정의되는 본 발명의 기술사상 및 범위 내에 있는 모든 변형예, 균등물 및 대안예를 포함하기 위한 것임을 이해하여야 한다.
본 발명의 다양한 실시형태들의 변형예들 및 대안적인 실시예들은 이 설명을 고려하여 당업자에게 명백할 것이다. 따라서, 이 설명 및 도면들은 단지 예시적인 것으로서 해석되어야 하며, 본 발명을 수행하는 일반적인 방식을 당업자에게 교시하기 위한 것이다. 본 명세서에 도시되고 설명된 본 발명의 형태들은 실시예들의 예시들로서 취해진 것으로 이해되어야 한다. 본 명세서에 도시되고 기술된 것들을 대신하여 요소들 및 재료들이 대체될 수 있으며, 부품들 및 공정들은 역전되거나 생략될 수 있고, 소정 특징들은 독립적으로 이용될 수 있으며, 실시예들 또는 실시예들의 특징들은 조합될 수 있고, 이는 모두 이러한 설명의 이점을 가진 후에 당업자에게 명백할 것이다. 다음 청구항들에 기재된 본 발명의 기술사상 및 범위를 벗어나지 않고 본 명세서에 설명된 요소들이 변경될 수 있다. 본 명세서에 사용된 표제는 단지 편제의 목적만을 위한 것이며, 설명의 범위를 제한하는 데 사용되지는 않는다.
본 출원 전반에 걸쳐 사용된 바와 같이, 단어 "할 수 있다(may)"는 의무적인 의미(즉, 해야 함을 의미함)보다는 허용의 의미(즉, 가능성을 가짐을 의미함)로 사용된다. "포함한다" 및 "포함하는" 등의 단어는 포함하지만 이에 제한되지는 않는다는 것을 의미한다. 본 출원 전반에 걸쳐 사용된 바와 같이, 단수 형태 "a", "an" 및 "the"는 내용이 명시적으로 달리 지시하지 않는 한 복수의 대상을 포함한다. 따라서, 예를 들어 "하나"의 요소에 대한 언급은 "하나 또는 그 이상"과 같은 1 이상의 요소에 대한 다른 용어 및 어구의 사용에도 불구하고 2 이상의 요소들의 조합을 포함한다. "또는(or)"이라는 용어는 달리 명시되지 않는 한, 비-배타적이며, 즉 "및(and)"과 "또는(or)"을 모두 포괄한다. 예를 들어, "X에 응답하여, Y", "X 때, Y", "X라면, Y", "X의 경우, Y" 등과 같은 조건부 관계를 설명하는 용어는, 선행 조건이 필요 원인 조건이거나, 선행 조건이 충분 원인 조건이거나, 또는 선행 조건이 결과의 기여 원인 조건인 인과 관계들을 포괄하고, 예를 들어 "조건 Y를 얻을 때 상태 X가 발생한다"는 "X는 Y에서만 발생한다" 및 "X는 Y와 Z에서 발생한다"에 일반적이다. 이러한 조건부 관계들은 일부 결과가 지연될 수 있기 때문에 선행 조건을 얻은 바로 후의 결과들에 제한되지 않으며, 조건문에서 선행 조건은 그 결과들에 연결되고, 예를 들어 선행 조건은 결과 발생의 가능성과 관련이 있다. 복수의 속성들 또는 기능들이 복수의 대상들(예를 들어, 단계 A, 단계 B, 단계 C 및 단계 D를 수행하는 1 이상의 프로세서)에 매핑된다는 언급은, 달리 지시되지 않는 한, 이러한 모든 대상에 매핑되는 이러한 모든 속성들 또는 기능들, 및 속성들 또는 기능들의 서브세트들에 매핑되는 속성들 또는 기능들의 서브세트들을 둘 다(예를 들어, 단계 A 내지 단계 D를 각각 수행하는 모든 프로세서들, 및 프로세서 1이 단계 A를 수행하고, 프로세서 2가 단계 B 및 단계 C의 일부를 수행하고, 프로세서 3이 단계 C의 일부와 단계 D를 수행하는 경우 둘 다) 포괄한다. 나아가, 달리 지시되지 않는 한, 하나의 값 또는 동작이 또 다른 조건 또는 값에 "기초한다"는 언급은, 조건 또는 값이 유일한 인자인 인스턴스들 및 조건 또는 값이 복수의 인자들 중 하나의 인자인 인스턴스들을 둘 다 포괄한다. 달리 지시되지 않는 한, 일부 집합의 "각각"의 인스턴스가 일부 속성을 갖는다는 언급들은, 더 큰 집합의 달리 동일하거나 유사한 일부 멤버들이 해당 속성을 갖지 않는 경우를 제외하는 것으로 읽혀서는 안 되며, 즉 각각(each)이 반드시 각각 및 모든 것(each and every)을 의미하는 것은 아니다. 범위로부터의 선택에 대한 언급들은 범위의 끝점들을 포함한다.
앞선 설명에서, 흐름도에서의 여하한의 공정들, 설명들 또는 블록들은 모듈들, 세그먼트들 또는 공정에서의 특정한 논리 기능들 또는 단계들을 구현하기 위한 1 이상의 실행가능한 명령어를 포함하는 코드의 부분들을 나타내는 것으로 이해되어야 하며, 당업자라면 이해하는 바와 같이, 관련 기능에 따라 실질적으로 동시에 또는 역순으로 수행되는 것을 포함하여, 기능들이 도시되거나 논의된 순서를 벗어나 실행될 수 있는 대안적인 구현들이 본 발명의 예시적인 실시예들의 범위 내에 포함된다.
소정 미국 특허, 미국 특허 출원 또는 기타 자료(예를 들어, 기사)가 인용참조된 범위에서, 이러한 미국 특허, 미국 특허 출원 및 기타 자료의 텍스트는 이러한 자료와 본 명세서에 명시된 기재내용 및 도면 간에 상충하지 않는 정도로만 인용참조된다. 이러한 상충의 경우, 이러한 인용참조된 미국 특허, 미국 특허 출원 및 기타 자료에서의 여하한의 이러한 상충하는 텍스트는 본 명세서에서 구체적으로 인용참조되지 않는다.
소정 실시예들이 설명되었지만, 이 실시예들은 단지 예시의 방식으로 제시되었으며, 본 발명의 범위를 제한하도록 의도되지 않는다. 실제로, 본 명세서에 설명된 신규한 방법들, 장치들 및 시스템들은 다양한 다른 형태들로 구현될 수 있다; 또한, 본 명세서에 설명된 방법들, 장치들 및 시스템들의 형태에서의 다양한 생략, 대체 및 변경이 본 발명의 기술사상을 벗어나지 않고 행해질 수 있다. 첨부된 청구항 및 그 균등물은 본 발명의 기술사상 및 범위 내에 속하는 이러한 형태 또는 변형예를 포함하도록 의도된다.

Claims (16)

  1. 패터닝 공정의 시뮬레이션된 패턴의 특성을 보정하는 방법으로서,
    패터닝 공정 모델의 시뮬레이션을 통해, 상기 시뮬레이션된 패턴 및 상기 시뮬레이션된 패턴의 상기 특성을 결정하는 단계;
    트레이닝된 기계 학습 모델을 통해, 상기 시뮬레이션된 패턴의 상기 특성에 대한 특성 조정 값을 결정하는 단계; 및
    프로세서를 통해, 상기 특성 조정 값에 기초하여 상기 시뮬레이션된 패턴의 상기 특성을 보정하는 단계
    를 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 트레이닝된 기계 학습 모델을 통해, 복수의 시뮬레이션된 패턴들 및 상기 복수의 시뮬레이션된 패턴들에 대응하는 복수의 조정 특성 값들을 얻는 단계;
    상기 프로세서를 통해, 상기 복수의 조정 특성 값들에 기초하여 상기 복수의 시뮬레이션된 패턴들 각각의 상기 특성을 조정하는 단계; 및
    상기 프로세서를 통해, 상기 시뮬레이션된 패턴들의 상기 조정된 특성에 기초하여 상기 복수의 시뮬레이션된 패턴들의 랭킹을 결정하는 단계
    를 더 포함하는 방법
  3. 제 2 항에 있어서,
    상기 복수의 시뮬레이션된 패턴들의 상기 랭킹에 기초하여 프린트된 기판의 메트롤로지를 위한 샘플링 계획을 결정하는 단계
    를 더 포함하는 방법.
  4. 제 3 항에 있어서,
    상기 복수의 시뮬레이션된 패턴들은 복수의 핫스폿들에 대응하는 패턴들을 포함하는 방법.
  5. 제 4 항에 있어서,
    상기 샘플링 계획은 상기 핫스폿들의 랭킹에 기초하는 방법.
  6. 제 5 항에 있어서,
    상기 프로세서를 통해, 상기 핫스폿들의 상기 랭킹에 기초하여 상기 프린트된 기판 상의 측정 데이터를 수집하도록 검사 장치를 안내하는 단계; 및
    상기 프로세서를 통해, 상기 측정 데이터에 기초하여 상기 프린트된 기판 상의 결함들을 결정하는 단계
    를 더 포함하는 방법.
  7. 패터닝 공정의 시뮬레이션된 패턴들의 세트의 랭킹을 예측하도록 구성되는 기계 학습 모델을 트레이닝하는 방법으로서,
    (ⅰ) 마스크 패턴들의 세트에 대응하는 상기 시뮬레이션된 패턴들의 세트, (ⅱ) 상기 마스크 패턴들의 세트를 사용하여 기판 상에 이미징되는 프린트된 패턴들의 세트의 결함 데이터, 및 (ⅲ) 상기 마스크 패턴들의 세트를 사용하여 상기 이미징되는 상기 기판과 연계된 샘플링 계획을 얻는 단계; 및
    프로세서를 통해, 상기 기계 학습 모델이 상기 결함 데이터에 기초한 기준 랭킹과 매칭하는 상기 시뮬레이션된 패턴들의 세트의 랭킹을 예측하도록 구성되도록 상기 결함 데이터 및 상기 샘플링 계획에 기초하여 상기 기계 학습 모델을 트레이닝하는 단계
    를 포함하는 방법.
  8. 제 7 항에 있어서,
    상기 기계 학습 모델을 트레이닝하는 단계는 반복 프로세스이고, 반복은:
    상기 기계 학습 모델을 통해, 상기 샘플링 계획 및 상기 결함 데이터를 입력으로서 사용하여 상기 시뮬레이션된 패턴들의 세트의 상기 랭킹을 예측하는 것;
    상기 결함 데이터에 기초하여 상기 예측된 랭킹과 상기 기준 랭킹 사이의 차이를 결정하는 것; 및
    상기 랭킹들 사이의 상기 차이가 감소되도록 상기 기계 학습 모델의 모델 파라미터 값들을 조정하는 것을 포함하는 방법.
  9. 제 7 항에 있어서,
    상기 시뮬레이션된 패턴들의 세트를 얻는 것은:
    상기 마스크 패턴들의 상기 세트 내의 마스크 패턴 각각을 사용하여 패터닝 공정 모델을 시뮬레이션하는 것, 및
    시뮬레이션 결과들로부터, 상기 기판 상에 이미징될 때 실패할 가능성이 있는 상기 시뮬레이션된 패턴들의 세트를 식별하는 것을 포함하는 방법.
  10. 제 7 항에 있어서,
    상기 시뮬레이션된 패턴들의 세트는 핫스폿들의 세트에 대응하는 방법.
  11. 제 7 항에 있어서,
    상기 시뮬레이션된 패턴들의 세트는 리소그래피 제조성 체크를 충족시키지 않는 시뮬레이션된 패턴을 포함하는 방법.
  12. 제 7 항에 있어서,
    상기 결함 데이터는 프린트된 기판 상의 1 이상의 패턴과 연계된 결함 수, 또는 상기 프린트된 기판 상의 상기 1 이상의 패턴과 연계된 임계 치수의 값 또는 값들의 범위이고, 상기 결함 데이터는 상기 프린트된 기판의 이미지로부터 추출되고, 상기 이미지는 스캐닝 전자 빔 이미지 및/또는 광학 메트롤로지 이미지인 방법.
  13. 제 7 항에 있어서,
    상기 시뮬레이션된 패턴들의 세트를 수신하는 단계;
    상기 트레이닝된 기계 학습 모델을 통해, 상기 시뮬레이션된 패턴들의 세트의 상기 랭킹을 예측하는 단계; 및
    상기 프로세서를 통해, 상기 시뮬레이션된 패턴들의 세트의 상기 예측된 랭킹에 기초하여 상기 샘플링 계획을 조정하는 단계를 더 포함하는 방법.
  14. 제 13 항에 있어서,
    상기 샘플링 계획의 상기 조정은:
    측정될 위치들의 세트의 위치; 및/또는
    상기 위치들의 세트의 1 이상의 위치에서 수행될 상기 측정들의 수를 조정하는 것을 포함하는 방법.
  15. 제 7 항에 있어서,
    상기 프로세서를 통해, 상기 시뮬레이션된 패턴들의 세트의 상기 예측된 랭킹에 기초하여 상기 프린트된 기판 상의 측정 데이터를 수집하도록 검사 장치를 안내하는 단계; 및
    상기 프로세서를 통해, 상기 측정 데이터에 기초하여 상기 프린트된 기판 상의 결함들을 결정하는 단계를 더 포함하는 방법.
  16. 비-일시적(non-transitory) 컴퓨터 판독가능한 기록매체에 저장된 컴퓨터 프로그램으로서,
    상기 컴퓨터 프로그램은 명령어들을 포함하고, 상기 명령어들은 컴퓨터 시스템에 의해 실행될 때, 제 1 항 내지 제 15 항 중 어느 한 항의 방법을 구현하는 컴퓨터 프로그램.
KR1020237043812A 2018-12-28 2019-12-04 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정 KR20230175346A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862785977P 2018-12-28 2018-12-28
US62/785,977 2018-12-28
KR1020217020083A KR102617197B1 (ko) 2018-12-28 2019-12-04 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
PCT/EP2019/083585 WO2020135988A1 (en) 2018-12-28 2019-12-04 Determining pattern ranking based on measurement feedback from printed substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217020083A Division KR102617197B1 (ko) 2018-12-28 2019-12-04 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정

Publications (1)

Publication Number Publication Date
KR20230175346A true KR20230175346A (ko) 2023-12-29

Family

ID=68887396

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217020083A KR102617197B1 (ko) 2018-12-28 2019-12-04 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
KR1020237043812A KR20230175346A (ko) 2018-12-28 2019-12-04 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217020083A KR102617197B1 (ko) 2018-12-28 2019-12-04 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정

Country Status (5)

Country Link
US (2) US11635699B2 (ko)
KR (2) KR102617197B1 (ko)
CN (1) CN113227907A (ko)
TW (2) TWI808901B (ko)
WO (1) WO2020135988A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10740888B2 (en) * 2016-04-22 2020-08-11 Kla-Tencor Corporation Computer assisted weak pattern detection and quantification system
US11610101B2 (en) * 2019-08-30 2023-03-21 International Business Machines Corporation Formation failure resilient neuromorphic device
EP4055444A1 (en) * 2019-11-04 2022-09-14 Synopsys, Inc. Using mask fabrication models in correction of lithographic masks
CN112561873B (zh) * 2020-12-11 2022-11-25 上海集成电路装备材料产业创新中心有限公司 一种基于机器学习的cdsem图像虚拟测量方法
US11650167B2 (en) * 2020-12-17 2023-05-16 Seagate Technology Llc Abnormal surface pattern detection for production line defect remediation
EP4020085A1 (en) * 2020-12-22 2022-06-29 ASML Netherlands B.V. Machine learning based image generation of after-development or after-etch images
KR20230153443A (ko) * 2021-03-05 2023-11-06 어플라이드 머티어리얼스, 인코포레이티드 이미지들의 시간 기반 시퀀스를 사용하는 cmp 컴포넌트의 이탈 검출
JP7419566B2 (ja) * 2021-04-13 2024-01-22 ヴェリティー インストルメンツ,インコーポレイテッド スペクトル・フィルタリングのためのシステム、機器、及び方法
WO2023180784A1 (en) * 2022-03-21 2023-09-28 Applied Materials, Inc. Method of generating a computational model for improving parameter settings of one or more display manufacturing tools, method of setting parameters of one or more display manufacturing tools, and display manufacturing fab equipment
CN116125765B (zh) * 2023-04-17 2023-07-04 魅杰光电科技(上海)有限公司 集成电路套刻误差评估方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR100982135B1 (ko) * 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
US9047532B2 (en) * 2007-01-25 2015-06-02 Applied Materials Israel, Ltd. System, method and computer program product for evaluating an actual structural element of an electrical circuit
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
WO2009152046A1 (en) * 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
US8479125B2 (en) * 2009-03-31 2013-07-02 Christophe Pierrat Lithography modeling and applications
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
US8671366B2 (en) * 2009-08-21 2014-03-11 Hitachi High-Technologies Corporation Estimating shape based on comparison between actual waveform and library in lithography process
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US9671685B2 (en) * 2009-12-31 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic plane check for mask processing
US9702695B2 (en) * 2010-05-27 2017-07-11 Hitachi High-Technologies Corporation Image processing device, charged particle beam device, charged particle beam device adjustment sample, and manufacturing method thereof
JP5661194B2 (ja) * 2010-11-12 2015-01-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置、リソグラフィシステム並びにデバイス製造方法
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
WO2015090774A1 (en) * 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
CN107077077B (zh) 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
US10514614B2 (en) 2015-02-13 2019-12-24 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
KR102294366B1 (ko) 2015-06-16 2021-08-27 에이에스엠엘 네델란즈 비.브이. 결함 검증을 위한 방법들
US9547745B1 (en) 2015-07-27 2017-01-17 Dmo Systems Limited System and method for discovering unknown problematic patterns in chip design layout for semiconductor manufacturing
US11126092B2 (en) 2015-11-13 2021-09-21 Asml Netherlands B.V. Methods for determining an approximate value of a processing parameter at which a characteristic of the patterning process has a target value
US10546790B2 (en) * 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
WO2017171890A1 (en) 2016-04-02 2017-10-05 Intel Corporation Systems, methods, and apparatuses for reducing opc model error via a machine learning algorithm
WO2017171891A1 (en) 2016-04-02 2017-10-05 Intel Corporation Systems, methods, and apparatuses for modeling reticle compensation for post lithography processing using machine learning algorithms
WO2018125220A1 (en) 2016-12-30 2018-07-05 Intel Corporation Systems, methods, and apparatuses for implementing opc modeling via machine learning on simulated 2d optical images for sed and post sed processes
WO2018125219A1 (en) 2016-12-30 2018-07-05 Intel Corporation Systems, methods, and apparatuses for implementing geometric kernel based machine learning for reducing opc model error
KR102304331B1 (ko) * 2017-02-24 2021-09-24 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
KR102405686B1 (ko) 2017-09-08 2022-06-07 에이에스엠엘 네델란즈 비.브이. 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법들
US11257207B2 (en) 2017-12-28 2022-02-22 Kla-Tencor Corporation Inspection of reticles using machine learning
JP7002949B2 (ja) 2018-01-22 2022-01-20 株式会社日立ハイテク 画像評価方法及び画像評価装置
KR102644214B1 (ko) 2018-02-23 2024-03-07 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 리소그래피를 위한 머신 러닝 모델을 트레이닝시키기 위한 방법

Also Published As

Publication number Publication date
TWI782245B (zh) 2022-11-01
US20230236512A1 (en) 2023-07-27
KR102617197B1 (ko) 2023-12-27
KR20210095682A (ko) 2021-08-02
US11635699B2 (en) 2023-04-25
CN113227907A (zh) 2021-08-06
WO2020135988A1 (en) 2020-07-02
TWI808901B (zh) 2023-07-11
TW202303271A (zh) 2023-01-16
TW202032256A (zh) 2020-09-01
US20220043356A1 (en) 2022-02-10

Similar Documents

Publication Publication Date Title
TWI785290B (zh) 用於對影像圖案進行分組以判定在圖案化製程中晶圓行為的裝置及方法
KR102617197B1 (ko) 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
US11568123B2 (en) Method for determining an etch profile of a layer of a wafer for a simulation system
US11977334B2 (en) Wavefront optimization for tuning scanner based on performance matching
TWI749355B (zh) 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品
US11733613B2 (en) Prediction of out of specification based on a spatial characteristic of process variability
US20220035256A1 (en) Determining hot spot ranking based on wafer measurement
KR20220016960A (ko) 반도체 제조 공정에서 증착 모델을 적용하는 방법
US11630396B2 (en) Model calibration and guided metrology based on smart sampling
KR102580667B1 (ko) 기판의 스택 구성을 결정하는 방법
TWI779674B (zh) 基於失效率之製程窗
TWI793443B (zh) 判定圖案之像差靈敏度的方法

Legal Events

Date Code Title Description
A107 Divisional application of patent