CN116710843A - 用于自由形状的光学邻近校正 - Google Patents

用于自由形状的光学邻近校正 Download PDF

Info

Publication number
CN116710843A
CN116710843A CN202080107815.3A CN202080107815A CN116710843A CN 116710843 A CN116710843 A CN 116710843A CN 202080107815 A CN202080107815 A CN 202080107815A CN 116710843 A CN116710843 A CN 116710843A
Authority
CN
China
Prior art keywords
layout
straight line
optical proximity
proximity correction
line segment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080107815.3A
Other languages
English (en)
Inventor
G·P·利平科特
V·卢比希
K·萨卡吉尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SIEMENS INDUSTRY SOFTWARE Ltd
Original Assignee
SIEMENS INDUSTRY SOFTWARE Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SIEMENS INDUSTRY SOFTWARE Ltd filed Critical SIEMENS INDUSTRY SOFTWARE Ltd
Publication of CN116710843A publication Critical patent/CN116710843A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Abstract

所公开的技术的各方面涉及用于将光学邻近校正应用于自由形状的技术。每个光学邻近校正迭代包括:基于从紧接在多个光学邻近校正迭代中的每一者之前的光学邻近校正迭代得出的边缘放置误差来计算用于直线片段的边缘调整值,基于所确定的边缘调整值来调整直线片段的位置,基于经调整的位置上的直线片段来确定布局特征的平滑边界线,对具有平滑边界线的布局特征执行模拟处理以确定布局特征的模拟图像,以及基于将布局特征的模拟图像与布局特征的目标图像进行比较来得出直线片段的边缘调整误差。

Description

用于自由形状的光学邻近校正
技术领域
本公开的技术涉及电路设计和制造领域。所公开技术的各种实施方式对于布局设计的光学邻近校正可能特别有用。
背景技术
随着设计者和制造商继续缩小电路部件的尺寸,通过光刻在衬底上再现的形状变得更小并且被放置成更靠近彼此。这种特征尺寸和间距的减小增加了将设计布局预期的图像忠实再现到衬底上的难度,并且可能在所制造的器件中产生缺陷。为了解决该问题,通常采用一种或多种分辨率增强技术来改善在光刻过程中掩模在衬底上形成的图像的分辨率。
其中一种分辨率增强技术(光学邻近校正或光学过程校正(OPC))尝试补偿光衍射效应。当光照射光掩模时,透射的光进行衍射,掩模区域的空间频率越高,光衍射的角度就越大。在光刻系统中的透镜的分辨率极限使得该透镜在二维布局中有效地用作针对各种空间频率的低通滤波器。这能够导致光学邻近效应,例如线端从其期望位置的回拉、角圆化、以及孤立结构和致密结构之间的偏差。光学邻近校正通过修改用于创建光掩模的布局设计数据来调整透射通过光掩模的光的幅度。例如,可以根据在衬底上的某些点处需要多少额外的曝光(或欠曝光)来调整布局设计中的边缘,以使几何元件的某些部分更大或更小。当这些调整被适当校准时,极大地改善了总体图案保真度,从而减少了光学邻近效应。
通常,布局设计主要包含曼哈顿形状。对于曼哈顿形状,边缘与x轴和y轴平行。传统设计规则检查(DRC)和OPC工具专注于处理曼哈顿形状。硅光子学将大规模光子集成与大规模电子集成相结合,可以影响电信、数据中心和高性能计算等领域。然而,硅光子学设计通常是用弯曲的形状绘制的。曲线图案也可以提供比曼哈顿图案更好的光刻质量。内存芯片制造开始探索曲线图案。由于使用曲线图案的实际需求和优势,随着用于在掩模上写入曲线图案的多波束掩模写入器的引入,掩模制作行业取得了进展。然而,仍然需要改进OPC技术,以用于更好地处理曲线形状。
发明内容
所公开的技术的各方面涉及用于将光学邻近校正应用于自由形状(free formshape)的技术。在一个方面,存在一种方法,该方法包括:将布局设计中的布局特征的边界线片段化成直线片段,所述片段化包括使用直线片段中的一些来表示布局特征的弯曲的边界线段;基于多个光学邻近校正迭代生成修改的布局特征,所述多个光学邻近校正迭代中的每一者包括:基于从紧接在所述多个光学邻近校正迭代中的每一者之前的光学邻近校正迭代得出的边缘放置误差来计算所述直线片段的边缘调整值,基于所确定的边缘调整值来调整所述直线片段的位置,基于经调整的位置上的所述直线片段来确定所述布局特征的平滑边界线,对具有所述平滑边界线的所述布局特征执行模拟处理以确定所述布局特征的模拟图像,以及基于将所述布局特征的模拟图像与所述布局特征的目标图像进行比较来得出所述直线片段的边缘调整误差。
所述方法还可以包括:处理所述经修改的布局特征以生成供掩模写入工具制作光掩模的掩模数据。所述方法还可以进一步包括:将所述掩模数据应用于所述掩模写入工具以创建光掩模。
确定平滑边界线可以是基于高斯卷积技术的。直线片段的长度可以大于或等于布局设计的最小特征尺寸的四分之一。直线片段中的每一者可以平行于布局设计的x轴或y轴。计算边缘调整值可以包括将边缘放置误差乘以包括交叉掩模误差增强因子的矩阵。
当边缘调整误差在预定范围内或者多个光学邻近校正迭代的数目等于预定数目时,可以终止所述多个光学邻近校正迭代。
在另一个方面,存在存储计算机可执行指令的一种或更多种计算机可读介质,所述计算机可执行指令用于使一个或更多个处理器执行上述方法。
在又一个方面,存在一种系统,包括:一个或更多个处理器,所述一个或更多个处理器被编程以执行上述方法。
在所附的独立权利要求和从属权利要求中阐述了某些创新方面。从属权利要求的特征可以与独立权利要求的特征以及其他从属权利要求的特征适当地组合,而不仅仅是权利要求中明确规定的特征。
以上已经描述了各种创新方面的某些目的和优点。当然,应当理解,不必根据所公开技术的任何特定实施例来实现所有这样的目的或优点。因此,例如,本领域技术人员将认识到,所公开的技术可以以实现或优化如本文所教导的一个优点或一组优点的方式来体现或执行,而不必实现如本文所教导或建议的其它目的或优点。
附图说明
图1示出了可用于实施所公开技术的各种实施例的计算系统的示例。
图2示出了可用于实施所公开技术的各种实施例的多核处理器单元的示例。
图3A示出了掩模特征300和掩模特征的模拟图像302;图3B示出了掩模特征300的边缘的分段的示例;图3C示出了其中一些边缘片段的边缘放置误差;图3D示出了通过OPC过程和对应的模拟图像304从掩模特征300修改的掩模特征303。
图4示出了两种曲线形状,其边界线被片段化。
图5示出了使用直线段近似弯曲的边界线所引起的波纹度的示例。
图6示出了可以根据所公开的技术的各个实施例实施的光学邻近校正工具的示例。
图7示出了显示可以根据所公开的技术的各种示例来实施的光学邻近校正的过程的流程图。
图8示出了曲线布局特征的一部分的示例,该曲线布局特征使用两种不同的方法断开以进行OPC处理。
图9示出了根据所公开技术的各种示例的光学接近迭代的示例。
图10A示出了OPC迭代期间经高斯卷积得出的布局特征的平滑结果的示例。
图10B示出了根据所公开的技术的各种示例的通过对图10A中所示的布局特征执行OPC处理而获得的经修改的布局特征的示例。
具体实施方式
概述
当前公开的技术的各个方面涉及用于将光学邻近校正应用于自由形状的技术。在下面的描述中,出于解释的目的阐述了许多细节。然而,本领域普通技术人员将认识到,可以在不使用这些特定细节的情况下实施所公开的技术。在其他情况下,并未详细描述已知的特征,以避免使当前公开的技术混淆。
本文描述的一些技术可以以存储在计算机可读介质上的软件指令、以在计算机上执行的软件指令、或以这两者的某种组合来实施。例如,所公开的一些技术可以实施为电子设计自动化(electronic design automation,EDA)工具的一部分。可以在单个计算机上或在联网的计算机上执行这种方法。
虽然以特定的顺序来描述所公开的方法的操作以便于呈现,但是应当理解的是,除非下面阐述的特定语言需要特定的顺序,否则这种描述方式包括重新排列。例如,在一些情况下,顺序地描述的操作可以被重新排列或同时执行。此外,为了简化起见,所公开的流程图和框图通常未示出特定方法可以结合其他方法使用的各种方式。另外,详细描述有时使用诸如“执行”、“得出”和“确定”等术语来描述所公开的方法。这些术语是所执行的实际操作的高级抽象。对应于这些术语的实际操作将根据具体的实施方式而变化,并且本领域普通技术人员容易识别出这些实际操作。
此外,如本文所使用的,术语“设计”旨在涵盖描述整个集成电路器件的数据。该术语还旨在涵盖描述整个器件的一个或更多个部件(然而,例如集成电路器件的一部分)的较小的数据组。此外,术语“设计”还旨在涵盖描述多于一个微器件的数据,例如用于在单个晶片上形成多个微器件的数据。
说明性操作环境
可以使用由一个或更多个可编程计算设备执行的计算机可执行软件指令来实施根据所公开技术的实施例的各种电子设计自动化过程的执行。因为可以使用软件指令来实施所公开技术的这些实施例,所以将首先描述可以在其上采用所公开技术的各个实施例的通用可编程计算机系统的部件和操作。此外,由于一些电子设计自动化过程的复杂度和许多电路设计的大尺寸,各种电子设计自动化工具被配置成在能够同时运行多个处理线程的计算系统上操作。因此,将参照图1描述具有主机或主计算机以及一个或更多个远程计算机或从属计算机的计算机网络的部件和操作。然而,该操作环境仅是合适的操作环境的一个示例,其并不旨在暗示对所公开技术的使用范围或功能性的任何限制。
在图1中,计算机网络101包括主计算机103。在所示的示例中,主计算机103是包括多个输入和输出设备105和存储器107的多处理器计算机。输入和输出设备105可以包括用于从用户接收输入数据或向用户提供输出数据的任何设备。输入设备可以包括例如用于从用户接收输入的键盘、麦克风、扫描器或指点设备。然后,输出设备可包括显示监视器、扬声器、打印机或触觉反馈设备。这些设备及其连接在本领域中是已知的,因此在这里不再详细讨论。
可以类似地使用可由主计算机103访问的计算机可读介质的任何组合来实施存储器107。计算机可读介质可以包括例如微电路存储器设备,如读写存储器(RAM)、只读存储器(ROM)、电可擦除可编程只读存储器(EEPROM)或闪存微电路设备、CD-ROM盘、数字视频盘(DVD)、或其他光学存储设备。计算机可读介质还可以包括磁带盒、磁带、磁盘或其他磁性存储设备、穿孔介质、全息存储设备、或可用于存储所需信息的任何其他介质。
如下面将详细讨论的,主计算机103运行用于根据所公开技术的各种示例来执行一个或更多个操作的软件应用。因此,存储器107存储软件指令109A,该软件指令109A在被执行时将实施用于执行一个或更多个操作的软件应用。存储器107还存储与软件应用一起使用的数据109B。在所示的实施例中,数据109B包含软件应用用于执行操作的处理数据,所述操作中的至少一些操作可以是并行的。
主计算机103还包括多个处理器单元111、和接口设备113。处理器单元111可以是可以被编程以执行软件指令109A的任何类型的处理器设备,但是通常是微处理器设备。例如,处理器单元111中的一者或多者可以是商业通用的可编程微处理器,例如(因特尔公司)的/>或XeonTM微处理器、Advanced Micro Devices(超威半导体公司)的AthlonTM微处理器、或Motorola(摩托罗拉公司)的/>微处理器。可替代地或附加地,处理器单元111中的一者或多者可以是定制制造的处理器,例如被设计成最佳地执行特定类型的数学运算的微处理器。接口设备113、处理器单元111、存储器107和输入/输出设备105通过总线115连接在一起。
对于所公开技术的一些实施方式,主计算设备103可以采用具有多于一个处理器核心的一个或更多个处理单元111。因此,图2示出了可与所公开技术的各个实施例一起使用的多核处理器单元111的示例。如该图所示,处理器单元111包括多个处理器核心201。每个处理器核心201包括计算引擎203和存储器高速缓存(cache)205。如本领域普通技术人员所知晓的,计算引擎包含用于执行各种计算功能(例如获取软件指令)并且然后执行所获取的指令中指定的动作的逻辑设备。这些动作可以包括,例如,加、减、乘和比较数字,执行诸如AND、OR、NOR和XOR的逻辑运算,以及检索数据。然后,每个计算引擎203可以使用其对应的存储器高速缓存205来快速存储和检索数据和/或用于执行的指令。
每个处理器核心201连接到互连207。互连207的特定结构可根据处理器单元111的架构而变化。对于一些处理器核心201,例如由Sony(索尼)公司、Toshiba(东芝)公司和IBM公司创建的单元微处理器,互连207可以被实施为互连总线。然而,对于其它处理器单元111,例如可从加利福尼亚州森尼韦尔的Advanced Micro Devices获得的OpteronTM和AthlonTM双核处理器,互连207可以被实施为系统请求接口设备。在任何情况下,处理器核心201通过互连207与输入/输出接口209和存储器控制器210通信。输入/输出接口209提供处理器单元111和总线115之间的通信接口。类似地,存储器控制器210控制处理器单元111和系统存储器107之间的信息交换。对于所公开技术的一些实施方式,处理器单元111可包括附加部件,例如由处理器核心201共享的可访问的高级别高速缓存存储器。
虽然图2示出了可以由所公开技术的一些实施例采用的处理器单元111的一个图示,但是应当理解的是,该图示仅是代表性的,而并不是限制性的。此外,对于一些实施方式,可以使用多核处理器单元111来代替多个单独的处理器单元111。例如,所公开技术的可替代实施方式可以采用具有六个核心的单个处理器单元111、每个处理器具有三个核心的两个多核处理器单元、具有四个核心的多核处理器单元111以及两个单独的单核处理器单元111等,而不是采用六个单独的处理器单元111。
现在回到图1,接口设备113允许主计算机103通过通信接口与从属计算机117A、117B、117C……117x通信。通信接口可以是任何合适类型的接口,包括例如常规的有线网络连接或光传输的有线网络连接。通信接口还可以是无线连接,例如无线光学连接、射频连接、红外连接、或甚至声学连接。接口设备113根据一个或更多个通信协议(例如传输控制协议(TCP)、用户数据报协议(UDP)和因特网协议(IP))将来自主计算机103和每个从属计算机117的数据和控制信号转换成网络消息。这些和其他常规的通信协议在本领域中是已知的,因此在此不再详细讨论。
每个从属计算机117可以包括通过系统总线127连接在一起的存储器119、处理器单元121、接口设备123、以及可选的一个以上的输入/输出设备125。与主计算机103相同,用于从属计算机117的可选的输入/输出设备125可以包括任何常规的输入或输出设备,例如键盘、指点设备、麦克风、显示监视器、扬声器和打印机。类似地,处理器单元121可以是任何类型的常规的或定制制造的可编程处理器设备。例如,处理器单元121中的一者或多者可以是商业通用的可编程微处理器,例如的/>或XeonTM微处理器、Advanced MicroDevices的AthlonTM微处理器、或Motorola的/>微处理器。可替代地,处理器单元121中的一者或多者可以是定制制造的处理器,例如被设计成最佳地执行特定类型的数学运算的微处理器。此外,处理器单元121中的一者或多者可以具有多于一个的核心,如上面参考图2所描述的那样。例如,对于所公开技术的一些实施方式,处理器单元121中的一者或多者可以是单元处理器。然后可以使用上面讨论的计算机可读介质的任何组合来实施存储器119。与接口设备113类似,接口设备123允许从属计算机117通过通信接口与主计算机103通信。
在所示的示例中,主计算机103是具有多个处理器单元111的多处理器单元计算机,而每个从属计算机117具有单个处理器单元121。然而,应当注意的是,所公开技术的可替代实施方式可以采用具有单个处理器单元111的主计算机。此外,从属计算机117中的一者或多者可以具有多个处理器单元121,这取决于它们的预期用途,如之前所讨论的那样。此外,虽然针对主计算机103和从属计算机两者仅示出了单个接口设备113或123,但是应当注意,对于所公开技术的可替代实施方式,计算机103、从属计算机117中的一个或更多个、或这两者的某种组合可以使用两个或更多个不同的接口设备113或123来通过多个通信接口进行通信。
对于所公开技术的各种示例,主计算机103可以连接到一个或更多个外部数据存储设备。可以使用可以由主计算机103访问的计算机可读介质的任何组合来实施这些外部数据存储设备。计算机可读介质可以包括例如微电路存储器设备,如读写存储器(RAM)、只读存储器(ROM)、电可擦除可编程只读存储器(EEPROM)或闪存微电路设备、CD-ROM盘、数字视频盘(DVD)、或其他光学存储设备。计算机可读介质还可以包括磁带盒、磁带、磁盘或其他磁性存储设备、穿孔介质、全息存储设备、或可用于存储所需信息的任何其他介质。根据所公开技术的一些实施方式,从属计算机117中的一者或多者可以可替代地或附加地连接到一个或更多个外部数据存储设备。通常,这些外部数据存储设备将包括还连接到主计算机103的数据存储设备,但是它们也可以不同于主计算机103可访问的任何数据存储设备。
还应当理解的是,图1和图2中所示的计算机网络的描述是仅作为示例提供的,其不旨在暗示对所公开技术的可替代实施例的使用范围或功能的任何限制。
电路设计流程和光学邻近校正
电子电路(如集成微电路)用于各种产品,从汽车到微波到个人电脑。设计和制造集成电路器件通常涉及许多步骤,有时称为“设计流程”。设计流程的特定步骤通常取决于集成电路的类型、其复杂性、设计团队以及将制造微电路的集成电路制造商或代工厂。通常,软件和硬件“工具”通过运行软件模拟器(simulator)和/或硬件仿真器(emulator),在设计流程的各个阶段验证设计。这些步骤有助于发现设计中的错误,并允许设计师和工程师纠正或改进设计。
对于大多数设计流程,几个步骤是通用的。最初,用于新电路的规范被转换成逻辑设计,有时将其称为电路的寄存器传输级(register transfer level,RTL)描述。利用这种逻辑设计,按照硬件寄存器之间的信号交换和对那些信号执行的逻辑操作来描述电路。逻辑设计通常采用硬件设计语言(Hardware Design Language,HDL),例如超高速集成电路硬件设计语言(Very high speed integrated circuit Hardware Design Language,VHDL)。然后分析电路的逻辑,以确认该逻辑将精确地执行电路所需的功能。有时将该分析称为“功能验证”。
在确认逻辑设计的精确度之后,通过合成软件将逻辑设计转换为器件设计。通常以示意图或网表的形式的器件设计描述了将在电路中使用的特定电子器件(例如晶体管、电阻器和电容器)及其互连。该器件设计总体上对应于在常规的电路图中显示的表示的水平。然后分析电子器件之间的关系,以确认由器件设计描述的电路将正确地执行所需的功能。有时将该分析称为“形式验证”。附加地,在该阶段,通常使用用于每个器件的假定特征速度对电路的部分进行初步定时估算,并将其并入验证过程中。
一旦建立部件及其互连,该设计再次被转换,这次将其转换为描述特定几何元件的物理设计。这种类型的设计通常被称为“布局”设计。典型为多边形的几何元件限定了将在各种材料层中创建以制造电路的形状。典型地,自动化的放置和布线工具将被用于定义物理布局,特别是定义将被用于互连电路器件的导线。在布局设计中,微电路的每一层将具有对应的层表示,并且在层表示中描述的几何形状将限定构成电路器件的电路元件的相对位置。例如,金属层的层表示中的形状将限定用于连接电路器件的金属线的位置。诸如明导公司(Mentor Graphics)的IC Station或楷登(Cadence)的Virtuoso的定制布局编辑器允许设计者定制设计布局,其主要用于模拟、混合信号、RF和标准单元设计。
能够以许多不同的格式提供集成电路布局描述。图形数据系统II(Graphic DataSystem II,GDSII)格式是用于传输和存档二维图形IC布局数据的流行格式。在其它特征中,其包含结构的层级,每个结构包含布局元素(例如,多边形、路径或多线、圆、以及文本框)。其他格式包括名为Open Access的开源格式、由Synopsys,Inc.(新思公司)提供的Milkyway,由明导公司提供的EDDM、以及最近由半导体器件和材料国际(SEMI)提出的开放原图系统交换标准(Open Artwork System Interchange Standard,OASIS)。这些各种工业格式用于限定IC布局设计中的几何信息,其中这些IC布局设计用于制造集成电路。一旦完成了微电路器件设计,该设计的布局部分能够由制造工具使用,以利用光刻过程来制造该器件。
通常,设计者将对布局设计执行多个验证过程。例如,可以分析布局设计以确认其准确地表示电路器件及其在器件设计中描述的关系。在该过程中,LVS(布局与示意图)工具从布局设计中提取网表,并将其与取自电路示意图的网表进行比较。可以通过形式等效性检查来增强LVS,该形式等效性检查检查两个电路是否执行完全相同的功能而不需要同构。
还可以分析布局设计以确认其符合各种设计要求,例如几何元件之间的最小间距和几何元件的最小线宽。在该过程中,DRC(设计规则检查)工具以GDSII标准格式的布局和特定于被选择用于制造的半导体过程的规则列表作为输入。用于特定过程的一组规则被称为运行组、规则集(deck)或仅仅是集。规则集的格式的示例是明导公司的标准验证规则格式(Standard Verification Rule Format,SVRF)。
有许多不同用于制造电路的制造过程,但是大多数过程包括一系列步骤,这些步骤将不同材料层沉积在衬底上,使每个层的特定部分暴露于辐射,然后蚀刻掉层的暴露(或非暴露)部分。例如,可以通过以下步骤制造简单的半导体器件部件。首先,通过化学气相沉积在硅衬底上生长正型外延层。接着,在外延层上沉积氮化物层。然后,将氮化物层的特定区域暴露于辐射,并且将暴露区域蚀刻掉,以留下外延层上的暴露区域(即,不再被氮化物层覆盖的区域)。然后对暴露的区域进行扩散或离子注入过程,使掺杂剂(例如磷)进入暴露的外延层并形成带电阱。这样的在衬底或后续材料层上沉积材料层、然后将特定图案暴露于辐射、蚀刻、和掺杂剂或其他扩散材料的过程被重复多次,从而允许制造电路的不同物理层。
每次材料层暴露于辐射时,必须创建掩模以仅将所需区域暴露于辐射,并保护其他区域不被暴露。根据电路布局数据创建掩模。即,在设计布局中描述的几何元件限定将通过掩模暴露于辐射的电路的相对位置或区域。掩模或掩膜版(reticle)书写工具用于基于设计布局来创建掩模,此后掩模可用于光刻过程。
如之前讨论的,经常采用一种或多种分辨率增强技术(RET)来改善在光刻过程期间掩模在衬底上形成的图像的分辨率。这些技术中的一种技术是光学邻近校正(OPC)。OPC可以是基于规则的、基于模型的或基于这两者的。在基于规则的OPC中,表征了邻近效应,并且针对特定的几何配置设计了特定的解决方案。然后使用DRC工具或基于几何的软件引擎来搜索布局设计以找到这些几何配置。一旦发现所述几何配置,就应用特定的解决方案。
基于规则的OPC方法适用于简单情况。然而,对于复杂的布局特征,必须使用基于模型的OPC方法来获得期望的结果。基于模型的OPC执行模拟以预测印刷图像,从而指导布局修改。在典型的基于模型的OPC过程中,布局设计中的多边形被划分为边缘片段,以允许边缘片段的期望的精细运动。图3A-图3D示出了示例。图3A中的布局特征300的边缘301可以被片段化成如图3B所示的边缘片段301A-301F。在给定的布局设计中,边缘片段的大小以及哪些特定边缘将被片段化取决于OPC过程参数,通常称为OPC程式(recipe)。虽然并非布局设计中的所有边缘都在每个OPC过程中被片段化,但这些边缘也可以称为边缘片段。进行模拟以获得图3A中所示的布局特征300的预测印刷图像302。将此模拟图像与目标图像进行比较。通常,这种比较是在每个边缘片段上进行的。例如,如图3C所示,目标图像在边缘片段301A处距模拟图像的距离为d1,目标图像在边缘片段301C处距模拟图像的距离为d2,而目标图像在边缘片段301B处与模拟图像相交。目标图像和模拟图像之间的距离通常称为边缘放置误差(EPE)。
接下来,分别移动或调整边缘片段,以便使所得掩模的模拟图像能够尽可能重现目标图像。例如,如图3D所示,边缘片段301A和301F沿远离布局特征300的方向移位,以努力加宽将由所得掩模产生的图像的对应部分。类似地,边缘片段301C和301D在朝向布局特征300的方向上移位,以努力缩窄将由所得掩模产生的图像的对应部分。接下来,模拟将使用移位的边缘片段由掩模来产生的图像,并将新的模拟图像与目标图像进行比较,并计算每个边缘片段的边缘放置误差。
这样的移动边缘片段、模拟将使用移动的边缘片段来产生的图像、以及将模拟图像与目标图像进行比较的过程可以被重复多次。移动边缘片段以及将新模拟图像与目标图像进行比较的每个循环被称为OPC过程的迭代。通常,基于边缘放置误差来确定在给定迭代期间移动的边缘片段以及边缘片段被移位的距离。例如,因为图3C中的d1大于d2,光学邻近校正过程的后续迭代可能使边缘片段301A移动的量大于边缘片段301C移动的量。
每个边缘片段的移动值(通常称为边缘调整值或边缘位移值)可以是边缘放置误差乘以一个恒定因子(反馈因子)。根据OPC程式,该反馈因子可能取决于位置或取决于边缘类型。考虑相邻边缘片段之间的相关性的方法,例如8,910,098号和8,881,070号美国专利(通过引用并入本文)中所述的方法,也可用于得出移动值(称为基于交叉MEEF(掩模误差增强因子)的方法)。
OPC迭代过程继续进行,直至模拟图像与目标图像充分相似(例如,d1和d2均小于阈值),或直至确定边缘片段的位移已收敛于边缘片段无进一步移动将改善模拟图像的位置。一旦在布局设计数据中确定了边缘片段的最终位置,如图3D,可以从校正的布局设计数据创建经修改的掩模特征303。如图3D,由经修改的掩模特征303产生的图像304应更接近地对应于目标图像。
虽然基于修改几何形状的OPC必然可以纠正许多邻近效应,但它不能解决一个邻近效应——聚焦条件差异导致的等密度偏差问题。当离轴照明方案(三种主要的分辨率增强技术之一)进行优化以获得密集放置特征的最大焦深时,聚焦条件差异将变得显著。可以将亚分辨率辅助图形(sub-resolution assist features,SRAF)插入布局设计中,为独立特征提供类似密集环境。SRAF(有时也称为“散射条”)是不打算印刷的亚分辨率特征。必须仔细调整尺寸和位置,使得其不会在所需的处理窗口上印刷。这决定了SRAF生成和放置中最重要的权衡:使辅助特征尽可能大和密集,以创建更密集的掩模图案,但不会太大或太密集到被印刷出来。正如基于边缘调整的OPC方法一样,存在基于规则的SRAF方法和基于模型的SRAF方法。SRAF插入通常在基于边缘调整的OPC过程之前或期间进行。
光学邻近校正工具
逆光刻,有时也被称为极端OPC、逆OPC或pixOPC,已经针对光学邻近校正进行了探索。与传统的OPC技术不同,进行逆计算以获得掩模图案,该掩模图案将在给定光刻光学器件的目标晶片形状和模型的情况下提供所需的晶片图像和处理窗口。逆光刻将光学邻近校正视为像素化掩膜域上的约束优化问题。约束优化问题可以被表述为找到掩模m=m(x,y),其将表示图像强度I(x,y)沿着帧的目标轮廓Ci与阈值常数T的偏差的目标函数G最小化。可以找到目标函数梯度的解析表示,并且可以使用快速傅立叶变换来快速计算目标函数梯度。
由于光刻光学器件是带限系统,由逆光刻得出的图案往往是曲线的。然而,逆光刻是一种用来确定将产生所需的片上结果的掩模形状的相对严格的计算方法。因此,逆光刻往往是计算密集型的。使用目前的逆光刻技术,除了处理诸如热点修复的小图案和对存储器单元中的形状进行OPC之外,全芯片设计的成本可能不划算。
对曲线图案执行操作控制的另一种潜在方法是使用短直线片段近似弯曲的边界线。图4中示出了两个曲线形状410和420,其中边界线被片段化。边界线上的片段点在图中以点表示。连接相邻点的直线段用于近似边界线。如能在图中所示的,与具有大曲率的边界线段相关联的直线片段明显短于与具有小曲率的边界线段相关联的直线片段(例如,将位置430中的那些直线片段与位置440中的那些直线片段进行比较)。这给传统的OPC技术带来了一个问题,因为在OPC过程中,曲率大的那些位置中的短直线片段具有很强的相关性。调整一个短直线片段会影响许多其他相邻直线片段的调整。这是因为当特征尺度比光波长小得多时,光学邻近效应会显著增加。强相关性可能导致不希望的结果,例如曲线形状420的经修改的布局特征的尖峰特征450。
与光波长相比,硅光子学的特征通常不是很小。因此,相关性问题可能并不重要。然而,使用直线段(平行于布局设计的x轴或y轴,或与x轴成45度角)来近似弯曲的边界线可能会导致不同的问题——波纹度。图5示出了通过使用直线段来近似弯曲的边界线而引起的波纹度的示例。图中显示了两个波导510和520的目标图像。用于波导510和波导520的边界线被弯曲以传播携带信号的光。波导510的一部分的放大图片530显示目标边界线540和在OPC处理之后获得的模拟边界线550。模拟边界线550的表观波纹度对于波导可能是一个问题。
图6示出了可以根据所公开技术的各个实施例实施的光学邻近校正工具600的示例。光学邻近校正工具600可用于在具有曲线图案的全芯片布局设计上高效地执行OPC,而不会导致波纹或其他不需要的特征。如图所示,光学邻近校正工具600包括分段单元610、边缘片段平滑单元620、模拟单元630和边缘片段调整单元640。光学邻近校正工具600的一些实施方式可以与掩模数据准备工具650、掩模写入工具660、输入数据库605和输出数据库655中的一者或多者协作(或合并)。
如下文将更详细地讨论的,光学邻近校正工具600可以从输入数据库605接收布局设计。分段单元610可以将布局设计中的布局特征的边界线片段化为直线片段,这包括使用其中一些直线片段来表示布局特征的弯曲的边界线的片段。然后,光学邻近校正工具600可以基于多个光学邻近校正迭代生成经修改的布局特征。多个光学邻近校正迭代中的每一者包括分别由边缘片段平滑单元620、模拟单元630和边缘片段调整单元640执行的以下操作。边缘片段调整单元640可以基于从紧接当前光学邻近校正迭代之前的光学邻近校正迭代得出的边缘放置误差来计算用于直线片段的边缘调整值。然后,边缘片段调整单元640可以基于所确定的边缘调整值来调整直线片段的位置。基于经调整的位置上的直线片段,边缘片段平滑单元620可以确定布局特征的平滑边界线。模拟单元630可以对具有平滑边界线的布局特征执行模拟处理以确定布局特征的模拟图像。边缘片段调整单元640可以基于将布局特征的模拟图像与布局特征的目标图像进行比较来得出直线片段的边缘调整误差。光学邻近校正工具600可以基于边缘调整误差是否在预定范围内或者多个光学邻近校正迭代的数目是否等于预定数目来确定是否终止迭代。
在生成经修改的布局特征之后,光学邻近校正工具600可以将经修改的布局特征的信息存储在输出数据库655中。可选地,掩模写入工具660可以处理所述经修改的布局特征以生成供掩模写入工具制作光掩模的掩模数据。掩模写入工具660可以使用掩模数据创建光掩模。
如前所述,所公开的技术的各种示例可以由一个或更多个计算系统(例如图1和图2中所示的计算系统)来实施。因此,可以通过在一个或更多个计算系统(例如图1和图2中所示的计算系统)中的一个或多个处理器上执行编程指令来实施分段单元610、边缘片段平滑单元620、模拟单元630、边缘片段调整单元640和掩模数据准备工具650中的一者或多者。相应地,所公开的技术的一些其他实施例可以通过存储在非瞬时性计算机可读介质上的软件指令来实施,用于指示一个或更多个可编程计算机/计算机系统执行分段单元610、边缘片段平滑单元620、模拟单元630、边缘片段调整单元640和掩模数据准备工具650中的一者或多者的功能。如本文所使用的,“非瞬时性计算机可读介质”是指能够存储数据以供将来检索而不传播电磁波的计算机可读介质。非瞬时性计算机可读介质可以是例如磁存储设备、光学存储设备或固态存储设备。
还应理解,虽然在图6中将分段单元610、边缘片段平滑单元620、模拟单元630、边缘片段调整单元640和掩模数据准备工具650显示为单独的单元,但是可以使用单个计算机(或主计算机内的单个处理器)或单个计算机系统来在不同的时间实施这些单元中的一些单元或全部单元、或在不同的时间实施这些单元的部件。
利用所公开的技术的各种示例,可以使用任何合适的计算机可读存储设备来实施输入数据库605和输出数据库655。即,输入数据库605和输出数据库655中的任一者可以使用计算机可读存储设备的任何组合来实施,所述计算机可读存储设备包括例如微电路存储设备,例如读写存储器(RAM)、只读存储器(ROM)、电可擦除可编程只读存储器或(EEPROM)或闪存微电路设备、CD-ROM盘、数字视频盘(DVD)或其他光存储设备。计算机可读存储设备还可以包括磁带盒、磁带、磁盘或其他磁存储设备、全息存储设备或可用于存储期望信息的任何其他非瞬时性存储介质。虽然输入数据库605和输出数据库655在图6中显示为单独的单元,但是可以使用单个数据存储介质来实施这些数据库中的部分或全部。
用于自由形状的光学邻近校正的过程
图7示出了显示可以根据所公开的技术的各种示例来实施的光学邻近校正的过程的流程图700。为了便于理解,将参考图6中的光学邻近校正工具600和图7中的流程图700来描述根据所公开的技术的各个实施例可以使用的光学邻近校正的方法。然而,应当理解,根据所公开的技术的各种实施例,可以使用光学邻近校正工具的替代实施方式来执行由流程图700示出的光学邻近校正的方法。同样,可以使用光学邻近校正工具600来执行根据所公开技术的各种实施例的光学邻近校正的其他方法。
在流程图700的操作710中,光学邻近校正工具400从输入数据库705接收布局设计。从电路设计得出的布局设计可能为GDSII标准格式。布局设计可以是整个芯片的布局设计,也可以是全芯片布局设计的一部分。布局设计包括具有弯曲的边界线或弯曲的线段的布局特征。图8示出曲线布局特征800的一部分的示例。布局特征800包括两个直线边界线段:一个在端点810和端点820之间,另一个在端点830和端点840之间。布局特征800还包括端点820和端点830之间的弯曲的边界线段。
在操作720中,光学邻近校正工具400的分段单元610将布局设计中的布局特征的边界线片段化成直线片段。直线边界线段可被划分为直线片段,而弯曲的边界线段用直线片段表示。直线片段的大小可能取决于一些因素,例如布局设计的最小特征尺寸。最小特征尺寸可以是栅极长度或要用于布局设计的技术节点的M1(第一金属层)半节距。在所公开技术的一些实施例中,直线片段的长度大于或等于布局设计的最小特征尺寸的四分之一。
对于所公开的技术的一些实施方式,分段单元610仅使用平行于布局设计的x轴或y轴的直线片段。对于所公开的技术的一些其他实施方式,分段单元610可以附加地使用具有与x轴成45度和135度的角度的直线片段。
在图8中,将根据所公开的技术的各个实施例得出的分段结果的示例与使用短直线片段来近似弯曲的边界线得出的分段结果(类似于图4)进行比较。这两种方法对两个直线边界线段810-820和830-840产生几乎相同的结果,因为端点几乎重叠。然而,对于弯曲的边界线段820-830,由分段单元610进行的分段导致10个直线片段851-860,而另一种方法导致30个直线片段。后者的端点聚集在边界线段具有大曲率的区域中。
返回参考流程图700。在操作730中,光学邻近校正工具600基于多个光学邻近校正迭代生成经修改的布局特征。图9示出了根据所公开的技术的各种实施例的多个光学邻近校正迭代的示例。在操作910中,边缘片段调整单元640基于从紧接在当前光学邻近校正迭代之前的光学邻近校正迭代得出的边缘放置误差来计算用于直线片段的边缘调整值。边缘调整值可以通过将边缘放置误差乘以反馈因子来获得。反馈因子可以是常数。可替代地,反馈因子可以用包括交叉MEEF的矩阵来表示,以考虑相邻直线片段之间的相关性。在操作920中,边缘片段调整单元640然后可以基于所确定的边缘调整值来调整直线片段的位置。可以存储直线片段的新位置信息。
在操作930中,边缘片段平滑单元620基于经调整的位置上的直线片段来确定布局特征的平滑边界线。可采用各种平滑技术。在所公开技术的一些实施例中,边缘片段平滑单元620采用高斯卷积技术。表示由直线片段形成的阶梯轮廓(stair-step contour)的函数可以使用高斯权重函数进行卷积。高斯权重函数的作用就像一个空间滤波器,用平滑的曲线代替由阶梯形成的线。用户可以选择高斯权重函数的参数。图10A示出了在OPC迭代期间通过高斯卷积得出的布局特征1000的平滑结果的示例。在该图中,线1005表示布局特征1000的目标图像的边界线;线1010表示通过将布局特征1000的边界线片段化而得出的直线片段;线1020是通过对线1010应用高斯卷积技术获得的平滑线。除了高斯卷积,还可以采用基于移动平均、样条、贝塞尔曲线、最小二乘滤波、局部回归或其他曲线拟合/滤波方法的技术。
在操作940中,模拟单元630然后对具有平滑边界线的布局特征执行模拟处理以确定布局特征的模拟图像。模拟可以基于光刻系统的光学模型和光刻胶模型。也可以使用其他模型,例如蚀刻模型。模拟单元630、分段单元610和边缘片段调整单元640中的一者或多者可以基于商用OPC工具中的引擎来实施,例如从俄勒冈州威尔逊维尔的Mentor GraphicsCorporation(明导公司)获得的Calibre系列软件工具中的引擎。在图10A中,线1030是基于作为布局特征1000的边界线的平滑线1020而得出的特征1000的模拟图像的边界线。
在操作950中,边缘片段调整单元640基于将布局特征的模拟图像与布局特征的目标图像进行比较来得出直线片段的边缘调整误差。在图10A中,可以将线1030与线1005进行比较,以得出线1010上的每个直线片段的边缘调整误差。
在操作960中,光学邻近校正工具600可以基于边缘调整误差是否在预定范围内或者多个光学邻近校正迭代的数目是否等于预定数目来确定是否终止迭代。如果两个问题的答案都为否,则光学邻近校正工具600可以开始下一次迭代。如果任一问题的答案是肯定的,则光学邻近校正工具600可以退出迭代,将经修改的布局特征的信息存储在输出数据库655中。该信息可以包括经修改的布局特征的平滑边界线的信息。图10B示出了根据所公开的技术的各种示例,通过对布局特征1000执行OPC处理而获得的用于掩模制备的经修改的布局特征的示例。该图示出了用于布局特征1000的目标图像的线1005、用于布局特征1000的最终平滑线1025和用于基于最终平滑线1025计算的布局特征1000的模拟晶片图像的线1035,其中,最终平滑线1025是从多个OPC迭代得出的并且可以基于其进行掩模写入。可以看出,线1035不仅非常接近目标线1005,而且没有波纹或其它不需要的特征,例如图4中的特征450。此外,图9中的流程图所表示的OPC迭代不包括与逆光刻过程一样计算密集型的操作。
光学邻近校正工具600还可以确定在多个光学邻近校正迭代之后经修改的布局特征的处理窗口信息。在操作940中获得的模拟图像通常是在标称条件下模拟的图像。可以通过在偏离标称条件的条件下进行模拟来获得处理窗口信息。光学邻近校正工具600可以使用处理窗口信息来查找热点,即在光刻过程中可能引起印刷适性问题的布局图案。夹断型(pinching-type)热点可能导致开路或夹断缺陷,桥接型热点可能导致桥接缺陷。光学邻近校正工具600可以执行修复操作以修复一些或所有热点。
返回参见流程图700。在操作740中,掩模数据准备工具650可选地可以处理所述经修改的布局特征以生成供掩模写入工具制作光掩模的掩模数据。掩模写入工具可以是基于光栅扫描的—电子束或激光束以预定模式持续扫描。在这种方法中,掩模数据准备工具650将布局数据转换为原始形状,这有时被称为掩模数据分割(fracturing)。可替代地,掩模写入工具可以使用可变形状的光束—由光孔将较大光束成形为原始形状,并且该光孔的图像以单独的“闪烁光”形式投影在多个合适的位置处。对于这种方法,掩模数据准备工具650将布局设计分割成多个尺寸可接受的曝光区域(shot)和适当的阶段运动指令,以创建图案。此外,掩模数据准备工具650可以执行掩模过程校正。虽然光掩模特征通常用于4倍缩小系统,因此特征尺寸比晶片上的尺寸大4倍,但仍然需要精确地制造SRAF和其他明显小得多的OPC点位(jog)和结构。掩模过程校正尝试校正带电粒子邻近效应。
在操作750中,掩模写入工具660使用掩模数据创建光掩模。光掩模可用于通过光刻法制造芯片。
结论
虽然已经针对具体示例描述了所公开的技术,包括实施所公开的技术的当前优选模式,但是本领域技术人员将理解,上述系统和技术的许多变化和置换落入所附权利要求书中所阐述的所公开的技术的精神和范围内。例如,虽然上面使用了特定术语来指代电子设计自动化过程,但是应当理解,可以使用电子设计自动化过程的任何期望组合来实施所公开的技术的各种示例。

Claims (20)

1.一种由计算机的至少一个处理器执行的方法,包括:
将布局设计中的布局特征的边界线片段化为直线片段,所述片段化包括使用所述直线片段中的一些来表示所述布局特征的弯曲的边界线段;以及
基于多个光学邻近校正迭代生成经修改的布局特征,所述多个光学邻近校正迭代中的每一者包括:
基于从紧接在所述多个光学邻近校正迭代中的每一者之前的光学邻近校正迭代得出的边缘放置误差来计算用于所述直线片段的边缘调整值,
基于所确定的边缘调整值来调整所述直线片段的位置,
基于经调整的位置上的所述直线片段来确定所述布局特征的平滑边界线,
对具有所述平滑边界线的所述布局特征执行模拟处理以确定所述布局特征的模拟图像,以及
基于将所述布局特征的模拟图像与所述布局特征的目标图像进行比较来得出所述直线片段的边缘调整误差。
2.根据权利要求1所述的方法,还包括:
处理所述经修改的布局特征以生成供掩模写入工具制作光掩模的掩模数据。
3.根据权利要求2所述的方法,还包括:
将所述掩模数据应用于所述掩模写入工具以创建光掩模。
4.根据权利要求1所述的方法,其中,确定平滑边界线是基于高斯卷积技术的。
5.根据权利要求1所述的方法,其中,所述直线片段的长度大于或等于所述布局设计的最小特征尺寸的四分之一。
6.根据权利要求1所述的方法,其中,所述直线片段中的每一者平行于所述布局设计的x轴或y轴。
7.根据权利要求1所述的方法,其中,计算边缘调整值包括将所述边缘放置误差乘以包括交叉掩模误差增强因子的矩阵。
8.根据权利要求1所述的方法,其中,当所述边缘调整误差在预定范围内或者所述多个光学邻近校正迭代的数目等于预定数目时,终止所述多个光学邻近校正迭代。
9.存储计算机可执行指令的一种或更多种非暂时性计算机可读介质,所述计算机可执行指令用于使一个或更多个处理器执行方法,所述方法包括:
将布局设计中的布局特征的边界线片段化为直线片段,所述片段化包括使用所述直线片段中的一些来表示所述布局特征的弯曲的边界线段;以及
基于多个光学邻近校正迭代生成经修改的布局特征,所述多个光学邻近校正迭代中的每一者包括:
基于从紧接在所述多个光学邻近校正迭代中的每一者之前的光学邻近校正迭代得出的边缘放置误差来计算用于所述直线片段的边缘调整值,
基于所确定的边缘调整值来调整所述直线片段的位置,
基于经调整的位置上的所述直线片段来确定所述布局特征的平滑边界线,
对具有所述平滑边界线的所述布局特征执行模拟处理以确定所述布局特征的模拟图像,以及
基于将所述布局特征的模拟图像与所述布局特征的目标图像进行比较来得出所述直线片段的边缘调整误差。
10.根据权利要求9所述的一种或更多种非暂时性计算机可读介质,其中,所述方法还包括:处理所述经修改的布局特征以生成供掩模写入工具制作光掩模的掩模数据。
11.根据权利要求10所述的一种或更多种非暂时性计算机可读介质,其中,所述方法还包括:将所述掩模数据应用于所述掩模写入工具,以创建光掩模。
12.根据权利要求9所述的一种或更多种非暂时性计算机可读介质,其中,确定平滑边界线是基于高斯卷积技术的。
13.根据权利要求9所述的一种或更多种非暂时性计算机可读介质,其中,所述直线片段的长度大于或等于所述布局设计的最小特征尺寸的四分之一。
14.根据权利要求9所述的一种或更多种非暂时性计算机可读介质,其中,所述直线片段中的每一者平行于所述布局设计的x轴或y轴。
15.根据权利要求9所述的一种或更多种非暂时性计算机可读介质,其中,当所述边缘调整误差在预定范围内或者所述多个光学邻近校正迭代的数目等于预定数目时,终止所述多个光学邻近校正迭代。
16.一种系统,包括:
一个或更多个处理器,所述一个或更多个处理器被编程以执行方法,所述方法包括:
将布局设计中的布局特征的边界线片段化为直线片段,所述片段化包括使用所述直线片段中的一些来表示所述布局特征的弯曲的边界线段;以及
基于多个光学邻近校正迭代生成经修改的布局特征,所述多个光学邻近校正迭代中的每一者包括:
基于从紧接在所述多个光学邻近校正迭代中的每一者之前的光学邻近校正迭代得出的边缘放置误差来计算用于所述直线片段的边缘调整值,
基于所确定的边缘调整值来调整所述直线片段的位置,
基于经调整的位置上的所述直线片段来确定所述布局特征的平滑边界线,
对具有所述平滑边界线的所述布局特征执行模拟处理以确定所述布局特征的模拟图像,以及
基于将所述布局特征的模拟图像与所述布局特征的目标图像进行比较来得出所述直线片段的边缘调整误差。
17.根据权利要求16所述的系统,其中,所述方法还包括:处理所述经修改的布局特征以生成供掩模写入工具制作光掩模的掩模数据。
18.根据权利要求16所述的系统,其中,确定平滑边界线是基于高斯卷积技术的。
19.根据权利要求16所述的系统,其中,所述直线片段的长度大于或等于所述布局设计的最小特征尺寸的四分之一。
20.根据权利要求16所述的系统,其中,所述直线片段中的每一者平行于所述布局设计的x轴或y轴。
CN202080107815.3A 2020-10-08 2020-10-08 用于自由形状的光学邻近校正 Pending CN116710843A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2020/054724 WO2022075989A1 (en) 2020-10-08 2020-10-08 Optical proximity correction for free form shapes

Publications (1)

Publication Number Publication Date
CN116710843A true CN116710843A (zh) 2023-09-05

Family

ID=73856554

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080107815.3A Pending CN116710843A (zh) 2020-10-08 2020-10-08 用于自由形状的光学邻近校正

Country Status (4)

Country Link
US (1) US20230408901A1 (zh)
EP (1) EP4208754A1 (zh)
CN (1) CN116710843A (zh)
WO (1) WO2022075989A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116224707B (zh) * 2022-12-30 2024-01-26 全芯智造技术有限公司 光学临近效应修正方法及装置、存储介质、终端

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7861207B2 (en) * 2004-02-25 2010-12-28 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US8464185B2 (en) * 2008-11-24 2013-06-11 Mentor Graphics Corporation Electron beam simulation corner correction for optical lithography
US8161422B2 (en) * 2009-01-06 2012-04-17 International Business Machines Corporation Fast and accurate method to simulate intermediate range flare effects
US8881070B1 (en) 2014-02-18 2014-11-04 Mentor Graphics Corporation Optical proximity correction based on edge fragment correlation
US8910098B1 (en) 2014-02-18 2014-12-09 Mentor Graphics Corporation Neighbor-aware edge fragment adjustment for optical proximity correction
CN106033170B (zh) * 2015-03-10 2019-11-01 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法
KR102656552B1 (ko) * 2018-12-28 2024-04-12 에이에스엠엘 네델란즈 비.브이. 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법

Also Published As

Publication number Publication date
EP4208754A1 (en) 2023-07-12
WO2022075989A1 (en) 2022-04-14
US20230408901A1 (en) 2023-12-21

Similar Documents

Publication Publication Date Title
US6745372B2 (en) Method and apparatus for facilitating process-compliant layout optimization
US7337421B2 (en) Method and system for managing design corrections for optical and process effects based on feature tolerances
US20070162887A1 (en) Method of fabricating photo mask
US20060033049A1 (en) Design pattern data preparing method, mask pattern data preparing method, mask manufacturing method, semiconductor device manufacturing method, and program recording medium
US8713488B2 (en) Layout design defect repair based on inverse lithography and traditional optical proximity correction
US11714349B2 (en) Mask optimization process
US10732499B2 (en) Method and system for cross-tile OPC consistency
US20120054694A1 (en) Aerial Image Signatures
US8788982B2 (en) Layout design defect repair using inverse lithography
US8910098B1 (en) Neighbor-aware edge fragment adjustment for optical proximity correction
US8533637B2 (en) Retargeting based on process window simulation
US20180196349A1 (en) Lithography Model Calibration Via Genetic Algorithms with Adaptive Deterministic Crowding and Dynamic Niching
US20120167020A1 (en) Pre-OPC Layout Editing For Improved Image Fidelity
US8352891B2 (en) Layout decomposition based on partial intensity distribution
US10067425B2 (en) Correcting EUV crosstalk effects for lithography simulation
US8191017B2 (en) Site selective optical proximity correction
US8539391B2 (en) Edge fragment correlation determination for optical proximity correction
US9811615B2 (en) Simultaneous retargeting of layout features based on process window simulation
US10691869B2 (en) Pattern-based optical proximity correction
US20090077519A1 (en) Displacement Aware Optical Proximity Correction For Microcircuit Layout Designs
US9798226B2 (en) Pattern optical similarity determination
US8683394B2 (en) Pattern matching optical proximity correction
US20090210838A1 (en) Interpolation distance for layout desing data correction model
US20230408901A1 (en) Optical proximity correction for free form shapes
US8250495B2 (en) Mask decomposition for double dipole lithography

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination