KR101463100B1 - 확률적 효과들을 감소시키기 위한 소스 마스크 최적화 - Google Patents

확률적 효과들을 감소시키기 위한 소스 마스크 최적화 Download PDF

Info

Publication number
KR101463100B1
KR101463100B1 KR1020130002470A KR20130002470A KR101463100B1 KR 101463100 B1 KR101463100 B1 KR 101463100B1 KR 1020130002470 A KR1020130002470 A KR 1020130002470A KR 20130002470 A KR20130002470 A KR 20130002470A KR 101463100 B1 KR101463100 B1 KR 101463100B1
Authority
KR
South Korea
Prior art keywords
lithographic
design
cost function
substrate
patterning device
Prior art date
Application number
KR1020130002470A
Other languages
English (en)
Other versions
KR20130082110A (ko
Inventor
스티븐 조지 한센
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20130082110A publication Critical patent/KR20130082110A/ko
Application granted granted Critical
Publication of KR101463100B1 publication Critical patent/KR101463100B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

리소그래피 투사 장치를 사용하여 기판상으로 설계 레이아웃의 부분을 이미징하기 위한 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법으로서, 리소그래피 프로세스의 확률적 효과의 함수인 다중 변수 비용 함수를 정의하는 것을 포함하는 컴퓨터-실행 방법이 본 명세서에 개시된다.

Description

확률적 효과들을 감소시키기 위한 소스 마스크 최적화{SOURCE MASK OPTIMIZATION TO REDUCE STOCHASTIC EFFECTS}
본 명세서의 설명은 리소그래피 장치 및 프로세스에 관한 것이며, 특히 리소그래피 장치 및 프로세스에서의 사용을 위한 광원 및/또는 패터닝 디바이스/설계 레이아웃을 최적화하기 위한 도구에 관한 것이다.
리소그래피 투사 장치는, 예컨대 집적 회로들(ICs)의 제조에서 사용될 수 있다. 그러한 경우, 패터닝 디바이스(예컨대, 마스크)는 IC의 개별 층("설계 레이아웃")에 대응하는 회로 패턴을 포함하거나 제공할 수 있으며, 이러한 회로 패턴은 패터닝 디바이스 상의 회로 패턴을 통해 타겟 부분에 조사하는 것과 같은 방법에 의해, 방사선 감응 물질("레지스트")의 층으로 코팅되었던 기판(예컨대, 실리콘 웨이퍼) 상에 (예컨대 하나 이상의 다이들을 포함하는) 타겟 부분 상으로 전사될 수 있다. 일반적으로, 단일 기판은 회로 패턴이 리소그래피 투사 장치에 의해 연속적으로 전사되는 복수의 인접 타겟 부분들을 포함하며, 한번에 한 타겟 부분에 이루어진다. 리소그래피 투사 장치들 중 한 유형에서, 전체 패터닝 디바이스 상의 회로 패턴은 한꺼번에 하나의 타겟 부분 상에 전사되며, 그러한 장치는 통상적으로 웨이퍼 스테퍼(stepper)로서 지칭된다. 통상적으로 스텝-및-스캔(step-and-scan) 장치로서 지칭되는 대안적인 장치에서, 이 참조 방향에 평행하거나 역-평행(anti-parallel)하게 그 기판을 동시에 이동시키는 동안, 투사 빔이 소정의 참조 방향으로("스캔" 방향) 으로 패터닝 디바이스 위로 스캐닝한다. 패터닝 디바이스 상의 회로 패턴의 상이한 부분들이 하나의 타겟 부분에 점진적으로 전사된다. 일반적으로, 리소그래피 투사 장치는 확대 계수 M (일반적으로 < 1)을 가질 것이므로, 기판이 이동되는 속도 (F)는 투사 빔이 패터닝 디바이스를 스캐닝하는 것의 계수 M 배가 될 것이다. 본 명세서에서 설명된 리소그래피 디바이스들에 관한 더 많은 정보는, 예컨대 참조로 본 명세서에 결합된 US 6,046,792호로부터 얻어질 수 있다.
패터닝 디바이스에서 기판으로 회로 패턴을 전사하기 전에, 기판은 프라이밍(priming), 레지스트 코팅 및 소프트 베이크(soft bake)과 같은 다양한 과정들을 겪을 수 있다. 노광 후에, 기판은 노광후 베이크(PEB: Post-exposure bake), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 과정들이 이루어 질 수도 있다. 이러한 과정들의 배열은 IC 와 같은 디바이스의 개별 층을 만들기 위한 기초로서 사용된다. 기판은 그후 에칭, 이온 주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 다양한 프로세스들을 겪을 수 있으며, 모두 그 디바이스의 개별적인 층을 마치기 위해 의도되는 것들이다. 수 개의 층들이 그 디바이스에 요구되는 경우, 전체 과정 또는 그 변형은 각 층에 대하여 반복된다. 궁극적으로, 디바이스는 기판 상의 각 타겟 부분에 존재할 것이다. 이러한 디바이스들은 그후 다이싱(dicing) 또는 쏘잉(sawing)과 같은 기술에 의해 서로 분리되고, 그곳에서 개별 디바이스들은 핀들 등에 연결된 캐리어 상에 장착될 수 있다.
개시된 바와 같이, 마이크로 리소그래피는 IC 들의 제조에서 중심 eksrLP이고, 여기에서 기판 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩들 등과 같은 IC들의 기능적 요소들을 정의한다. 유사한 리소그래피 기술들은 또한 평판 디스플레이들, 미세 전자 기계 시스템(MEMS: Micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 프로세스들이 계속 진행될 수록, 디바이스 당 트랜지스터들로서의 기능적 요소들의 양이 수십년에 걸쳐 점진적으로 증가해오는 동안 기능적 요소들의 디멘젼은 계속해서 감소되어 왔으며, 무어의 법칙(Moore's law)으로서 통상적으로 지칭되는 경향(trend)을 따른다. 현재 상태의 기술에서, 디바이스들의 층들은 초자외선(deep-ultraviolet) 광원으로부터의 조명을 사용하여 기판 상으로 설계 레이아웃을 투사하는 리소그래피 투사 장치를 사용하여 제조되며, 100 nm 미만, 즉 광원(예컨대, 193 nm 광원)으로부터의 방사선의 파장의 반 미만의 디멘젼들을 갖는 개별적인 기능적 요소들을 생성한다.
리소그래피 투사 장치의 전통적인 해상도 보다 작은 디멘젼들을 갖는 특징들이 인쇄되는 이러한 프로세스는 해상도 식 CD = k1 × λ/NA에 따라 통상적으로 저-k1 리소그래피로서 공지되어 있으며, 여기에서 λ는 채용된(현재 대부분의 경우에 248 nm 또는 193 nm) 방사선의 파장이고, NA는 리소그래피 투사 장치에서의 투사 광학의 개구수이며, CD는 "임계 디멘젼" - 일반적으로 인쇄된 가장 작은 특징물 사이즈 - 이고 k1 은 경험에 의한 해상도 계수이다. 일반적으로, k1 이 작을수록, 특정 전기 기능성 성능을 달성하기 위해 회로 설계자에 의해 계획된 형태 및 디멘젼들이 유사한 기판 상의 패턴을 복제한다. 이 어려움들을 극복하기 위해 정교한 미세-튜닝 단계들이 리소그래피 투사 장치 및/또는 설계 레이아웃에 적용된다. 이들은, 예컨대 NA 및 광학 간섭 설정들의 최적화, 맞춤화된 조명 체계들, 위상 이동 패터닝 디바이스의 사용, 설계 레이아웃에서의 광학 근접 보정 (OPC, 또한 종종 "광학 및 프로세스 보정" 으로 지칭됨), 또는 "해상도 개선 기술들(RET)" 로서 일반적으로 정의되는 다른 방법들을 포함하고, 그에 제한되지는 않는다. 본 명세서에서 사용된 "투사 광학(Projection optics)" 이라는 용어는 다양한 유형의 광학 시스템들을 포함하는 것으로 광범위하게 이해되어야 하며, 예컨대 굴절 광학, 반사 광학, 개구들 및 반사굴절 광학(catadioptric optics)을 포함한다. "투사 광학" 이라는 용어는 또한 집합적으로 또는 단일로 방사선 투사 빔의 지향(directing), 성형(shaping), 또는 제어(controlling)을 위한 이러한 설계 유형들 중 임의의 유형에 따라서 동작하는 컴포넌트들을 포함할 수도 있다. 리소그래피 투사 장치의 광학 경로 상에서 광학 컴포넌트가 어디에 위치되어 있든지, "투사 광학" 이라는 용어는 리소그래피 투사 장치 내의 임의의 광학 컴포넌트를 포함할 수 있다. 투사 광학은, 방사선이 패터닝 디바이스를 통과한 후에 그 방사선을 성형(shaping), 조정(adjusting), 및/또는 투사(projecting)하기 위한 패터닝 디바이스, 및/또는 광학 컴포넌트들을 그 방사선이 통과하기 전에 그 광원으로부터 방사선을 성형, 조정 및/또는 투사하기 위한 광학 컴포넌트들을 포함할 수도 있다. 투사 광학들은 일반적으로 그 광원 및 패터닝 디바이스를 배제한다.
예로서, OPC는 기판 상에 투사된 설계 레이아웃의 이미지의 최종 사이즈 및 배치가 패터닝 디바이스 상의 설계 레이아웃의 사이즈 및 배치와 동일하거나 단순히 그에만 의존할 것이라는 사실을 서술한다. "마스크(mask)", "레티클(reticle)", "패터닝 디바이스(patterning device)" 라는 용어는 본 명세서에서 서로 치환가능하게 사용된다. 또한, 리소그래피 시뮬레이션/최적화에서 물리적인 패터닝 디바이스가 반드시 사용되는 것이 아니며 물리적인 패터닝 디바이스를 나타내는데 설계 레이아웃이 사용될 수 있기 때문에, 본 기술분야의 당업자는, 특히 리소그래피 시뮬레이션/최적화에서, "마스크"/"패터닝 디바이스" 및 "설계 레이아웃" 이라는 용어가 치환가능하게 사용될 수 있다는 것을 인지할 것이다. 몇몇의 설계 레이아웃 상에 나타나 있는 작은 특징물 사이즈들 및 높은 특징 밀도들에 대하여, 소정의 특징물의 특정 에지의 위치는 다른 인접 특징물들의 존재 및 부재에 의해 특정 정도만큼 영향을 받을 것이다. 이들 근접 효과들은 한 특징물로부터 회절(diffraction) 및 간섭(interference) 과 같은 다른 광학 효과들 및/또는 비기하학적인 광학 효과들에 결합된 방사선의 미세한 양으로부터 발생한다. 유사하게, 근접 효과들은 일반적으로 리소그래피 이후에 따르는 노광후 베이크(PEB), 레지스트 현상, 및 에칭 동안의 다른 화학적 효과들 및 확산으로부터 발생할 수 있다.
설계 레이아웃의 투사된 이미지가 소정의 타겟 회로 설계의 요구에 따르도록 보장하기 위해, 근접 효과들은 설계 레이아웃의 정교한 수치 모델들, 보정들 또는 전치 왜곡(predistortion)들을 사용하여, 예측되거나 보상될 필요가 있다. "Full-Chip Lithography Simulation and Design Analysis - How OPC is Changing IC Design", C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005)라는 아티클은 현재의 "모델-기반" 광학 근접 보정 프로세스들의 개요를 제공한다. 전형적인 첨단 설계에서, 설계 레이아웃의 거의 모든 특징물은 타겟 설계에 대한 투사된 이미지의 높은 충실도를 달성하기 위해 일부 변형을 갖는다. 이러한 변형들은 다른 특징물들의 투사를 돕도록 의도된 "어시스트(assist)" 특징물들의 애플리케이션 뿐 아니라 에지 위치 또는 선 폭들의 이동(shifting) 또는 바이어싱(biasing)을 포함할 수 있다.
타겟 설계에 대한 모델 기반 OPC 애플리케이션은, 칩 설계에 통상적으로 존재하는 수백만의 특징들을 고려하여, 양호한 프로세스 모드 및 상당한 계산 리소스들을 수반한다. 그러나, OPC를 적용하는 것은 일반적으로 "정확한 과학(exact science)" 이 아니라, 모든 가능한 근접 효과를 항상 보상하는 것은 아닌 경험적이며 반복적인 프로세스이다. 그러므로, OPC의 효과, 예컨대 OPC 및 임의의 다른 RET의 애플리케이션 후의 설계 레이아웃들은, 패터닝 디바이스 패턴에 이루어진 설계 결함들의 가능성을 최소화하기 위해 설계 검사, 즉 측정된 수치 프로세스 모델들을 사용한 집중적인 풀-칩 시뮬레이션(intensive full-chip simulation)에 의해 검증될 필요가 있다. 이는, 수백만 달러 범위로 운영하는 첨단 패터닝 디바이스들을 만드는 엄청난 비용 뿐만 아니라, 일단 그들이 제조된 후 실제 패터닝 디바이스들을 재작동시키거나 수리함으로서 턴어라운드(turn-around) 시간에 미치는 영향으로 인해 추진된다.
OPC 및 풀-칩 RET 검증 모두는, 예컨대 미국 특허 출원 제10/815,573호 및 "Optimized Hardware and Software For Fast, Full Chip Simulation" by Y. Cao et al., Proc. SPIE, Vol. 5754, 405 (2005) 와 같은 아티클에 서술된 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
한 RET는 설계 레이아웃의 글로벌 바이어스(global bias)의 조절에 관련된다. 글로벌 바이어스는 설계 레이아웃 내의 패턴들과 기판 상에 인쇄하고자 의도된 패턴들 사이의 차이이다. 예컨대, 25 nm 직경의 원형 패턴은 서례 레이아웃 내의 50 nm 직경 패턴 또는 설계 레이아웃 내의 20 nm 직경 패턴에 의해 기판 상에 인쇄될 수 있지만 높은 도즈를 갖는다.
전반적인 리소그래피 충실도를 향상시키기 위한 노력에 있어서, 설계 레이아웃들 또는 패터닝 디바이스들(예컨대, OPC)에 대한 최적화에 부가하여, 패터닝 디바이스 최적화와 함께 또는 분리하여 광원이 또한 최적화될 수 있다. "광원(illumination source)" 및 "소스(souce)" 라는 용어들은 이 명세서에서 치환가능하게 사용된다. 1990년대 이래로, 환형(annular), 4중극(quadrupole), 2중극(dipole)과 같은 많은 축외(off-axis) 광원이 소개되어 왔으며, OPC 설계를 위한 더 많은 자유를 제공해왔고, 그에 의해 이미징 결과들이 향상되었다. 알려져 있다시피, 축외 광원은 패터닝 디바이스 내에 포함된 미세 구조물들(즉, 타겟 특징물들)을 리졸브(resolve)시키는 검증된 방법이다. 그러나, 전통적인 광원에 비교할 때, 축외 광원은 통상적으로 공중 이미지(AI: aerial image)에 대해 더 적은 방사선 강도를 제공한다. 따라서, 더 미세한 분해능(finer resolution)과 감소된 방사선 강도 사이의 최적 균형을 달성하기 위해 광원을 최적화하도록 시도하는 것이 바람직하게 될 것이다.
수많은 광원 최적화 방법들이, 예컨대 "Optimum Mask and Source Patterns to Print A Given Shape," Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, (2002) 라는, Rosenbluth 외에 의한 아티클에서 발견될 수 있다. 그 광원은 몇몇의 영역들로 구획될 수 있으며, 그 각각은 퓨필 스펙트럼(pupil spectrum)의 특정 영역에 대응한다. 그후, 광원 분포는 각 광원 영역 내에 균일하게 된다고 추정되며, 각 영역의 밝기는 프로세스 창을 위해 최적화된다. 그러나, 광원 분포가 각 광원 영역에서 균일하다는 추정은 항상 유효한 것은 아니며 그 결과 이러한 접근법의 결과들은 문제를 갖는다. "Source Optimization for Image Fidelity and Throughput" Journal of Microlithography, Microfabrication, Microsystems 3(4), pp.509-522, (2004) 라는, Granik에 의한 아티클에서 제시된 또다른 예에서, 몇몇의 기존 광원 최적화 접근법들이 개요화되어 있으며, 광원 최적화 문제를 일련의 음이 아닌 최소 제곱 최적화들로 변환하는, 조명기 픽셀들에 기초한 방법이 제안되어 있다. 이러한 방법들은 일부 성공을 보여주었지만, 그들은 전형적으로 복수의 복잡한 반복들이 수렴할 것으로 필요로 한다. 부가적으로, Granik 의 방법에서와 같은 일부 추가 파라미터들에 대하여 적절한/최적의 값들 (기판 이미지 충실도를 위해 광원을 최적화하는 것과 그 광원의 평탄성 요구 간의 트레이드오프(trade-off)를 좌우함) 을 결정하는 것이 어려울 수 있다.
낮은 k1 포토리소그래피에 대하여, 소스와 패터닝 디바이스 모두의 최적화는 임계 회로 패턴들의 투사를 위해 가변 프로세스 창을 보장하는 데 유용하다. 일부 알고리즘들(예컨대, Socha et. al. Proc. SPIE vol. 5853, 2005, p.180)은 조명을 독립적인 소스 포인트들로 나누고 마스크를 공간 주파수 도메인에서 회절 차수(diffraction order)들로 나누며, 소스 포인트 강도들 및 패터닝 디바이스 회절 차수들로부터의 광학 이미징 모델들에 의해 예츠될 수 있는 노광 위도(exposure latitude)와 같은 프로세스 창 메트릭들에 기초하여 (선택된 설계 변수들의 함수로서 정의되는) 비용 함수를 개별적으로 형성한다. 본 명세서에서 사용된 "설계 변수들" 이라는 용어는 리소그래피 투사 장치의 파라미터들의 세트, 예컨대 리소그래피 투사 장치가 조절할 수 있는 파라미터들을 포함한다. 소스, 패터닝 디바이스, 투사 광학들, 및/또는 레지스트 특성들의 특성을 포함하여 리소그래피 투사 프로세스의 임의의 특성들은 그 최적화 에 있어서의 설계 변수들 중에 있을 수 있다. 비용 함수는 종종 설계 변수들의 비선형 함수이다. 그후에 표준 최적화 기술들은 비용 함수를 최소화하는데 사용된다.
관련하여, 감소하고 있던 설계 법칙들의 압력은 반도체 칩 제작자들이 기존의 193 nm ArF 리소그래피로 낮은 k1 리소그래피 영역으로 더 깊이 이동하도록 야기해 왔다. 저 k1 을 선호하는 리소그래피는 RET, 노광 도구들, 및 리소그래피에 우호적인 설계에 대한 필요에 대한 요구를 가중시킨다. 1.35 ArF 고 개구수(hyper numerical aperture)(Hyper NA) 노광 도구들이 미래에 사용될 수도 있다. 회로 설계가 실행가능한 창을 가지고 기판 상에 생성될 수 있다고 보장하는 것을 돕기 위해, 소스-패터닝 디바이스 최적화( 본 명세서에서 소스-마스크 최적화, 또는 SMO 라고 지칭함) 거 2× nm 노드를 위한 중요한 RET 가 되고 있다.
제약(constraints) 없고 실행가능한 양의 시간 내의 비용함수를 사용하는 소스 및 패터닝 디바이스의 동시 최적화를 허용하는, 광원 및 패터닝 디바이스광원 및 패터닝 디바이스(설계 레이아웃) 최적화 방법 및 시스템이 2009년 11월 20일에 출원되고 제WO2010/059954호로서 공개되었으며 공동 양도된 국제 특허 출원 PCT/US2009/065359호, "Fast Freeform Source and Mask Co-Optimization Method" 에 설명되어 있으며, 이 출원은 전체로서 참조로 본 명세서에 결합되어 있다.
광원의 픽셀들을 조절함으로서 그 광원을 최적화시키는 것을 수반하는, 다른 광원 및 마스크 최적화 방법 및 시스템이 2010년 6월 10일에 출원되고 미국 특허공개공보 제2010/0315614호로 공개되었으며 공동 양도된 미국특허 출원 제12/813456호에 설명되어 있으며, 이 출원은 전체로서 참조로 본 명세서에 결합되어 있다.
설계 레이아웃의 부분을 리소그래피 투사 장치를 사용하여 기판상으로 이미징하기 위한 리소그래피 프로세스를 향상시키는 컴퓨터-실행 방법이 본 명세서에 개시되어 있으며, 그러한 방법은 다중 변수 비용 함수를 정의하는 것을 포함하고, 다중 변수 비용 함수는 리소그래피 프로세스의 하나 이상의 확률적 효과들의 함수이며, 하나 이상의 확률적 효과들은 리소그래피 프로세스의 특성들인 복수의 설계 변수들의 함수들이고; 특정 종결 조건이 만족될 때까지 하나 이상의 설계 변수들을 조절함으로서 리소그래피 프로세스의 특성들 중 하나 이상을 재구성한다. 본 명세서에서, 다중 변수 비용 함수가 리소그래피 프로세스의 하나 이상의 확률적 효과의 함수가 된다는 것은, 다중 변수 비용 함수가 동시에 다른 변수들의 함수가 될 수도 있다는 것을 배제하지 않는다.
도 1은 리소그래피 시스템의 다양한 서브 시스템들의 블록도이다.
도 2는 도 1에서의 서브 시스템에 대응하는 시뮬레이션 모델들의 블록도이다.
도 3은 공동 최적화(joint optimization)의 예시적인 방법론의 관점들을 예시하는 흐름도이다.
도 4는 실시예에 따른 다른 최적화 방법의 실시예를 도시한다.
도 5는 엄격한 모델링으로부터의 결과에 대한 식(7)의 폐쇄적인 매칭(close matching)을 도시한다.
도 6은 리소그래피 투사 장치의 몇몇의 조명 조건 하에서 식(7)에서의 모델을 사용한 LWR들의 예측을 도시한다.
도 7-8은 다양한 최적화 프로세스들의 예시적인 흐름도들을 도시한다.
도 9는 실시예에 따른 최적화로부터의 예시적인 결과를 도시한다.
도 10은 예시적인 컴퓨터 시스템의 블록도이다.
도 11은 리소그래피 투사 장치의 개략도이다.
도 12는 다른 리소그래피 투사 장치의 개략도이다.
도 13은 도 12에서의 장치의 상세도이다.
도 14는 도 12 및 도 13의 장치의 소스 콜렉터 모듈(SO)의 상세도이다.
본 명세서에서 특정 참조가 IC들의 제조에 이루어질 수 있지만, 본 명세서 내의 설명은 많은 다른 가능한 애플리케이션들을 가진다는 것을 명백히 이해해야 한다. 예컨대, 이것은 집적 광학 시스템, 자기 도메인 메모리들을 위한 유도 및 검출 패턴들, 액정 디스플레이 패널들, 박막 자기 헤드 등의 제조에 이용될 수 있다. 본 기술분야의 통상의 기술을 가진 자는. 그러한 대안적인 애플리케이션들의 문맥에서, 본 명세서에서의 "레티클", "웨이퍼", 또는 "다이" 라는 용어들의 임의의 사용이 더 일반적인 용어들, "마스크", "기판", 및 "타겟 부분" 과 각각 치환가능하다고 고려되어야 한다는 것을 이해할 것이다.
본 명세서에서, "방사선(radiation)" 및 "빔"의 용어들은, (예컨대, 365, 248, 193, 157, 또는 126 nm 의 방사선을 갖는) 자외 방사선 및 EUV(예컨대 5-20 nm 범위의 파장을 갖는 극초자외 방사선)을 포함하며, 전자기 방사선의 모들 유형들을 포함하는 데 사용된다.
본 명세서에서 사용된 "최적화함(optimizing)" 및 "최적화(optimization)" 라는 용어는, 기판 상에 설계 레이아웃들의 투사의 더 높은 정확성, 더 큰 프로세스 창 등과 같은 리소그래피 결과들 및/또는 프로세스들이 더 바람직한 특성들을 갖도록 리소그래피 투사 장치를 조절하는 것을 의미한다.
또한, 리소그래피 투사 장치는 둘 이상의 기판 테이블 (및/또는 둘 이상의 패터닝 디바이스 테이블들) 을 갖는 유형일 수도 있다. 그러한 "복수의 스테이지" 디바이스들에서, 부가적인 테이블들이 병렬로 사용될 수 있으며, 그렇지 않으면 하나 이상의 테이블들이 노광을 위해 사용되는 동안 준비 단계들이 하나 이상의 테이블들 상에서 실행될 수도 있다. 이중 스테이지 리소그래피 보호 장치들이, 예컨대 본 명세서에 참조로 결합된 미국특허 제5,969,441호에서 설명된다.
이상에서 언급된 패터닝 디바이스는 설계 레이아웃들을 포함하거나 또는 설계 레이아웃들을 형성할 수 있다. 설계 레이아웃들은 CAD(Computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 그 프로세스는 EDA(Electronic design automation)으로 지칭된다. 대부분의 CAD 프로그램들은 기능적인 설계 레이아웃들/패터닝 디바이스들을 생성하기 위해 미리정해진 설계 규칙들의 세트를 따른다. 이 규칙들은 프로세싱 및 설계 제한들에 의해 설정된다. 예컨대, 설계 규칙들은 (게이트들, 커패시터들 등과 같은), 회로 디바이스들 또는 선들이 바람직하지 않은 방식으로 서로 상호작용하지 않도록 보장하기 위해, 회로 디바이스들 또는 상호접속 선들 간에 공간 공차(space tolerance) 를 정의한다. 설계 규칙 제한들은 통상적으로 "임계 디멘젼(critical dimensions)" 으로서 지칭된다. 회로의 임계 디멘젼은 선 또는 홀(hole)의 가장 작은 폭 또는 두 선들 또는 두 홀들 사이의 가장 작은 공간으로서 정의될 수 있다. 따라서, CD는 바람직한 회로의 전체 사이즈 및 밀도를 정의한다. 당연히, 집적회로 제조에서의 목적들 중 하나는 (패터닝 디바이스를 경유한) 기판 상에서의 본래 회로 설계를 충실히 재생하는 것이다.
본 명세서에서 이용된 "마스크" 또는 "패터닝 디바이스" 라는 용어는, 기판의 타겟 부분에 생성되어야 하는 패턴에 대응하는, 패터닝된 단면을 갖는 인입 방사선 빔을 부여하는 데 사용될 수 있는 일반 패터닝 디바이스를 지칭하는 것으로 광범위하게 이해되어질 수 있으며, "광 밸브(light valve)" 가 또한 본 명세서에서 사용될 수 있다. 고전적인 마스크(투과형 또는 반사형; 바이너리(binary), 위상 시프트(phase-shifting), 하이브리드(hybrid) 등) 이외에, 다른 그러한 패터닝 디바이스들의 예들은:
- 프로그램가능한 미러 어레이. 그러한 디바이스의 예는 점탄성 제어 층 및 반사형 표면을 갖는 매트릭스-지정가능한(matrix-addressable) 표면이다. 그러한 장치 배후의 기본적인 원칙은 (예컨대) 반사형 표면의 지정된 영역들이 회절된 방사선으로서의 입사 방사선을 반사하는 반면에, 지정되지 않은 영역들은 비회절된 방사선으로서의 입사 방사선을 반사한다. 적절한 필터를 사용하여, 상기 비회절된 방사선은 반사된 빔 밖으로 필터링될 수 있으며, 회절된 방사선만을 남기고; 이러한 방식으로, 그 빔은 매트릭스-지정가능한 표면의 지정 패턴(addressing pattern)에 따라 패터닝되어진다. 필요한 매트릭스 지정은 적절한 전하 수단들을 사용하여 수행될 수 있다. 그러한 미러 어레이들 상의 더 많은 정보는, 본 명세서에 참조로 결합된, 예컨대 미국 특허 제5,296,891호 및 제5,523,193호로부터 모아질 수 있다.
- 프로그램가능한 LCD 어레이. 그러한 구성의 예는, 본 발명에 참조로 결합된 미국 특허 제5,229,872호에 주어져 있다.
간략한 소개로서, 도 1은 예시적인 리소그래피 투사 장치(10A)를 예시한다. 주된 컴포넌트들은, 초자외선 엑시머 레이저 소스(deep-ultraviolet excimer laser source) 또는 EUV(extreme ultraviolet) 소스(이상에서 논의된 바와 같이 리소그래피 투사 장치 자체는 방사선 소스를 가질 필요가 없음)를 포함하는 다른 유형의 소스일 수 있는 소스가 될 수 있는 방사선 소스(12A); (시그마로 표시된) 부분 간섭을 정의하고 방사선 소스(12A)로부터의 방사선의 형태를 형성하는 광학들(14A, 16Aa 및 16Ab)를 포함할 수 있는 조명 광학들(illumination optics); 패터닝 디바이스(18A); 및 기판 평면(22A) 상으로 패터닝 디바이스 패턴의 이미지를 투사하는 투과 광학들(transmission optics) 이다. 투사 광학의 퓨필 평면에서의 조절가능한 필터 또는 개구(20A)는 기판 평면(22A) 상에 악영향을 미치는 빔 각들의 범위를 제한하며, 여기에서 가능한 가장 큰 각은 투사 광학의 개구수 NA = sin(θmax) 를 정의한다.
시스템의 최적화 프로세스에서, 시스템의 장점의 수치는 비용 함수로서 나타내어질 수 있다. 최적화 프로세스는 그 비용 함수를 최소화하는 시스템의 파라미터들(설계 변수들)의 세트를 찾는 프로세스에 핵심이 있다. 비용 함수는 최적화의 목표에 따라 임의의 적절한 형태를 가질 수 있다. 예컨대, 비용 함수는 이러한 특성들의 의도된 값들(예컨대, 이상적인 값들)에 관하여 그 시스템의 특정 특성들의 편차들의 가중된 제곱 평균(RMS) 일 수 있으며; 비용 함수는 또한 이러한 편차들의 최대값(즉, 최악의 편차)일 수 있다. 본 명세서에서 "평가 포인트들(evaluation points)" 이라는 용어는 그 시스템의 임의의 특성을 포함하도록 넓게 해석되어야 한다. 그 시스템의 설계 편차들은 한정적인 범위들로 제한되거나 및/또는 그 시스템의 실행의 실현가능성들로 인해 상호의존적이 될 수 있다. 리소그래피 투사 장시의 경우, 제약들은 튜닝가능한 범위들 및/또는 패터닝 디바이스 제조가능성 설계 법칙들(manufacturablibility design rules)과 같은 하드웨어의 물리적 성질들 및 특성들과 종종 연관되어 있으며, 평가 포인들은 기판 상의 레지스트 이미지 상의 물리적 포인트들 뿐 아니라 도즈(dose) 및 초점과 같은 비물리적 특성들을 포함할 수 있다.
리소그래피 투사 장치에서, 소스는 조명(즉, 광)을 제공하며; 투사 광학들은 패터닝 디바이스를 경우하여 기판 상으로 조명을 지향시키고 형태를 형성한다. "투사 광학들(projection optics)" 라는 용어는 본 명세서에서 방사선 빔의 파면을 변경할 수 있는 광학적 컴포넌트를 포함하도록 넓게 정의된다. 예컨대, 투사 광학들은 컴포넌트들 14A, 16Aa, 16Ab, 및 16Ac 중 적어도 일부를 포함할 수도 있다. 임의의 공중 이미지(AI: aerial image)는 기판 레벨에서의 방사선 강도 분포이다. 기판 상의 레지스트 층은 노광되고 공중 이미지는 그 안에서 잠재적인 "레지스트 이미지(resist image)" (RI)로서 레지스트 층에 전사된다. 레지스트 층(RI)은 레지스트 레지스트의 용해도의 공간 분포로서 정의될 수 있다. 레지스트 모델은 공중 이미지로부터 레지스트 이미지를 계산하는 데 사용될 수 있으며, 그 예는 공동 양도되고 전체로서 본 명세서에 참조로 결합된 미국 특허 출원 제12/315,849호에서 발견될 수 있다. 레지스트 모델은 레지스트 층의 성질(예컨대, 노광, PEB 및 현상 동안 발생한 화학 프로세스들의 효과들)에만 관련된다. 리소그래피 투사 장치의 광학적 성질들(예컨대, 소스, 패터닝 디바이스, 및 투사 광학들의 성질들)은 그 공중 이미지에 영향을 준다. 리소그래피 장치 내에 사용된 패터닝 디바이스가 변경될 수 있기 때문에, 적어도 소스 및 투사 광학들을 포함하는 리소그래피 투사 장치의 나머지 부분의 광학적 성질로부터 패터닝 디바이스의의 광학적 성질을 분리하는 것이 바람직하다.
리소그래피 투사 장치 내의 시뮬레이션 리소그래피를 위한 예시적인 흐름도는 도 2에 예시되어 있다. 소스 모델(31)은 소스의 (방사선 강도 분포 및/또는 위상 분포를 포함하여) 광학적 특성들을 나타낸다. 투사 광학 모델(32)은 투사 광학들의 (투사 광학에 의해 야기되는 방사선 강도 분포 및/또는 위상 분포에 대한 변경들을 포함하여) 광학적 특성들을 나타낸다. 설계 레이아웃 모델(35)은 설계 레이아웃의 (소정의 설계 레이아웃(33)에 의해 야기된 위상 분포 및/또는 방사선 강도 분포에 대한 변경들을 포함하여) 광학적 특성들을 나타내며, 이것은 패터닝 디바이스 상에 또는 패터닝 디바이스에 의해 형성된 특징물들의 배열의 표시이다. 공중 이미지(36)는 설계 레이아웃 모델(35), 투사 광학 모델(32), 및 설계 레이아웃 모델(35)로부터 시뮬레이팅될 수 있다. 리소그래피의 시뮬레이션은, 예컨대 레지스트 이미지 내의 윤곽들 및 CD들을 예측할 수 있다.
더 상세히는, 소스 모델(31)은, NA-시그마(σ) 설정들을 포함하지만 그에 제한되지는 않는 소스의 광학적 특성들 뿐만 아니라 임의의 특정 광원 형태(예컨대, 환형, 4중극, 2중극 등)를 나타낼 수 있다. 투사 광학 모델(32)은 수차(aberration), 왜곡(distortion), 굴절률들, 물리적 사이즈들, 물리적 디멘젼들 등을 포함하는 투사 광학의 광학적 특성들을 나타낼 수 있다. 설계 레이아웃 모델(35)은 또한 예컨대 전체적으로 본 명세서에 결합된 미국특허 제7,587,704호에서 설명된 바와 같은 물리적 패터닝 디바이스의 물리적 성질들을 나타낼 수 있다. 시뮬레이션의 목적은, 예컨대 에지 배치들, 공중 이미지 강도 기울기들(aerial image intensity slopes), 및 CD들을 정확하게 예측하는 것이며, 이들은 그후 의도된 설계에 비교될 수 있다. 의도된 설계는, GDSII 또는 OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 OPC 전(pre-OPC) 설계 레이아웃으로서 일반적으로 정의된다.
이러한 설계 레이아웃으로부터, 하나 이상의 부분들이 식별될 수 있으며, 이것은 "클립들(clips)"로서 지칭된다. 실시예에서, 클립들의 세트가 추출되며, 이것은 설계 레이아웃 내의 복잡한 패턴들을 나타낸다 (비록 임의의 수의 클립들이 사용될 수 있지만, 통상적으로 약 50 내지 1000 클립들). 본 기술분야에서의 당업자에 의해 이해될 수 있는 바와 같이, 이러한 패턴들 또는 클립들은 설계의 작은 부분들(즉, 회로들, 셀들 또는 패턴들)을 나타내며, 특히 그 클립들은 특정 주의 및/또는 검증이 필요한 작은 부분들을 나타낸다. 다시 말하면, 클립들은 설계 레이아웃의 부분들이거나, 그와 유사하거나, 결정적 특징물들이 (고객에 의해 제공된 클립들을 포함하는) 경험에 의해, 시행 착오에 의해, 또는 풀-클립(full-clip) 시뮬레이션을 시행함에 의해 식별되는 설계 레이아웃의 부분들의 유사한 거동을 가질 수 있다. 클립들은 하나 이상의 테스트 패턴들 또는 게이지(gauge) 패턴들을 포함한다.
클립들의 초기의 더 큰 세트는, 특정 이미지 최적화를 필요로 하는 설계 레이아웃에서의 공지된 임계 특징물 영역들(critical feature areas)에 기초하여 고객에 의해 연역적으로 제공될 수 있다. 대안적으로, 다른 실시예에서, 클립들의 초기의 더 큰 세트는, 결정적 특징물 영역들을 식별하는, 일부 유형들의 (머신 비젼(machine vision)과 같은) 자동화되거나 수동의 알고리즘을 사용하여 전체 설계 레이아웃으로부터 추출될 수도 있다.
리소그래피 투사 장치에서, 예로서, 비용함수는,
Figure 112013002284701-pat00001
식 (1)
여기에서 (z1, z2, ...., z N )은 N 개의 설계 변수들 또는 그 값들이다. f p (z1, z2, ...., z N )는 (z1, z2, ...., z N )의 설계 변수의 값들의 세트를 위한 평가 포인에서 특성의 실제 값과 의도된 값 간의 차이와 같은 설계 변수들 (z1, z2, ...., z N )의 함수일 수 있다. w p 는 f p (z1, z2, ...., z N )와 연관된 가중 상수이다. 다른 것들 보다 더 결정적인 평가 포인트 또는 패턴에는 더 높은 w p 값이 할당될 수 있다. 더 높은 수의 경우들을(occurences) 가진 패턴들 및/또는 평가 포인트들에는 역시 더 높은 w p 값이 할당될 수 있다. 평가 포인트들의 예시들은 기판 상의 임의의 물리적 포인트 또는 패턴, 가상 설계 레이아웃 상의 임의의 점, 또는 레지스트 이미지, 또는 공중 이미지, 또는 그 조합일 수 있다. f p (z1, z2, ...., z N )는 또한, 설계 변수들(z1, z2, ...., z N )의 함수들인, LWR와 같은 하나 이상의 확률적 효과들의 함수일 수 있다. 비용 함수는 리소그래피 투사 장치 또는 기판의 임의의 적절한 특성들, 예컨대 초점, CD, 이미지 이동, 이미지 왜곡, 이미지 회전, 확률적 효과들, 쓰루풋, CDU, 또는 그 조합을 나타낼 수 있다. CDU는 국부적 CD 변화(예컨대, 국부적 CD 분포의 표준 편차의 세 배)이다. 한 실시예에서, 비용 함수는 CDU, 쓰루풋, 및 그 확률적 효과들을 나타낸다 (즉, 이들의 함수임). 한 실시예에서, 비용 함수는 EPE, 쓰루풋, 및 그 확률적 효과들을 나타낸다 (즉, 이들의 함수임). 한 실시예에서, 설계 변수들(z1, z2, ...., z N )은 도즈, 패터닝 디바이스의 글로벌 바이어스, 소스로부터의 조명의 형태, 또는 그 조합을 포함한다. 기판 상의 회로 패턴에 종종 영향을 주는 것이 레지스트 이미지이기 때문에, 비용 함수는 종종 레지스트 이미지의 몇몇 특성들을 나타내는 함수들을 포함한다. 예컨대, 그러한 평가 포인트의 f p (z1, z2, ...., z N )는 단순히 레지스트 이미지 내의 점(즉, 에지 배치 오류 EPEp(z1, z2, ...., z N ))과 그러한 포인트의 의도된 위치까지의 거리일 수 있다. 설계 변수들은 소스, 패터닝 디바이스, 투사 광학, 도즈, 초점 등의 조절가능한 파라미터들과 같은 조절가능한 파라미터들일 수 있다. 투사 광학들은 파면의 형태들 및 강도 분포 및/또는 조사 빔의 위상 이동을 조정하는 데 사용될수 있는 "파면 조종자(wavefront manipulator)"로서 집합적으로 불리는 컴포넌트들을 포함할 수 있다. 투사 광학들은 바람직하게는, 패터닝 디바이스의 앞, 퓨필 평면 부근, 이미지 평면 부근, 초점 평면(focal plane) 부근과 같이, 리소그래피 투사 장치의 광학적 경로를 따라 임의의 위치에서 파면 및 강도 분포를 조정할 수 있다. 투사 광학들은 예컨대 소스, 패터닝 디바이스, 리소그래피 투사 장치 내의 온도 변화, 리소그래피 투사 장치의 컴포넌트들의 열 팽창에 의해 야기되는 파면 및 강도 분포의 특정 왜곡을 보정하거나 보상하는 데 사용될 수 있다. 파면 및 강도 분포를 조정하는 것은 평가 포인트들 및 비용 함수의 값들을 변경할 수 있다. 그러한 변경들은 모델 또는 실제 측정된 것으로부터 시뮬레이팅될 수 있다. 물론, CF(z1, z2, ...., z N )는 식 (1)의 형식으로 제한되지 않는다. CF(z1, z2, ...., z N )는 임의의 다른 적절한 형태로 될 수 있다.
f p (z1, z2, ...., z N )의 정규의 가중된 제곱 평균(RMS)은
Figure 112013002284701-pat00002
로서 정의되고, 그러므로 f p (z1, z2, ...., z N )의 가중된 RMS를 최소화하는 것은 식 (1)에서 정의된 비용함수,
Figure 112013002284701-pat00003
를 최소화하는 것과 등가이다. 따라서, f p (z1, z2, ...., z N )의 가중된 RMS와 식(1)은 표시의 단순화를 위해 상호교환가능하게 이용될 수도 있다.
게다가, PW(프로세스 창)을 최대화하는 것을 고려한다면, 식(1)에서의 비용함수에서 상이한 평가 포인트들과 동일한 상이한 PW 조건들로부터의 물리적 위치를 고려할 수 있다. 예컨대, N 개의 PW 조건들을 고려한다면, 그 후 그들의 PW 조건들에 따라 평가 포인트들을 분류하고 그 비용함수는 다음과 같이 쓸수 있다:
Figure 112013002284701-pat00004
식 (1)'
여기에서 fpu(z1, z2, ...., z N )는 f p (z1, z2, ...., z N )이 EPE일 때 u번째 PW 조건 u = 1,...,U 하에서 f p (z1, z2, ...., z N ) 의 값이고, 그 후 이상의 비용 함수를 최소화하는 것은 다양한 PW조건들 하에서 에지 이동을 최소화하는 것과 등가이며, 따라서 이것은 PW 를 최대화하도록 야기한다. 특히, PW가 또한 상이한 마스크 바이어스로 구성되는 경우, 그후 이상의 비용 함수를 최소화하는 것은 또한, 기판 EPE와 유도된 마스크 에지 바이어스 간의 비율로서 정의되는 MEEF(Mask Error Enhancement Factor)의 최소화를 포함한다.
설계 변수들은 (z1, z2, ...., z N ) ∈ Z으로 표현될 수 있는 제약들을 가질 수도 있으며, 여기에서 Z은 설계 변수들의 가능한 값들의 세트이다. 설계 변수들에 대한 한 가지 가능한 제약은 리소그래피 투사 장치의 원하는 쓰루풋에 의해 부과될 수 있다. 원하는 쓰루풋은 도즈를 제한할 수 있으며 따라서 확률적 효과들에 대한 영향들(예컨대, 확률적 효과들에 대해 하한을 부과함)을 가질 수도 있다. 더 높은 쓰루풋은 일반적으로 더 낮은 도즈, 더 짧거나 더 긴 노광 시간, 및 더 큰 확률 효과들을 야기한다. 확률적 효과들은 설계 변수들의 함수이기 때문에, 기판 쓰루풋의 고려 및 확률적 효과들의 최소화는 설계 변수들의 가능한 값들을 제한할 수 있다. 원하는 쓰루풋에 의해 부과되는 그러한 제약 없이, 최적화는 비현실적인 설계 변수들의 값들의 세트를 산출할 수도 있다. 예컨대, 그 도즈가 설계 변수들 중에 있는 경우, 그러한 제약 없이, 최적화는 경제적으로 그 쓰루풋을 경제적으로 불가능하게 만드는 도즈 값을 산출할 수도 있다. 그러나 제약들의 유용성이 필수요소로서 이해되지는 않아야 한다.
그러므로 최적화 프로세스는, 비용 함수를 최소화하는 제약들 (z1, z2, ...., z N ) ∈ Z하에서 설계 변수들의 값들의 세트를 찾는 것, 즉 이하의 식을 찾는 것이다.
Figure 112013002284701-pat00005
식(2)
실시예에 따른 리소그래피 투사 장치를 최적화시키는 일반적인 방법은 도 3에 예시되어 있다. 이 방법은 복수의 설계 벼누들의 다중 변수 비용 함수를 정의하는 단계 302를 포함한다. 설계 변수들은 광원(300A)의 특성들(예컨대, 퓨필 필 비율 (pupil fill ratio, 즉 퓨필 또는 개구를 통과하는 그 광원의 방사선의 퍼센티지), 투사 광학들(300B)의 특성들, 및 설계 레이아웃(300C)의 특성들로부터 선택된 임의의 적절한 조합을 포함할 수 있다. 예컨대, 설계 변수들은 광원(300A)의 특성들 및 설계 레이아웃(300C)의 특성들(예컨대, 글로벌 바이어스)을 포함하지만 SMO를 야기하는 투사 광학(300B)의 특성들을 포함하지 않을 수 있다. 대안적으로, 설계 변수들은 광원(300A)의 특성들, 투사 광학들(300B)의 특성들, 및 설계 레이아웃(300C)의 특성들을 포함할 수 있으며, 이들은 소스-마스크-렌즈 최적화(SMLO)로 이어진다. 단계 304에서, 설계 변수들은, 비용 함수가 수렴을 향하여 진행되도록 동시에 조절된다. 단계 306에서, 미리정의된 종결 조건이 만족되는지 여부가 결정된다. 미리결정된 종결 조건은 다양한 가능성들을 포함할 수도 있으며, 즉 사용된 수치 기술에 의해 요구되는 바와 같이 비용 함수가 최소화되거나 최대화될 수 있고, 비용 함수의 값은 임계값과 동일하거나 임계값을 넘어서고, 비용 함수의 값은 미리 정해진 오류 제한 내에 도달하거나, 또는 미리 정해진 반복에 도달된다. 단계 306에서의 조건들 중 어느 것이 만족되는 경우, 그 방법은 종결된다. 단계 306 내의 조건들 중 어느 것도 만족되지 못한 경우에는, 단계 304 및 306은 원하는 결과가 얻어질 때까지 반복된다.
리소그래피 투사 장치에서, 소스, 패터닝 디바이스, 및 투사 광학들은 교대로 최적화( 교대 최적화(Alternative Optimization)으로 지칭됨)되거나 동시에 최적화(동시 최적화(Simultaneous Optimization)으로 지칭됨)될 수 있다. 본 명세서에서 사용된, "동시", "동시에", "공동(joint)", 및 "공동으로" 와 같은 용어들은 소스, 패터닝 디바이스, 투사 광학의 특성들의 설계 변수들 및/또는 다른 설계 변수들이 동시에 변경되도록 허용되는 것을 의미한다. 본 명세서에서 사용된 "교대(alternative)" 및 "교대로" 라는 용어는 설계 변수들 모두가 동시에 변경되도록 허용되는 것은 아니라는 것을 의미한다.
도 3에서, 모든 설계 변수들의 최적화가 동시에 실행된다. 그러한 흐름은 동시 흐름 및 공동 최적화 흐름으로 지칭될 수 있다. 대안적으로, 모든 설계 변수들의 최적화는 도 4에서 예시된 바와 같이 교대로 실행된다. 이러한 흐름에서, 각 단계에서 몇몇 설계 변수들은 다른 설계 변수들이 비용 함수를 최소화하도록 최적화되는 동안 고정되고; 그 후 다음 단계에서, 변수들의 상이한 세트는 다른 세트들이 비용 함수를 최소화하도록 최적화되는 동안 고정된다. 이러한 단계들은 수렴 또는 특정 종결 조건들이 만족될 때까지 교대로 실행된다. 도 4의 비제한적인 예시 흐름도에서 볼 수 있는 바와 같이, 우선 설계 레이아웃 (단계 402)가 획득되고, 그후 소스 최적화의 단계가 단계 404에서 실행되며, 여기에서 광원의 설계 변수들은 다른 설계 변수들이 고정되어 있는 동안 비용 함수를 최소화하기 위해 최적화된다(SO). 그후 단계 406에서, 마스크 최적화(MO)가 수행되고, 패터닝 디바이스의 설계 변수들 모두가 다른 설계 변수들 모두가 고정되어 있는 동안 비용 함수를 최소화하기 위해 최적화된다. 이러한 두 단계들은, 특정 종결 조건들이 단계 408에서 만족될 때까지 교대로 실행된다. 다양한 종결 조건들이 사용될 수 있으며, 예컨대, 비용 함수의 값이 임계 값과 동일하게 되거나, 비용함수의 값이 임계값을 지나거나, 비용 함수의 값이 현재 오류 제한 내에 도달하거나, 미리정해진 수의 반복에 도달된다. SO-MO-교대-최적화(S0-Mo-Alternative-Optimization)이 교대의 흐름을 위한 예로서 사용됨을 유의하라. 여기에서 SO, LO(Lens Optimization)이 실행되며 MO가 교대로 그리고 반복적으로 실행되고; 또는 제1 SMO가 일단 실행되고 그후 교대로 그리고 반복적으로 LO 및 MO를 실행할 수도 있는 등등 이다. 결국 최적화 결과의 출력이 단계 410에서 획득되고, 그 프로세스는 정지한다.
이전에 논의된 패턴 선택 알고리즘은 동시 또는 교대의 최적화와 통합될 수도 있다. 예컨대, 교대 최적화가 채택되는 경우, 먼저 풀-칩 SO 가 수행될 수 있으며, '핫 스팟들(hot spots)' 및/또는 '웜 스팟들(warm spots)'이 식별되고, 그후 MO 가 수행된다. 본 명세서의 관점에서, 원하는 최적화 결과들을 달성하기 위해 서브 최적화들의 다수의 치환들 및 조합들이 가능하다.
도 7a는 최적화의 한 예시적인 방법을 도시하며, 여기에서 비용 함수는 최소화된다. 단계 S502에서, 설계 변수들의 초기 값들이 획득되며, 만약 존재한다면 그들의 튜닝 범위들을 포함한다. 단계 S504에서, 다중 변수 비용 함수가 설정된다. 단계 S506에서, 비용 함수는 그 첫번째 반복 단계(i = 0)에 대한 설계 변수들의 시작 포인트 값 주변에 충분히 작게 인접한 주변 내로 확장된다. 단계 S508에서, 표준 다중 변수 최적화 기술들이 비용 함수를 최소화하기 위해 적용된다. 최적화 문제는, 단계 S508에서 또는 최적화 프로세스 내의 최후 단계에서의 최적화 프로세스 동안 튜닝 범위들과 같은 제약들을 적용할 수 있다. 단계 S520은, 리소그래피 프로세스를 최적화하기 위해 선택되었던 식별된 평가 포인트들을 위한 소정의 테스트 패턴들(또한 "게이지들" 로서 공지됨)에 대하여 각 반복이 완료되었음을 나타낸다. 단계 S510에서, 리소그래피 응답이 예측된다. 단계 S512에서, 단계 S510의 결과는 단계 S522에서 획득된 원하거나 이상적인 리소그래피 응답 값과 비교된다. 종결 조건이 단계 S514에서 만족된다면, 즉 최적화가 원하는 값에 충분히 근접한 리소그래피 응답 값을 생성한다면, 그후 설계 변수들의 최종 값은 단계 S518에서 출력된다. 출력 단계는 또한, 퓨필 평면 (또는 다른 평면)에서의 파면 수차 조절 맵(wavefront aberration-adjusted map), 최적화된 소스 맵, 및 최적화된 설계 레이아웃 등을 출력하는 것과 같이, 설계 변수들의 최종 값들을 사용하여 다른 함수들을 출력하는 것을 포함할 수도 있다. 그 종결 조건이 만족되지 않으면, 그후 단계 S516에서, 설계 변수들의 값들이 i 번째 반복의 결과로 업데이트되고, 그 프로세스는 단계 S506으로 돌아간다. 도 7a의 프로세스는 이하에서 상세히 설명된다.
예시적인 최적화 프로세스에서, 리소그래피 투사 장치에서 일반적으로 유효한, f p (z1, z2, ...., z N ) 가 충분히 평탄(예컨대, 일차 도함수들
Figure 112013002284701-pat00006
가 존재함)한 경우를 제외하면, 설계 변수들 (z1, z2, ...., z N ) 및 f p (z1, z2, ...., z N ) 간의 어떠한 관계도 가정되거나 근사치화되지 않는다. 가우스-뉴톤(Gauss-Newton) 알고리즘, 레벤버그-마쿼트(Levenberg-Marquardt) 알고리즘, 기울기 하강(gradient descent) 알고리즘, 시뮬레이팅된 어닐링, 일반적 알고리즘과 같은 알고리즘이
Figure 112013002284701-pat00007
를 찾는 데 적용될 수 있다.
여기에서, 가우스-뉴톤 알고리즘이 예로서 사용된다. 가우스-뉴톤 알고리즘은 일반적인 비선형 다중 변수 최적화 문제에 적용가능한 반복적인 방법이다. 설계 변수들 (z1, z2, ...., z N ) 이 (z1i, z2i, ...., z Ni ) 의 값들을 취하는 i 번째 반복에서, 가우스-뉴톤 알고리즘은 (z1i, z2i, ...., z Ni ) 의 부근에서 f p (z1, z2, ...., z N ) 를 선형화하고, 그후 CF(z1, z2, ...., z N )의 최소값을 주는 (z1i, z2i, ...., z Ni ) 의 부근에서 (z1(i+1), z2(i+1), ...., z N (i+1)) 값들을 계산한다. 설계 변수들 (z1, z2, ...., z N )은 (i=1)번째 반복에서 (z1(i+1), z2(i+1), ...., z N (i+1))의 값들을 취한다. 그 반복은 수렴 (즉, CF(z1, z2, ...., z N )는 더 감소하지 않음) 또는 미리정해진 수의 반복들에 도달할 때까지 계속된다.
상세하게는, i 번째 반복에서, (z1i, z2i, ...., z Ni ) 의 부근에서,
Figure 112013002284701-pat00008
식(3)
식(3)의 근사치 하에서, 비용 함수는 다음과 같다:
Figure 112013002284701-pat00009
식(4)
이것은 설계 변수들 (z1, z2, ...., z N ) 의 이차 함수이다. 모든 항목은 설계 변수들 (z1, z2, ...., z N ) 를 제외하고 상수이다.
설계 변수들 (z1, z2, ...., z N ) 이 임의의 제약들 하에 있지 않으면, (z1(i+1), z2(i+1), ...., z N (i+1))은 N 개의 선형 방정식에 의해 풀어 유도될 수 있다:
Figure 112013002284701-pat00010
, 여기에서 n = 1, 2,...., N.
설계 변수들 (z1, z2, ...., z N ) 이 j 개의 부등식들(예컨대, (z1, z2, ...., zN)의 튜닝 범위들), j = 1, 2, ..., J 에 대하여
Figure 112013002284701-pat00011
; 및 K 개의 등식들(예컨대, 설계 변수들 간에 상호의존성), k = 1, 2, ..., K 에 대하여
Figure 112013002284701-pat00012
의 형태로 제약들 하에 있는 경우, 최적화 프로세스는 고전적인 이차 프로그래밍 문제가 되며, 여기에서 Anj, Bj, Cnk, Dk는 상수들이다. 추가적인 상수들이 각 반복에 대하여 부과될 수 있다. 예컨대, 식(3)의 근사치가 유지되도록 "감쇠 계수(damping factor)" ΔD (z1(i+1), z2(i+1), ...., zN(i+1))와 (z1i, z2i, ...., z Ni ) 간의 차이를 제한하기 위해 도입될 수 있다. 그러한 제약들은
Figure 112013002284701-pat00013
으로 표현될 수 있다.
Figure 112013002284701-pat00014
예컨대 조르지 노세달(Jorge Nocedal) 및 스테판 제이 라잇(Stephan J. Wright) (베를린 뉴욕: 반덴베르그헤. 캠브리지 대학 출판사) 에 의한 수치 최적화(제2 판)에 설명되어 있는 방법을 사용하여 유도될 수 있다.
f p (z1, z2, ...., z N ) 의 RMS 를 최소화하는 대신에, 최적화 프로세스가 그들의 의도된 값들에 대한 평가 포인트들 중에 가장 큰 편차(최악의 결함)의 크기를 최소화할 수 있다. 이러한 접근법에서, 비용 함수는 대안적으로 다음과 같이 표현될 수 있다:
Figure 112013002284701-pat00015
식(5)
여기에서 CL p 는 f p (z1, z2, ...., z N ) 를 위하여 허용된 최대 값이다. 이러한 비용 함수는 평가 포인트들 중에 최악의 결함을 나타낸다. 이러한 비용 함수를 사용하는 최적화는 최악의 결함의 크기를 최소화시킨다. 반복적인 그리디(greedy) 알고리즘이 이러한 최적화를 위해 사용될 수 있다.
식(5)의 비용 함수는 다음과 같이 근사치화될 수 있다:
Figure 112013002284701-pat00016
식(6)
여기에서 q는 적어도 4, 바람직하게는 적어도 10과 같은 음의 짝수 정수이다. 식(6)은 식(5)의 거동을 모방하지만, 그 최적화가 분석적으로 실행되고 가장 심한 하강 방법(the deepest descent method), 공액 기울기 방법(conjugate gradient method), 등과 같은 방법들을 사용하여 가속화되도록 허용한다.
최악의 결함 사이즈를 최소화하는 것은 또한 f p (z1, z2, ...., z N ) 의 선형화와 결합될 수 있다. 특히, f p (z1, z2, ...., zN) 는 식(3)에서와 같이 근사치화된다. 그후 최악의 결함 사이즈에 대한 제약들은 부등식들,
Figure 112013002284701-pat00017
으로서 쓰여지며, 여기에서 E Lp E Up 는 f p (z1, z2, ...., z N ) 에 대한 편차를 허용하는 최소값 및 최대값을 특정하는 두 개의 상수들이다. 식(3)을 플러그인하면서, 이 제약들은, p = 1, ..., P 에 대하여,
Figure 112013002284701-pat00018
식(6)'
Figure 112013002284701-pat00019
식(6)"
식(3)이 일반적으로 (z1i, z2i, ...., z Ni ) 의 부근에서만 유효하기 때문에, 원하는 제약들
Figure 112013002284701-pat00020
이 상기 부등식들 사이에 임의의 충돌에 의해 결정될 수 있는 그러한 부근에서 달성될 수 없는 경우에, 상수들 E Lp E Up 는 그 상수들이 달성가능할 때까지 완화(relax)될 수 있다. 이러한 최적화 프로세스는 (z1i, z2i, ...., z Ni ) 의 부근에서 최악의 결함 사이즈를 최소화한다. 그 후 각 단계는 그 최악의 결함 사이즈를 점진적으로 감소시키고, 각 eksrLP는 특정 종결 조건들이 만족될 때까지 반복적으로 실행된다. 이것은 최악의 결함 사이즈의 최적의 감소를 야기할 것이다.
최악의 결함을 최소화시키는 다른 방식은 각 반복에서 가중치 w p 를 조정하는 것이다. 예컨대, i 번의 반복 후에, r 번째 평가 포인트가 최악의 결함인 경우, w r 은 그 평가 포인트의 결함 사이즈의 감소에 더 높은 우선 순위가 주어지도록 (i + 1) 번째 반복에서 증가될 수 있다.
부가적으로, 식 (4) 및 (5)에서의 비용 함수는, 결함 사이즈의 RMS 에 대한 최적화 와 최악의 결함 사이즈에 대한 최적화 간에 타협할 수 있도록 라그랜지 승수(Lagrange multiplier) 를 도입하여 변경될 수 있다. 즉,
Figure 112013002284701-pat00021
식(6)"'
여기에서, λ는 결함 사이즈의 RMS 에 대한 최적화와 최악의 결함 사이즈에 대한 최적화 간의 트레이드오프(trade-off)를 특정하는 미리정해진 상수이다. 특히, λ= 0 인 경우, 이것은 식 (4) 가 되고, 결함 사이즈의 RMS만 최소화되지만; λ= 1 인 경우, 이것은 식 (5)가 되며 최악의 결함 사이즈만 감소되고; o < λ< 1인 경우, 둘 모두 최적화에서 고려된다. 그러한 최적화는 다수의 방법들을 사용하여 해결될 수 있다. 예컨대, 각 반복에서 가중화가 이전에 설명된 것과 유사하게 조정될 수도 있다. 대안적으로, 부등식들로부터 최악의 결함 사이즈를 최소화하는 것과 유사하게, 식(6)' 및 식(6)"의 부등식들이 이차 프로그래밍 문제의 솔루션 해결 동안 서례 변수들의 제약들로서 여겨질 수 있다. 그후, 최악의 결함 사이즈에 대한 한계들이 점점 완화되거나 최악의 결함 사이즈에 대한 가중치가 점점 증가하고, 모든 달성가능한 최악의 결함 사이즈에 대한 비용 함수 값을 계산하며, 그 다음 단계를 위한 초기 포인트로서 총 비용 함수를 최소화시키는 설계 변수 값들을 선택한다. 이를 반복적으로 함으로써, 이러한 새로운 비용 함수의 최소화가 이루어질 수 있다.
리소그래피 투사 장치에서, 예컨대, 방사선 강도가 감소된 EUV 소스 또는 비-EUV(예컨대, 5 -20 nm 범위의 파장을 가짐) 소스를 사용하면 홀들과 같은 작은 이차원 특징물들 내의 국부적 CD 변화 및 현저한 LWR(Line width roughness)와 같은 더 강력한 확률적 효과들을 야기할 수도 있다. EUV 소스를 사용하는 리소그래피 투사 장치에서, 감소된 방사선 강도는 소스로부터의 낮은 총 방사선 출력, 소스로부터의 방사선의 형태를 형성하는 광학으로부터의 방사선 손실, 투사 광학을 통한 투과 손실, 일정한 도즈 하에서 더 적은 포톤(photon)들을 야기하는 높은 포톤 에너지, 등에 기여할 수도 있다. 확률적 효과들은 포톤 샷 노이즈(photon shot noise), 포톤 생성 이차 전자들(photon-generated secondary electrons), 레지스트 내의 포톤 생성 산들(photon-generated acids) 과 같은 요인들에 기여할 수도 있다. 추가적인 컴파운드를 위해 EUV가 요구되는 작은 사이즈의 특징물들이 이러한 확률적 효과들에 기여할 수도 있다. 더 작은 특징물들에서의 확률적 효과들은 생산 수율에 있어서 중요한 요인들이 되고 리소그래피 투사 장치의 다양한 최적화 프로세스들에서 인클루젼(inclusion)을 정당화시킨다.
동일한 방사선 강도하에서, 각 기판의 더 낮은 노광 시간은 리소그래피 투사 장치의 쓰루풋이 더 높아지도록 하지만 더 강한 확률적 효과를 초래한다. 소정의 방사선 강도하에서의 소정의 특징물의 포톤 샷 노이즈는 노광 시간의 제곱근에 비례한다. 쓰루풋을 증가시키기 위한 의도로 노광 시간을 낮추는 것에 대한 요구가 EUV 및 다른 방사선 소스들을 사용하는 리소그래피에 존재한다. 그러므로, 최적화 프로세스 내의 확률적 효과를 고려하는 본 명세서 내에 설명된 방법들 및 장치들은 EUV 리소그래피로 제한되지 않는다.
한 실시예에서, 비용 함수는, 이차원 특징물들의 국부적 CD 변화 또는 LWR와 같은 하나 이상의 확률적 효과들의 함수인 적어도 하나의 f p (z1, z2, ...., z N ) 를 포함한다. 예컨대,
Figure 112013002284701-pat00022
식(7)
여기에서 NPh는 소스로부터의 포톤들의 플럭스(flux) 밀도이고; NAc는 염기성 퀀칭(base quenching) 후에 포톤들에 의해 레지스트 내에 생성된 산들의 수밀도(number density)에 관한 것이며; α는 특정 레지스트 내의 특정 특징물의 실제 LWR 에 식(7)을 매칭하는 경험적 요인 또는 도즈 감도(dose sensitivity)와 같은 계수이다. NPh 및 NAc는 특정되거나, 실험적으로 결정되거나, 또는 다양한 모델들로부터 시뮬레이팅될 수 있다. 식(7)에서의 예시적인 f p (z1, z2, ...., z N )는 이차원 특징물의 CD 변화 또는 선 특징물(line feature)의 LWR 을 측정한다. 물론, f p (z1, z2, ...., z N )는 하나 이상의 확률적 효과들의 함수인 임의의 다른 적절한 형태를 가질 수 있다. 다른 예에서, f p (z1, z2, ...., z N ) 는 하나 이상의 확률적 효과들과 EPE와 같은 다른 메트릭들의 조합의 함수이다.
도 5는 정밀한 모델링(rigorous modeling)으로부터 계산된 확률적 효과들에 대한 식(7) 에서의 f p (z1, z2, ...., z N )의 근접 매칭을 도시한다. 정밀한 모델링은 NA = 0.33 및 7 nm 레지스트 블러(resist blur)를 갖는 소스에 대하여 상부 커브로부터 하부 커브로 각각 20 nm, 22 nm, 24 nm, 26 nm, 28 nm, 30 nm, 32 nm 의 절반 피치(half-pitch)를 각각 갖는 선들에 대해 실행된다. 정밀한 모델링은 최적화 과정 동안 너무 비용이 많이 든다. 도 5에서, 기호들은 정밀한 모델링에 의해 예측된 LWR 들이다. 상이한 기호들은 상이한 밀도의 선 절반 피치 값들(line half-pitch values)에 대응한다. 커브들은 정밀한 모델링의 결과에 식(7)을 맞춘 것이다.
식(6)은 27 nm 폭의 선들에 대하여 리소그래피 투사 장치 (NA = 0.25 및 NA = 0.33)의 몇몇의 조명 조건들 하에서 식(7)에서의 모델을 사용한 LWR들의 예측을 도시한다. 더 작은 부분 간섭 계수 σ및 더 큰 NA 는 더 작은 LWR을 산출한다.
하나 이상의 확률적 효과들의 함수인 f p (z1, z2, ...., z N )은,
Figure 112013002284701-pat00023
식(8) 와 같은 다른 형태들을 가질 수 있으며, 여기에서 h 는 CDU, 쓰루풋, EPE, 도즈와 같은 리소그래피 프로세스의 임의의 특성들의 함수이다.
리소그래피 투사 장치의 최적화는 프로세스 창을 확장할 수 있다. 더 큰 프로세스 창은 프로세스 설계 및 칩 설계에서 더 큰 융통성을 제공한다. 프로세스 창은 레지스트 이미지가 레지스트 이미지의 설계 타겟의 특정 제한 내에 있는 초점 및 도즈 값들의 세트로서 정의될 수 있다. 본 명세서에서 논의된 모든 방법들은 또한, 노광 도즈 및 디포커스(defocus)에 부가하여 상이하거나 추가적인 염기성 파라미터들에 의해 확립될 수 있는 일반화된 프로세스 창으로 확대될 수도 있다. 이들은, 레지스트 층의 NA, 시그마, 수차, 분극, 또는 광학 상수와 같은 광학적 설정들을 포함할 수 있지만 그에 제한되지는 않는다. 예컨대, 이전에 설명한 바와 같이, PW가 또한 상이한 마스크로 구성되어 있는 경우, 최적화는 기판 EPE와 유도된 마스크 에지 바이어스 간의 비율로서 정의된 MEEF(Mask Error Enhancement Factor)의 최소화를 포함한다. 포커스 및 도즈 값들에 대해 정의된 프로세스 창은 본 명세서에서 예시로서만 쓰일 뿐이다. 실시예에 따라 프로세스 창을 최소화하는 방법은 이하에서 설명된다.
제1 단계에서, 프로세스 창에서의 공지된 조건
Figure 112013002284701-pat00024
(여기에서 f0는 공칭 초점이고 ε0은 공칭 도즈임) 으로부터 시작해서, 주변
Figure 112013002284701-pat00025
에서 이하의 비용 함수들 중 하나를 최소화하는 것은:
Figure 112013002284701-pat00026
식(27)
또는,
Figure 112013002284701-pat00027
식(27)'
또는
Figure 112013002284701-pat00028
식(27)"
공징 초점(normal focus) f0및 공칭 도즈(normal dose)ε0가 이동이 허용되는 경우, 그들은 설계 변수들 (z1, z2, ...., z N )와 공동으로 최적화될 수 있다. 다음 단계에서, (z1, z2, ...., z N, f,ε) 의 값들의 세트가 비용 함수가 미리정해진 제한 내에 있다고 발견되는 경우,
Figure 112013002284701-pat00029
는 프로세스 창의 일부로서 수용된다.
대안적으로, 초점 및 도즈가 이동되도록 허용되지 않는 경우, 설계 변수들 (z1, z2, ...., z N )은, 공칭 초점 f0 및 공칭 도즈 ε0로 고정된 초점 및 도즈로 최적화된다. 대안적인 실시예에서, (z1, z2, ...., z N ) 의 값들의 세트가 비용 함수가 미리정해진 제한 내에 있다고 발견되는 경우,
Figure 112013002284701-pat00030
는 프로세스 창의 일부로서 수용된다.
본 명세서에서 이전에 설명된 방법들은 식(27), 식(27)' 및 식(27)"의 각각의 비용 함수를 최소화하는 데 사용될 수 있다. 설계 변수들이 제르니케(Zernike) 계수와 같은 투사 광학의 특성들인 경우, 식(27), 식(27)' 및 식(27)"의 비용 함수를 최소화하는 것은 투사 광학 최적화, 즉 LO에 기초한 프로세스 창 최대화를 초래한다. 설계 변수들이 투사 광학들의 특성들에 부가하여 소스 및 패터닝 디바이스의 특성들인 경우, 식(27), 식(27)' 및 식(27)"의 비용 함수를 최소화하는 것은 도 3에 예시된 SMLO에 기초한 프로세스 창 최대화를 초래한다. 설계 변수들이 소스 및 패터닝 디바이스의 특성들인 경우, 식(27), 식(27)' 및 식(27)"의 비용 함수를 최소화하는 것은 SMO에 기초한 프로세스 창 최대화를 초래한다. 식(27), 식(27)' 및 식(27)"의 비용 함수는 또한, 이차원 특징물들의 국부적 CD 변화 또는 LWR 과 같은 하나 이상의 확률적 효과들의 함수인 식(7) 및 식(8)에서와 같은 적어도 하나의 f p (z1, z2, ...., z N )를 포함할 수 있다.
도 8은 동시 SMLO 프로세스가 어떻게 최적화를 위한 가우스-뉴톤 알고리즘을 사용할 수 있는지의 한 가지 특정 예를 보여준다. 단계 S702에서, 설계 변수들의 시작 값들이 식별된다. 각 변수들에 대한 튜닝 범위들이 또한 식별될 수도 있다. 단계 S704에서, 비용 함수는 설계 변수들을 사용하여 정의된다. 단계 S706에서, 비용 함수는 설계 레이아웃에서의 모든 평가 포인트들에 대한 시작 값들 주변으로 확장된다. 선택적인 단계 S710에서, 풀-칩 설계 레이아웃에서의 모든 결정적 패턴들(critical patterns)을 커버하기 위해 풀-칩 시뮬레이션이 실행된다. (CD 또는 EPE 와 같은) 원하는 리소그래피 응답 메트릭이 단계 S714에서 획득되며, 단계 S712에서 그러한 양들의 예측된 값들과 비교된다. 단계 S716에서, 프로세스 창이 결정된다. 단계들 S718, S720, 및 S722는 도 7a에 관하여 설명된 대응 단계들 S514, S516, S518 과 유사하다. 이전에 언급된 바와 같이, 최종 출력은 퓨필 평면에서의 파면 수차 맵일 수 있으며, 원하는 이미징 성능을 생성하도록 최적화될 수 있다. 최종 출력은 또한 최적화된 소스 맵 및/또는 최적화된 설계 레이아웃일 수 있다.
도 7b는 설계 변수들 (z1, z2, ...., z N )이 이산 값(discrete value)들을 단지 추정할 수 있는 설계 변수들을 포함하는 경우에 비용 함수를 최적화하는 예시적인 방법을 도시한다.
그러한 방법은 패터닝 디바이스의 픽셀 그룹들과 패터닝 디바이스의 패터닝 디바이스 타일들을 정의함으로서 개시한다 (단계 802). 일반적으로, 픽셀 그룹 또는 패터닝 디바이스 타일은 또한 리소그래피 프로세스 컴포넌트의 디비젼(division)으로서 지칭될 수 있다. 한 예시적인 접근법에서, 광원은 117개 픽셀 그룹들로 분할되고, 실질적으로 이상에서 설명된 바와 같이, 94개 패터닝 디바이스 타일들이 패터닝 디바이스를 위해 정의되며, 총 211개 디비젼들이 생긴다.
단계 804에서, 리소그래피 모델은 포토리소그래피 시뮬레이션을 위한 기초로서 선택된다. 포토리소그래피 시뮬레이션들은 포토리소그래피 메트릭들 또는 응답들의 계산에서 사용되는 결과들을 생성한다. 특정 포토리소그래피 메트릭이 최적화되어야 할 성능 메트릭이 되도록 정의된다. (단계 806). 단계 808에서, 광원 및 패터닝 디바이스를 위한 초기(최적화 이전) 조건들이 설정된다. 초기 조건들은, 참조들이 초기 조명 형태 및 초기 패터닝 디바이스 패턴에 이루어질 수 있도록 패터닝 디바이스의 패터닝 디바이스 타일들 및 광원의 픽셀 그룹들을 위한 초기 상태들을 포함한다. 초기 상태들은 또한 마스크 바이어스, NA, 및 초점 램프 범위를 포함할 수도 있다. 비록 단계들 (802, 804, 806 및 808)이 일련의 단계들로서 도시되었지만, 본 발명의 다른 실시예들에서, 이러한 단계들은 다른 시퀀스로 실행될 수도 있다는 점이 이해될 것이다.
단계 810에서, 픽셀 그룹들 및 패터닝 디바이스 타일들이 등급화된다. 픽셀 그룹들 및 패터닝 디바이스 타일들이 등급에서 인터리빙(interleaving)될 수도 있다. 픽셀 그룹들 및 패터닝 디바이스 타일들의 물리적인 위치들(예컨대, 더 높은 광원의 중심에 더 가깝게 픽셀 그룹들을 등급화함) 에 따라서, 그리고 픽셀 그룹 또는 패터닝 디바이스 타일의 교대가 성능 메트릭에 어떻게 영향을 미치는가에 따라서, 연속적으로 (예컨대, 픽셀 그룹 1로부터 픽셀 그룹 17로 그리고 패터닝 디바이스 타일 1로부터 패터닝 디바이스 타일 94로), 무작위적으로 행하는 것을 포함하여, 등급화의 다양한 방식들이 채용될 수 있다.
일단 픽셀 그룹들 및 패터닝 디바이스 타일들이 등급화되면, 광원 및 패터닝 디바이스는 성능 메트릭(단계 812)을 향상시키기 위해 조정된다. 단계 812에서, 픽셀 그룹들 또는 패터닝 디바이스 타일의 변경이 향상된 성능 메트릭을 가져올지 여부를 결정하기 위해, 픽셀 그룹들 및 패터닝 디바이스 타일들 각각이 등급(ranking)의 순서로 분석된다. 성능 메트릭이 향상될 것인지 여부가 결정되면, 픽셀 그룹 또는 패터닝 디바이스 타일이 그에 따라 변경되며, 그 결과 향상된 성능 메트릭 및 변형된 조명 형태 또는 변형된 패터닝 디바이스 패턴이 낮게 랭킹된 픽셀 그룹들 및 패터닝 디바이스 타일들의 후속적인 분석들을 위한 비교를 위한 기선(baseline)을 형성한다. 다시 말하면, 성능 메트릭을 향상시키는 변경들이 유지된다. 픽셀 그룹들 및 패터닝 디바이스 타일들의 상태에 대한 변경들이 이루어지고 유지되기 때문에, 변형된 조명 형태 및 변형된 패터닝 디바이스 패턴이 단계 812에서의 최적화 프로세스로부터 야기되도록, 초기 조명 형태 및 초기 패터닝 디바이스 패턴이 그에 따라 변경된다.
다른 접근법들에서, 패터닝 디바이스 다각 형태 조정들 및 픽셀 그룹들 및/또는 패터닝 디바이스 타일들의 쌍 폴링(pairwise polling)은 또한 단계 812의 최적화 프로세스 내에서 수행된다.
대안적인 실시예에서, 인터리빙된 동시 최적화 과정은 광원의 픽셀 그룹을 변경하는 것을 포함하며, 성능 메트릭의 향상이 발견되면, 그 도즈는 추가적인 향상을 찾기 위해 위아래로 스테핑(step)된다. 추가의 대안적인 실시예에서, 도즈 또는 강도의 위아래로의 스테핑은 동시 최적화 과정에서의 추가적인 향상을 찾기 위해 패터닝 디바이스 패턴의 바이어스 변경에 의해 대체될 수도 있다.
단계 814에서, 성능 메트릭이 수렴되는지 여부에 관하여 결정이 이루어진다. 성능 메트릭은, 예컨대 단계 810 및 812 의 지난 몇몇의 반복들에서 성능 메트릭의 향상이 거의 발견되지 않거나 아예 발견되지 않는 경우, 수렴했던 것으로 고려될 수 있다. 성능 메트릭이 수렴하지 않았던 경우, 단계들 810 및 812 는 다음 반복에서 반복되며, 여기에서 현재 반복으로부터 변형된 조명 형태 및 변형된 패터닝 디바이스가 다음 반복을 위한 초기 조명 형태 및 초기 패터닝 디바이스로서 사용된다. (단계 816).
도 9는 상부 좌패널에 도시된 고정된 환형의 0.9/0.2σ 개구(즉, 개구 소스)를 갖는 소스로부터 그리고 개구가 하부 좌패널에서 도시된 최적화된 소스로부터 NA = 0.33을 가지는 27 nm 조밀 수직 선들(dense vertical lines)의 예시적인 LWR들을 도시한다. SMO는 식(7) 및 식(8)의 f p (z1, z2, ...., z N )를 포함하는 비용 함수를 사용하였다. 도 9가 일반적으로 도시하는 바와 같이, SMO는 LWR (식(7)), CDU, 및 그들의 조합(식(8))을 감소시키는 데 효과가 있다.
이상에서 설명된 최적화 방법들은 리소그래피 투사 장치의 쓰루풋을 증가시키는 데 사용될 수도 있다. 예컨대, 비용 함수는 노광 시간의 함수인 f p (z1, z2, ...., z N )를 포함할 수도 있다. 그러한 비용 함수의 최적화는 바람직하게는 제약되거나, 확률적 효과들 또는 다른 메트릭들의 측정에 의해 영향을 받는다. 상세하게는, 리소그래피 프로세스의 쓰루풋을 증가시키기 위한 컴퓨터 실행 방법은, 노광 시간을 최소화시키기 위해, 리소그래피 프로세스의 하나 이상의 확률적 효과들의 함수 및 기판의 노광 시간의 함수인 비용 함수를 최적화시키는 것을 포함할 수 있다.
도 10은 최적화 방법들 및 본 명세서에서 개시된 흐름들을 실행하는 데 도움을 줄 수 있는 컴퓨터 시스템(100)을 예시한다. 컴퓨터 시스템(100)은 또한, 버스(102) 또는 정보를 통신하기 위한 다른 통신 메커니즘, 및 정보를 처리하기 위해 버스(102)와 결합된 프로세스(104)를 포함한다. 컴퓨터 시스템(100)은 또한, 프로세서(104)에 의해 실행될 명령어들과 같은 정보를 저장하기 위한 버스(102)와 결합되어 있는, 랜덤 액세스 메모리(RAM) 또는 다른 동적 스토리지 디바이스와 같은 주 메모리(106)을 포함한다. 주 메모리(106)는 또한, 프로세서(104)에 의해 실행될 명령어들의 실행 동안 일시적인 변수들 또는 다른 중간 정보를 저장하기 위해 사용될 수 있다. 컴퓨터 시스템(100)은 또한 정적 정보 및 프로세서(104)를 위한 명령어들을 저장하기 위해 버스(102)에 결합된 다른 정적 스토리지 디바이스 또는 판독 전용 메모리(ROM) 를 추가적으로 포함한다. 자성 디스크 또는 광학 디스크와 같은 스토리지 디바이스(110)는 정보 및 명령어들을 저장하기 위해 버스(102)에 제공되고 연결된다.
컴퓨터 시스템(100)은 컴퓨터 사용자에게 정보를 디스플레이하기 위한 CRT(Cathod ray tube) 또는 평면 패널 또는 터치 패널 디스플레이와 같은 디스플레이(112)로 버스(102)를 경유하여 연결될 수도 있다. 영숫자 및 다른 키들을 포함하는 입력 디바이스(114)가 프로세서(104)에 정보 및 명령 선택들을 통신하기 위한 버스(102)에 결합된다. 사용자 입력 디바이스의 다른 유형은 프로세서(104)에 지시 정보 및 명령 선택들을 통신하고 디스플레이(112) 상에서 커서 움직임을 제어하는 마우스, 트랙볼, 또는 커서 지시 키들과 같은 커서 제어(116)이다. 이러한 입력 디바이스는 전형적으로, 그 디바이스가 평면에서 위치를 특정하도록 허용하는 두 개의 축들, 제1 축(예컨대, x) 및 제2 축 (예컨대, y)으로 2의 자유도를 갖는다. 터치 패널(스크린) 디스플레이는 또한 입력 디바이스로서 사용될 수 있다.
한 실시예에 따르면, 최적화 프로세스의 부분들은 주 메모리(106)에서 포함된 하나 이상의 명령어들의 하나 이상의 시퀀스들을 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 수행될 수도 있다. 그러한 지시들은, 스토리지 디바이스와 같은 다른 컴퓨터 판독가능한 매체로부터 주 메모리 (106)로 판독될 수 있다. 주 메모리(106)에 포함된 명령어들의 시퀀스들의 실행은 프로세서(104)가 본 명세서에서 설명된 프로세스 단계들을 실행하도록 야기한다. 멀티 프로세싱 배열에서 하나 이상의 프로세서들이 또한 주 메모리(106)에 포함된 지시들의 시퀀스들을 실행하기 위해 채용될 수 있다. 대안적인 실시예에서, 고정 배선(hard-wired) 회로가 소프트웨어 지시들 대신에 또는 그와 결합하여 사용될 수도 있다. 따라서, 본 명세서에서의 설명은 하드웨어 회로 및 소프트웨어의 임의의 특정한 결합에 제한되지 않는다.
본 명세서에서 사용된 바와 같은 "컴퓨터 판독가능한 매체" 라는 용어는 실행을 위한 프로세서(104)에 지시들을 제공하는 데 참여하는 임의의 매체를 지칭한다. 그러한 매체는 비휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하지만 그에 제한되지 않는 많은 형태들을 가질 수 있다. 비휘발성 매체는, 예컨대 스트리지 디바이스(110)와 같은 광학 또는 자성 디스크들을 포함한다. 휘발성 매체는 주 메모리(106)과 같은 동적 메모리를 포함할 수도 있다. 전송 매체는, 버스(102)를 포함하는 선들을 포함하여, 동축 케이블들, 구리 선, 및 섬유 광학들을 포함한다. 전송 매체는 또한, 무선 주파수(RF) 및 적외선(IR) 데이터 통신들 동안 생성되는 것들과 같은, 음파 또는 광파의 형태를 취할 수 있다. 컴퓨터 판독가능한 매체의 통상적인 형태들은, 예컨대, 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자성 테입, 임의의 다른 자성 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드들, 페이퍼 테입, 홀들의 패턴들을 가진 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, 플래시-EPROM, 임의의 다른 칩 또는 카트리지, 이하에서 설명된 캐리어 파(carrier wave), 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함할 수 있다.
컴퓨터 판독가능한 매체의 다양한 형태들은 실행을 위한 프로세서(104)로의 하나 이상의 명령어들의 하나 이상의 시퀀스들을 운반하는 데 수반될 수도 있다. 예컨대, 명령어들은 초기에 원격 컴퓨터의 자성 디스크 상에 유지될 수도 있다. 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고 모뎀을 사용하여 전화선을 통해 그 명령어들을 송신할 수 있다. 컴퓨터 시스템(100)에 특정한 모뎀은 전화선 상으로 데이터를 수신하고 그 데이터를 적외선 신호로 변환하기 위해 적외선 전송기를 사용한다. 버스(102)에 결합된 적외선 검출기는 적외선 신호로 운반되는 데이터를 수신하고 버스(102) 상에 그 데이터를 위치시킨다. 버스(102)는 주 메모리(106)로 그 데이터를 운반하고, 주 메모리(106)로부터 프로세서(104)가 지시들을 탐색하고 실행한다. 주 메모리(106)에 의해 수신된 지시들은 프로세서(104)에 의한 실행 전 또는 후에 스토리지 디바이스(110) 상에 선택적으로 저장될 수 있다.
컴퓨터 시스템(100)은 또한 바람직하게는 버스(102)에 결합된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 국부 네트워크(122)에 연결된 네트워크 링크(120)에 결합한 양 방향 데이터 통신을 제공한다. 예컨대, 통신 인터페이스(118)는 대응하는 유형의 전화선에 데이터 통신 연결을 제공하는 모뎀 또는 ISDN(Intergrated Services Digital Network) 일 수도 있다. 다른 예로서, 통신 인터페이스(118)는 호환가능한 LANdp 데이터 통신 연결을 제공하는 LAN(Local Area Network) 카드 일 수 있다. 무선 링크들이 또한 실행될 수 있다. 임의의 그러한 실행에서, 통신 인터페이스(118)는, 다양한 유형의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기, 전자기 또는 광학 신호들을 송신 및 수신한다.
네트워크 링크(120)는 통상적으로 하나 이상의 네트워크들을 통해 다른 데이터 디바이스들에 데이터 통신을 제공한다. 예컨대, 네트워크 링크(120)는 ISP(Internet Service Provider)(126)에 의해 작동되는 데이터 설비 또는 호스트 컴퓨터(124)에 로컬 네트워크 (122)를 통한 연결을 제공할 수 있다. ISP(126)는 다시, 현재 통상적으로 "인터넷"(128)으로 지칭되는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스들을 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 모두 디지털 데이터 스트림들을 전달하는 전기, 전자기, 또는 광학 신호들을 사용한다. 다양한 네트워크들을 통한 신호들 및 네트워크 링크(120) 상의 신호들 및 통신 인터페이스(118)를 통한 신호들(컴퓨터 시스템(100)으로 그리고 컴퓨터 시스템(100)으로부터 디지털 데이터를 전달함)은 정보를 운송하는 캐리어 파들의 예시적인 형태들이다.
컴퓨터 시스템(100)은, 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통해, 메시지들을 송신하고 프로그램 코드를 포함한 데이터를 수신한다. 인터넷의 예에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122), 및 통신 인터페이스(118)를 통해 애플리케이션 프로그램을 위한 요청된 코드를 전송할 수 있다. 그러한 한가지 다운로드된 애플리케이션은 예컨대 실시예의 조명 최적화를 제공할 수 있다. 수신된 코드는 수신된 대로 프로세서(104)에 의해 실행될 수 있으며, 및/또는 이후의 실행을 위해 스토리지 디바이스(110) 또는 다른 비-휘발성 스토리지에 저장될 수도 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 캐리어 파의 형태로 애플리케이션 코드를 획득할 수 있다.
도 11은 광원이 본 명세서에서 설명된 방법을 이용하여 최적화될 수 있는 예시적인 리소그래피 투사 장치를 개략적으로 도시한다. 그 리소그래피 투사 장치는:
- 방사선의 빔(B)를 조절하기 위한 조명 시스템(IL). 이 특정 경우에, 조명 시스템은 또한 방사선 소스(SO)를 포함한다;
- 패터닝 디바이스 MA (예컨대, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 관하여 패터닝 디바이스를 정확하게 위치시키는 제1 위치설정기에 연결된 제1 오브젝트 테이블(object table)(예컨대, 마스크 테이블) (MT);
- 기판(W)(예컨대, 레지스트 코팅된 실리콘 웨이퍼)를 유지하는 기판 홀더가 제공되고, 아이템(PS)에 관하여 기판을 정확하게 위치시키는 제2 위치설정기에 연결되는, 제2 오브젝트 테이블(기판 테이블)(WT);
- 기판(W)의 타겟 부분(C)(예컨대, 하나 이상의 다이를 포함) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투사 시스템("렌즈")(PS)(예컨대, 굴절, 반사 또는 반사굴절 광학 시스템).
본 명세서에서 도시된 바와 같이, 그러한 장치는 투과형(즉, 투과 마스크를 가짐)이다. 그러나, 일반적으로 그것은 또한 예컨대 (반사 마스크를 가진) 반사형일 수도 있다. 대안적으로, 그러한 장치는 클래식 마스크의 사용의 대안으로서 다른 유형의 패터닝 디바이스를 이용할 수도 있으며; 예들은 프로그래밍가능한 미러 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO) (예컨대, 수은 램프 또는 엑시머 레이저)는 방사선 빔을 생성한다. 이 빔은, 직접적으로 또는 예컨대 빔 확장기(Ex)와 같은 횡단 조절 수단(traversed conditioning means)를 가진 후에, 조명 시스템(조명기)(IL)에 공급된다. 조명기(IL)는 방사 빔의 세기 분포(angular intensity distribution)의 외측 반경 및/또는 내측 반경 범위(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)를 포함할 수 있다. 부가적으로, 이것은 집속기(integrator)(IN) 및 집광기(condenser)(CO)와 같은 다양한 다른 구성요소들을 포함할 수 있다. 이러한 방식으로, 패터닝 디바이스(MA)에 대해 악영향을 미치는 빔(B)이 그 단면에서 원하는 균일성 및 세기 분포를 가진다.
도 11에 관련하여, 소스(SO)가 (소스(SO)가 예컨대 수은 램프인 경우와 같이) 리소그래피 투사 장치의 하우징 내에 있지만, 그것은 또한 리소그래피 투사 장치로부터 떨어져 있을 수도 있으며; 그 소스가 생성하는 방사선 빔이 (예컨대, 적절한 지향 미러들을 사용하여) 리소그래피 투사 장치로 유도될 수 있고; 이러한 후자의 시나리오는 종종 소스(SO)가 (예컨대, KrF, ArF, 또는 F2 레이징에 기초한) 엑시머 레이저인 경우들이다.
빔 (PB)은 이후에 패터닝 디바이스 테이블(MT) 상에 유지되는 패터닝 디바이스(MA)를 인터셉트(intercept)한다. 패터닝 디바이스(MA) 를 가로지르면, 빔 (B)는 기판(W)의 타겟 부분(C) 상에 빔(B)을 포커싱하는 렌즈들 (PL)을 통과한다. 제2 위치설정 수단 ( 및 간섭측정 측정 수단(IF))을 사용하여, 예컨대 빔(PB)의 경로에 상이한 타겟 부분동들(C)을 위치시키기 위해, 기판 테이블(WT)이 정확하게 이동될 수 있다. 유사하게, 제1 위치설정 수단이, 예컨대 패터닝 디바이스 라이브러리로부터 패터닝 디바이스(MA)의 기계적 탐색 후에, 또는 스캔 동안에, 빔(B)의 경로에 관하여 패터닝 디바이스(MA)를 정확하게 위치시키는 데 사용될 수 있다. 일반적으로, 오브젝트 테이블들(MT, WT)의 움직임이 롱-스트로크 모듈(long-stroke module; 개략적 위치 설정) 및 숏-스트로크 모듈(short-stroke module; 미세 위치 설정)을 이용하여 실현될 것이며, 이는 도 11에 명백하게 도시되어 있지는 않다. 그러나, (스텝-및-스캔 툴(step-and-scan tool)과 반대의) 웨이퍼 스테퍼(wafer stepper)의 경우, 패터닝 디바이스 테이블(MT)은 단지 숏-스트로크 모듈에 연결되던지, 또는 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드들에서 사용될 수 있다:
- 스텝 모드에서는, 패터닝 디바이스 테이블(MT)가 기본적으로 정지한 상태로 유지되며, 전체 패터닝 디바이스 이미지가 타겟 부분(C) 상으로 한번에 (즉, 단일 "플래시") 투사된다. 기판 테이블(WT)은 그후, 상이한 타겟 부분(C)가 빔(PB)에 의해 조사될 수 있도록 x 및/또는 y 방향으로 이동된다;
- 스캔 모드에서는, 소정의 타겟 부분(C)가 단일 "플래시"로 노광되지 않는 것을 제외하면, 기본적으로 동일한 시나리오가 적용된다. 대신에, 패터닝 디바이스 테이블(MT)은 투사 빔(B)이 패터닝 디바이스 이미지 위로 스캔되도록 야기되기 위해 속도 v로 소정의 방향(소위 "스캔 방향", 예컨대 y 방향)으로 이동가능하며; 동시에, 기판 테이블(WT)은 스피드 V = Mv 로 동일 또는 반대 방향으로 동시에 이동되며, 여기에서 M 은 렌즈 (PL) (통상적으로, M = 1/4 또는 1/5)의 배율이다. 이러한 방식으로, 상대적으로 큰 타겟 부분(C)이 해상도에 대해 타협하지 않고도 노광될 수 있다.
도 12는 광원이 본 명세서에서 설명된 방법들을 이용하여 최적화될 수 있는 다른 예시적인 리소그래피 투사 장치(1000)를 개략적으로 도시한다.
리소그래피 투사 장치(1000)는:
- 소스 콜렉터 모듈(SO)
- 방사선 빔(B)(예컨대, EUV 방사선)을 조절하도록 구성된 조명 시스템(조명기)(IL)
- 패터닝 디바이스 (예컨대, 마스크 또는 레티클)(MA)를 지지하도록 구성되고 패터닝 디바이스를 정확히 위치시키도록 구성된 제1 위치설정기(PM)에 연결된 지지 구조체(예컨대, 마스트 테이블)(MT);
- 기판(예컨대, 레지스트 코팅된 웨이퍼)(W)를 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제2 위치설정기(PW)에 연결된 기판 테이블(예컨대, 웨이퍼 테이블)(WT); 및
- 기판(W)의 타겟 부분(C) (예컨대, 하나 이상의 다이들을 포함) 상으로 패터닝 디바이스(MA) 에 의해 방사선 빔(B)에 부여된 패턴을 투사하도록 구성된 투사 시스템(예컨대, 반사형 투사 시스템)(PS).
본 도면에 도시된 바와 같이, 리소그래피 투사 장치(1000)는 반사형(예컨대, 반사 마스크를 이용)이다. 대부분의 물질들이 EUV 파장 범위 내에서 흡수성이기 때문에, 마스크가 예컨대 몰리브덴 및 실리콘의 다중-스택(multi-stack)을 포함하는 다중층 반사기들을 가질 수도 있다는 것이 유의되어야 한다. 한 예에서, 각 층의 두께가 사분의 일 파장인 경우, 다중-스택 반사기는 몰리브덴 및 실리콘의 40개 층 쌍을 갖는다. 훨씬 더 작은 파장들이 엑스레이 리소그래피로 생성될 수 있다. 대부분의 물질은 EUV 및 엑스레이 파장들에서 흡수성이며, 패터닝 디바이스 토폴로지(예컨대, 다중층 반사기의 최상부 상에 TaN 흡수기) 상에 패터닝된 흡수 물질의 얇은 조각은 특징물들이 프린트(양의 레지스트)이거나 프린트가 아닌(음의 레지스트)인 경우를 정의한다.
도 12를 참조하면, 조명기(IL)는 소스 콜렉터 모듈(SO)로부터 극초자외선 빔을 수광한다. EUV 방사선을 생성하는 방법은 EUV 범위내의 하나 이상의 방출 선들을 갖는 적어도 하나의 원소, 예컨대 크세논, 리튬, 또는 주석을 갖는 플라즈마 상태로 물질을 변환시키는 것을 포함하지만 반드시 그에 제한되는 것은 아니다. 종종 레이저 생성 플라즈마("LPP")로 지칭되는 한가지 그러한 방법에서, 플라즈마는 선 방출 원소(line-emitting element) 를 갖는 물질의 방울, 스트림, 또는 클러스터와 같은 연료를 방사시킴으로서 생ㅅ어될 수 있다. 소스 콜렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하기 위한 레이저(도 12에 도시되지 않음)을 포함하는 EUV 방사선 시스템의 일부가 될 수도 있다. 결과적인 플라즈마는 소스 콜렉터 모듈 내 배치된, 방사선 콜렉터를 사용하여 수집된 EUV 방사선과 같은 출력 방사선을 방출한다. 예컨대 CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용될 때, 레이저 및 소스 콜렉터 모듈은 개별적인 개체일 수도 있다.
그러한 경우들에서, 레이저는 리소그래피 장치의 일부를 형성하도록 고려되지 않으며 방사선 빔은 예컨대 적절한 지향 미러들 및/또는 빔 확대기를 포함하는 빔 전달 시스템을 이용하여 레이저로부터 소스 콜렉터 모듈로 전달된다. 다른 경우들에서, 예컨대 소스가 종종 DPP 소스로서 지칭되는 방전 생성 플라즈마 EUV 발전기인 경우, 소스는 소스 콜렉터 모듈의 통합된 일부일 수 있다.
조명기(IL)는 방사선 빔의 각 강도 분포(angular intensity distribution)을 조정하기 위한 조정기를 포함할 수도 있다. 조명기의 퓨필 평면 내의 강도 분포의 적어도 외부 및/또는 내부 방사상 범위(통상적으로 외측-σ및 내측-σ로서 지칭됨이 조정될 수 있다. 부가적으로, 조명기(IL)는 각진 필드(facetted field) 및 퓨필 미러 디바이스들과 같은 다양한 다른 컴포넌트들을 포함할 수도 있다. 조명기는 방사상 빔을 조절하고, 그 단면에서 원하는 균일성 및 강도 분포를 가는데 사용될 수 있다.
방사선 빔(B)은 지지 구조(예컨대, 마스크 테이블)(MT) 상에 유지되는 패터닝 디바이스(예컨대, 마스크)(MA) 상에 입사되고, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예컨대, 마스크)(MA)로부터 반사된 후에, 방사선 빔(B)은 투사 시스템(PS)을 통과하고, 이것은 기판(W)의 타겟 부분(C) 상으로 빔을 초점을 맞춘다. 제2 위치설정기(PW) 및 위치 센서(PS2)(예컨대, 간섭측정 디바이스, 선형 인코더, 또는 용량성 센서) 를 이용하여, 기판 테이블(WT)은 예컨대 방사선 빔(B)의 경로에서 상이한 타겟 부분들(C)를 위치시키기 위해, 정확하게 이동될 수 있다. 유사하게, 제1 위치설정기(PM) 및 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 관하여 패터닝 디바이스(예컨대, 마스크)(MA)를 정확하게 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예컨대, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)를 사용하여 정렬될 수도 있다.
도시된 장치(1000)는 다음 모드들 중 적어도 하나로 사용될 수 있다:
1. 스텝 모드에서는, 지지 구조체(예컨대, 마스크 테이블)(MT) 및 기판 테이블(WT)을 기본적으로 정지 상태로 유지한 채로, 방사 빔에 부여한 패턴 전체를 한 번에 타겟 영역(C) 상에 투사한다(즉, 단일 정지 노광). 그리고나서, 상이한 타겟 영역(C)이 노광될 수 있도록 기판 테이블(WT)을 X 방향 및/또는 Y 방향으로 이동시킨다.
2. 스캔 모드에서는, 지지 구조체(예컨대, 마스크 테이블)(MT) 및 기판 테이블(WT)을 동기적으로 스캐닝하면서, 방사 빔에 부여한 패턴을 타겟 영역(C) 상에 투사한다(즉, 단일 동적 노광). 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투사 시스템(PS)의 확대율(축소율) 및 상 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서는, 프로그래머블 패터닝 디바이스를 유지한 채로 지지 구조체(MT)를 기본적으로 정지 상태로 하고, 또한 기판 테이블(WT)을 이동시키거나 스캐닝하면서, 방사 빔에 부여한 패턴을 타겟 영역(C) 상에 투사한다. 이 모드에서는, 일반적으로 펄스 방사선 소스가 채용되며, 프로그래머블 패터닝 디바이스는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 동안의 연속적인 방사선 펄스의 사이에서 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급한 바와 같은 유형의 프로그래머블 미러 어레이와 같은 프로그래머블 패터닝 디바이스를 이용하는 마스크 없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 13은 소스 콜렉터 모듈(SO), 조명 시스템(IL), 및 투사 시스템(PS)를 포함하는, 더 상세한 장치(1000)를 도시한다. 소스 콜렉터 모듈(SO)은, 진공 환경이 소스 콜렉터 모듈(SO)의 인클로징 구조(220)에서 유지될 수 있도록 구성되고 배열된다. EUV 방사선 방출 플라즈마(210)는 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은, 매우 뜨거운 플라즈마(210)가 전자기 스펙트럼의 EUV 범위 내에 방사선을 방출하기 위해 생성되는, 예컨대 Xe 기체, Li 증기 또는 Sn 증기와 같은 기체 또는 증기에 의해 생성될 수도 있다. 매우 뜨거운 플라즈마(210)는 전자기 스펙트럼의 EUV 범위에서 방사선을 방출하기 위해 생성된다. 예컨대, 방사선의 효율적인 생성을 위해 부분압, 예컨대 10 Pa 의 Xe, Li, Sn 증기 또는 다른 적절한 기체 또는 증기가 요구될 수도 있다. 실시예에서, 여기된 주석(Sn)의 플라즈마가 EUV 방사선을 생성하기 위해 제공된다.
뜨거운 플라즈마(210)에 의해 방출된 방사선은 소스 챔버(211)로부터 콜렉터 챔버(112)로, 소스 챔버(211) 내의 개구 내에 또는 개구 뒤에 위치된 선택적인 가스 장벽 또는 오염물 트랩(230)(몇몇의 경우들에서는 또한 오염물 장벽 또는 포일 트랩(foil trap)으로 지칭됨)을 경유하여 전달된다. 오염물 트랩(230)은 채널 구조를 포함할 수도 있다. 오염물 트랩(230)은 또한 가스 장벽 또는 가스 장벽과 채널 구조의 조합을 포함할 수도 있다. 본 명세서에서 지시된 오염물 트랩 또는 오염물 장벽(230)은 본 기술분야에서 공지된 바와 같이, 적어도 채널 구조를 포함할 수도 있다.
콜렉터 챔버(211)는 소위 그래이징 입사 콜렉터(grazing incidence collector)일 수 있는 방사 콜렉터(CO)를 포함할 수도 있다. 방사선 콜렉터(CO)는 업스트림 방사선 콜렉터 측(251) 및 다운스트림 방사선 콜렉터 측(252)을 가진다. 콜렉터(CO)를 횡단하는 방사선은, 점선 'O'에 의해 표시된 광학 축을 따르는 가상 소스 포인트(virtual source point) (IF)에 초점지워질 격자 스펙트럼 필터(grating spectral filter)(240)에 반사될 수 있다. 가상 소스 포인트(IF)는 통상적으로 중간 초점(intermediate focus)으로서 지칭되며, 소스 콜렉터 모듈은 중간 초점(IF)이 인클로징 구조(220) 내의 개구(221)에 또는 그 부근에위치되도록 배열된다. 가상 소스 포인트(IF)는 방사선 방출 플라즈마(210)의 이미지이다.
그 후에, 방사선은, 패터닝 디바이스(MA)에서 방사선 빔(21)의 원하는 각 분포 뿐 아니라 패터닝 디바이스(MA)에서의 방사선 강도의 원하는 균일성을 제공하도록 배열된 각진 필드 미러 디바이스(22) 및 각진 퓨필 미러 디바이스(24)를 포함할 수도 있는 조명 시스템(IL)을 횡단한다. 지지 구조체(MT)에 의해 유지되는 패터닝 디바이스(MA)에서의 방사선의 빔의 반사시, 패터닝된 빔(26)이 형성되고, 기판 테이블(WT)에 의해 유지되는 기판(W) 상으로 반사 요소들(28, 30)을 경유하여 투사 시스템(PS)에 의해 이미징된다.
도시된 것보다 많은 요소들이 일반적으로 조명 광학 유닛(IL) 및 투사 시스템(PS)에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치에 따라 선택적으로 존재할 수 있다. 게다가, 도면에 도시된 것보다 더 많은 미러들이 존재할 수 있으며, 에컨대 도 13에서 도시된 것보다 1 - 6 개 추가적인 반사 요소들이 투사 시스템(PS)에 존재할 수도 있다.
도 13에 예시된 콜렉터 광학(CO)은 콜렉터 (또는 콜렉터 미러)의 예와 같이, 그래이징 입사 반사기(253, 254, 255)를 가진 내포 콜렉터(nested collector)로서 도시된다. 그래이징 입사 반사기들(253, 254, 255)은 광학축(O) 주변에 축에 대칭하도록 배치되고 이러한 유형의 콜렉터 광학 (CO)은, 바람직하게는, 종종 DPP 소스로 지칭되는 방전 생성 플라즈마 소스와 결합하여 사용된다.
대안적으로, 소스 콜렉터 모듈(SO)은 도 14에서 도시된 바와 같은 LPP 방사선 시스템의 일부일 수 있다. 레이저(LA)는 크세논(Xe), 주석(Sn), 또는 리튬(Li)과 같은 연료에 레이저 에너지를 증착하도록 배열되고, 몇십 eV의 전자 온도를 가진 고이온화된 플라즈마(210)를 생성한다. 탈 여기화 및 이 이온들의 재결합 동안 생성된 에너지 방사선이 플라즈마로부터 방출되고, 부근의 정규 입사 콜렉터 광학(normal incidence collector optic)(CO)에 의해 수집되며, 인클로징 구조(220) 내의 개구(221) 상으로 초점이 맞추어진다.
본 명세서에서 첨부된 개념들은 서브 파장 특징물을 이미징하기 위한 임의의 일반적인 이미징 시스템을 시뮬레이트하거나 수학적으로 모델링할 수 있으며, 점점 더 작은 사이즈의 파장들을 생성할 수 있는 부상하는 이미징 기술들로 특히 유용할 수 있다. 이미 사용중인 부상하는 기술들에는 ArF 레이저를 사용하여 193 nm 파장을 그리고 플루오르 레이저를 사용하여 심지어 157 nm 파장을 생성할 수 있는 EUV(Extreme Ultra Violet) 리소그래피를 포함한다. 게다가, EUV 리소그래피는 이 범위 내에서 포톤들을 생성하기 위해 싱크로트론을 사용하거나 고에너지 전자들을 가진 물질 (고체 또는 플라즈마)를 타격함으로서 20 - 5 nm 의 범위 내에서의 파장들을 생성할 수 있다.
본 명세서에서 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 리소그래피 이미징 시스템의 임의의 유형에 사용될 수 있다는 것, 예컨대 그들이 실리콘 웨이퍼 이외의 기판상에 이미징하는 데 사용될 수 있다는 것이 이해되어야 한다.
본 발명은 이하의 문구들을 사용하여 추가적으로 설명될 수 있다:
(1) 리소그래피 투사 장치를 사용하여 기판 상에 설계 레이아웃의 부분을 이미징하기 위한 리소그래피 프로세스를 향상시키는 컴퓨터 실행 방법으로서, 그러한 방법은:
리소그래피 프로세스의 확률적 효과의 함수인 다중 변수 비용 함수를 정의하는 단계로서, 상기 확률적 효과는 리소그래피 프로세스의 특성들인 복수의 설계 변수들의 함수인, 다중 변수 비용 함수 정의 단계; 및
특정 종결 조건이 만족될 때까지 설계 변수들 중 하나 이상을 조정함으로서 리소그래피 프로세스의 특성들 중 하나 이상을 재구성하는 단계를 포함한다.
(2) (1)의 방법에서, 설계 레이아웃의 부분은, 전체 설계 레이아웃; 클립; 하나 이상의 결정적 특징물(critical feature)을 갖는다고 공지된 설계 레이아웃의 섹션; 핫 스팟 또는 웜 스팟이 식별되었던 설계 레이아웃의 섹션; 및 하나 이상의 결정적 특징물이 식별되었던 설계 레이아웃의 섹션으로부터 선택된 하나 이상의 것을 포함한다.
(3) (1) 또는 (2)의 방법에서, 종결 조건은 비용 함수의 최소화; 비용 함수의 최대화; 특정 수의 반복들에 도달하는 것; 특정 임계치와 동일하거나 그를 초과하는 비용 함수의 값에 도달하는 것; 특정 컴퓨팅 시간에 도달하는 것; 수용가능한 오류 제한 내에서 비용 함수의 값에 도달하는 것; 및/또는 리소그래피 프로세스 내에서 노광 시간을 최소화하는 것으로부터 선택된 하나 이상을 포함한다.
(4) (1) 내지 (3) 중 임의의 방법에서, 설계 변수들 중 하나 이상은 리소그래피 장치를 위한 광원의 특성들이고, 및/또는 설계 변수들 중 하나 이상은 설계 레이아웃의 특성들이고, 및/또는 설계 변수들 중 하나 이상은 리소그래피 장치의 투사 광학의 특성이고, 및/또는 설계 변수들 중 하나 이상은 기판의 레지스트의 특성들이다.
(5) (1) 내지 (4) 중 임의의 방법에서, 반복적 재구성은 설계 변수들 중 적어도 일부의 범위에 영향을 미치는 제약들을 포함한다.
(6) (5)의 방법에서, 설계 변수들 중 적어도 일부는 리소그래피 투사 장치의 하드웨어 실행에서의 물리적 제한들을 나타내는 제약들 하에 있다.
(7) (6)의 방법에서, 제한들은 튜닝범위; 패터닝 디바이스 제조가능성을 좌우하는 규칙; 및/또는 설계 변수들 간의 독립성으로부터 선택된 하나 이상의 것을 포함한다.
(8) (6)의 방법에서, 제약들은 리소그래피 투사 장치의 쓰루풋을 포함한다.
(9) (1) 내지 (8) 중 임의의 방법에서, 비용 함수는 다음의 리소그래피 메트릭들, 즉 에지 배치 오류(edge placement error), 임계 디멘젼(critical dimension), 레지스트 윤곽 거리(resist contour distance), 최악의 결함 사이즈, 및/또는 최상의 초점 이동 중 하나 이상의 함수이다.
(10) (1) 내지 (9) 중 임의의 방법에서, 반복적인 재구성을 수행하기 전에, 설계 레이아웃의 부분의 특징물들을 특성있게 나타내는 타겟 패턴들의 서브셋을 선택하는 단계를 포함한다.
(11) (1) 내지 (10) 중 임의의 방법에서, 다양한 설계 변수들의 최적화가 종결 조건이 만족될 때까지 동시에 수행된다.
(12) (1) 내지 (10) 중 임의의 방법에서, 다양한 설계 변수들의 최적화는 교대로 수행되며, 다른 설계 변수들이 최적화 되는 동안 설계 변수들 중 적어도 하나를 고정시키도록 유지한다.
(13) (1) 내지 (12) 중 임의의 방법에서, 각 반복에서 시작점 주변의 상대적으로 작은 특정 부근 내에서 선형 피팅 계수들(linear fitting coefficient)를 계산함으로서 비용 함수를 반복적으로 최소화시킨다.
(14) (13)의 방법에서, 비용 함수는 가우스-뉴톤 알고리즘, 레벤버그-마쿼트 알고리즘, 기울기 하강 알고리즘, 시뮬레이팅된 어닐링, 및 일반 알고리즘으로 구성된 그룹으로부터 선택된 방법에 의해 최소화된다.
(15) (1) 내지 (14) 중 임의의 방법에서, 비용 함수는 레지스트 이미지 또는 공중 이미지(aerial image)의 특성들을 포함한다.
(16) (1) 내지 (15) 중 임의의 방법에서, 비용 함수는 이차 프로그래밍 문제를 풀어서 최소화시킨다.
(17) (1) 내지 (16) 중 임의의 방법에서, 비용 함수는 리소그래피 장치의 투사 광학의 특성들인 설계 변수들 만의 함수인 반면에, 다른 설계 변수들은 할당된 값들이다.
(18) (1) 내지 (16) 중 임의의 방법에서, 비용 함수는 설계 레이아웃의 부분 내에서 핫 스팟을 발견할 가능성을 나타낸다.
(19) (1) 내지 (18) 중 임의의 방법에서, 확률적 효과는 LWR(Line wide roughness), 리소그래피 투사 장치의 쓰루풋, 및/또는 국부적 CD 변화를 포함한다.
(20) (19)의 방법에서, 확률적 효과는 확률적 효과의 모델을 사용하여 시뮬레이팅된다.
(21) (1) 내지 (20) 중 임의의 방법에서, 확률적 효과는, 포톤 샷 노이즈(photon shot noise), 포톤 생성 2차 전자들((photon-generated secondary electrons), 기판의 레지스트 내의 포톤 생성 산(photon-generated acid), 기판의 레지스트 내의 포톤-활성화가능한 입자들 또는 전자-활성화가능한 입자들의 분포, 기판의 레지스트 내의 포톤-활성화가능한 입자들 또는 전자-활성화가능한 입자들의 밀도, 또는 이들의 조합에 의해 야기된다.
(22) 리소그래피 투사 장치를 사용하여 기판 상으로 설계 레이아웃의 부분을 이미징하기 위한 리소그래피 프로세스의 쓰루풋을 증가시키기 위한 컴퓨터-실행 방법으로서, 그러한 방법은:
리소그래피 프로세스의 확률적 효과의 함수이고 리소그래피 투사 장치의 기판의 노광 시간의 함수인 다중 변수 비용 함수를 정의하는 단계로서, 확률적 효과는 리소그래피 프로세스의 특성들인 복수의 설계 변수들의 함수인, 다중 변수 비용 함수 정의 단계; 및
특정 종결 조건이 만족되기 전까지 설계 변수들 중 하나 이상을 조정함으로서 리소그래피 프로세스의 특성들 중 하나 이상을 재구성하는 단계를 포함한다.
(23) (22)의 방법에서, 종결 조건은 노광 시간이 최소화되는 것이다.
(24) (1) 내지 (23) 중 임의의 방법에서, 다중 변수 비용 함수는 국부 CD 변화, 리소그래피 투사 장치의 쓰루풋, 및 리소그래피 프로세스의 확률적 효과의 함수이다.
(25) (1) 내지 (23) 중 임의의 방법에서, 다중 변수 비용 함수는 에지 배치 오류(edge placement error), 리소그래피 투사 장치의 쓰루풋, 및 리소그래피 프로세스의 확률적 효과의 함수이다.
(26) 기록된 명령어들을 갖는 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 프로덕트로서, 그 명령어들은 컴퓨터에 의해 실행시 (1) 내지 (25) 중 임의의 방법을 실행한다.
이상의 설명들은 예시적이며, 제한적인 것이 아니다. 따라서, 이하에서 제시되는 청구범위로부터 이탈하지 않고 변형이 이루어질 수 있다는 것이 본 기술분야의 통상의 지식을 가진 자에게 명백할 것이다.

Claims (15)

  1. 리소그래피 투사 장치를 사용하여 기판 상으로 설계 레이아웃의 부분을 이미징 하기 위한 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법으로서,
    상기 리소그래피 프로세스의 확률적 효과의 함수인 다중 변수 비용 함수를 정의하는 단계로서, 상기 확률적 효과는 상기 리소그래피 프로세스의 특성들인 복수의 설계 변수들의 함수인, 다중 변수 비용 함수 정의 단계; 및
    특정 종결 조건이 만족될 때까지 상기 설계 변수들 중 하나 이상을 조정함으로서 상기 리소그래피 프로세스의 특성들 중 하나 이상을 재구성하는 단계
    를 포함하는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  2. 제1항에 있어서,
    상기 확률적 효과는, LWR(Line width roughness), 상기 리소그래피 투사 장치의 쓰루풋, 및 국부 CD 변화 중 하나 이상을 포함하는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  3. 제2항에 있어서,
    상기 확률적 효과는 상기 확률적 효과의 모델을 사용하여 시뮬레이팅되는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  4. 제1항에 있어서,
    상기 확률적 효과는 포톤 샷 노이즈(photon shot noise), 포톤 생성 2차 전자들((photon-generated secondary electrons), 상기 기판의 레지스트 내의 포톤 생성 산(photon-generated acid), 상기 기판의 레지스트 내의 포톤-활성화가능한 입자들 또는 전자-활성화가능한 입자들의 분포, 상기 기판의 레지스트 내의 포톤-활성화가능한 입자들 또는 전자-활성화가능한 입자들의 밀도, 또는 이들의 조합에 의해 야기되는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  5. 제1항에 있어서,
    상기 설계 레이아웃의 부분은, 전체 설계 레이아웃; 클립; 하나 이상의 결정적 특징물(critical feature)을 갖는다고 공지된 설계 레이아웃의 섹션; 핫 스팟 또는 웜 스팟이 식별되었던 설계 레이아웃의 섹션; 및 하나 이상의 결정적 특징물이 식별되었던 설계 레이아웃의 섹션으로부터 선택된 하나 이상의 것을 포함하는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  6. 제1항에 있어서,
    상기 종결 조건은, 상기 다중 변수 비용 함수의 최소화; 상기 다중 변수 비용 함수의 최대화; 특정 수의 반복들에 도달하는 것; 특정 임계치와 동일하거나 그를 초과하는 상기 다중 변수 비용 함수의 값에 도달하는 것; 특정 컴퓨팅 시간에 도달하는 것; 수용가능한 오류 제한 내에서 상기 다중 변수 비용 함수의 값에 도달하는 것; 및 상기 리소그래피 프로세스 내에서 노광 시간을 최소화하는 것으로부터 선택된 하나 이상을 포함하는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  7. 제1항에 있어서,
    (a) 상기 설계 변수들 중 하나 이상은 상기 리소그래피 장치를 위한 광원의 특성인 구성,
    (b) 상기 설계 변수들 중 하나 이상은 상기 설계 레이아웃의 특성인 구성,
    (c) 상기 설계 변수들 중 하나 이상은 상기 리소그래피 투사 장치의 투사 광학의 특성인 구성, 및
    (d) 상기 설계 변수들 중 하나 이상은 상기 기판의 레지스트의 특성인 구성
    중 하나 이상을 충족하는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  8. 제1항에 있어서,
    반복적인 상기 재구성은 상기 설계 변수들 중 적어도 일부의 범위에 영향을 주는 제약들을 포함하는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  9. 제8항에 있어서,
    상기 설계 변수들의 적어도 일부는 상기 리소그래피 투사 장치의 하드웨어 실행에 있어서의 물리적 제한들을 나타내는 제약들 하에 있는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  10. 제9항에 있어서,
    상기 제약들은 상기 리소그래피 투사 장치의 쓰루풋을 포함하는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  11. 제1항에 있어서,
    상기 다중 변수 비용 함수는 리소그래피 메트릭들인, 에지 배치 오류(edge placement error), 임계 디멘젼(critical dimension), 레지스트 윤곽 거리(resist contour distance), 최악의 결함 사이즈, 및 최상의 초점 이동 중 하나 이상의 함수인, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  12. 제1항에 있어서,
    상기 다중 변수 비용 함수는 레지스트 이미지 또는 공중 이미지의 특성들을 포함하는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  13. 제1항에 있어서,
    상기 다중 변수 비용 함수는 에지 배치 오류, 상기 리소그래피 투사 장치의 쓰루풋, 및 상기 리소그래피 프로세스의 확률적 효과의 함수인, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  14. 리소그래피 투사 장치를 사용하여 기판 상에 설계 레이아웃의 부분을 이미징하기 위한 리소그래피 프로세스의 쓰루풋을 증가시키기 위한 컴퓨터-실행 방법으로서, 상기 방법은:
    상기 리소그래피 프로세스의 확률적 효과의 함수이고 상기 리소그래피 투사 장치 내의 기판의 노광 시간의 함수인 다중 변수 비용 함수를 정의하는 단계로서, 상기 확률적 효과는 상기 리소그래피 프로세스의 특성들인 복수의 설계 변수들의 함수인, 다중 변수 비용 함수 정의 단계; 및
    특정 종결 조건이 만족되기 전까지 상기 설계 변수들 중 하나 이상을 조정함으로서 상기 리소그래피 프로세스의 특성들 중 하나 이상을 재구성하는 단계
    를 포함하는, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
  15. 제14항에 있어서,
    상기 종결 조건은 상기 노광 시간이 최소화되는 것인, 리소그래피 프로세스를 향상시키기 위한 컴퓨터-실행 방법.
KR1020130002470A 2012-01-10 2013-01-09 확률적 효과들을 감소시키기 위한 소스 마스크 최적화 KR101463100B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261585136P 2012-01-10 2012-01-10
US61/585,136 2012-01-10

Publications (2)

Publication Number Publication Date
KR20130082110A KR20130082110A (ko) 2013-07-18
KR101463100B1 true KR101463100B1 (ko) 2014-11-20

Family

ID=48744861

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130002470A KR101463100B1 (ko) 2012-01-10 2013-01-09 확률적 효과들을 감소시키기 위한 소스 마스크 최적화

Country Status (5)

Country Link
US (2) US9213783B2 (ko)
JP (1) JP2013145880A (ko)
KR (1) KR101463100B1 (ko)
NL (1) NL2009982A (ko)
TW (1) TWI467321B (ko)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2008311A (en) 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US9489479B2 (en) * 2012-05-04 2016-11-08 Asml Netherlands B.V. Rule and lithographic process co-optimization
SG11201606179QA (en) 2014-02-11 2016-08-30 Asml Netherlands Bv Model for calculating a stochastic variation in an arbitrary pattern
US9395622B2 (en) * 2014-02-20 2016-07-19 Globalfoundries Inc. Synthesizing low mask error enhancement factor lithography solutions
US10386727B2 (en) * 2014-03-18 2019-08-20 Asml Netherlands B.V. Pattern placement error aware optimization
CN106164777B (zh) * 2014-04-14 2019-06-18 Asml荷兰有限公司 光刻过程的优化流程
WO2015165699A1 (en) * 2014-05-02 2015-11-05 Asml Netherlands B.V. Reduction of hotspots of dense features
WO2015197313A1 (en) * 2014-06-25 2015-12-30 Asml Netherlands B.V. Etch variation tolerant optimization
CN106575630B (zh) * 2014-07-13 2021-05-25 科磊股份有限公司 使用叠加及成品率关键图案的度量
KR102404639B1 (ko) * 2015-02-02 2022-06-03 삼성전자주식회사 전자 빔 노광 방법 및 그를 포함하는 기판 제조 방법
TWI620980B (zh) * 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
SG11201706686YA (en) * 2015-03-16 2017-09-28 Asml Netherlands Bv Methods for determining resist deformation
TWI571701B (zh) * 2015-04-30 2017-02-21 力晶科技股份有限公司 偵測微影熱點的方法
KR20180072768A (ko) * 2015-10-19 2018-06-29 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 오차를 보정하는 장치 및 방법
WO2017067752A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10719011B2 (en) 2015-10-19 2020-07-21 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US11036146B2 (en) 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
US10915689B2 (en) 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017102321A1 (en) * 2015-12-14 2017-06-22 Cymer, Llc Optimization of source and bandwidth for new and existing patterning devices
IL293649B2 (en) 2015-12-22 2023-11-01 Asml Netherlands Bv A device and method for characterizing a window process
WO2017114662A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Selection of measurement locations for patterning processes
WO2017114725A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Etch-assist features
US11112700B2 (en) * 2016-03-24 2021-09-07 Asml Netherlands B.V. Optimization of a lithographic projection apparatus accounting for an interlayer characteristic
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
CN109478013B (zh) 2016-07-12 2022-04-01 Asml荷兰有限公司 对设计布局的计算分析的性能指标进行可视化
US10345714B2 (en) 2016-07-12 2019-07-09 Cymer, Llc Lithography optics adjustment and monitoring
US10007191B2 (en) 2016-07-15 2018-06-26 Kla-Tencor Corporation Method for computer modeling and simulation of negative-tone-developable photoresists
CN109844643A (zh) * 2016-08-19 2019-06-04 Asml荷兰有限公司 对曝光后过程进行建模
CN110050230B (zh) * 2016-12-02 2021-06-11 Asml荷兰有限公司 用于估计随机变量的模型
KR102306558B1 (ko) 2016-12-28 2021-10-05 에이에스엠엘 네델란즈 비.브이. 제조 공정 시 공정 모델들의 안내 및 검사 방법들
WO2018121967A1 (en) 2016-12-28 2018-07-05 Asml Netherlands B.V. Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device
CN110325921B (zh) * 2017-01-26 2022-02-18 Asml荷兰有限公司 微调过程模型的方法
KR102304331B1 (ko) 2017-02-24 2021-09-24 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
TWI735747B (zh) 2017-02-28 2021-08-11 美商克萊譚克公司 度量方法及模組,分段疊對目標,及電腦程式產品
US10262408B2 (en) * 2017-04-12 2019-04-16 Kla-Tencor Corporation System, method and computer program product for systematic and stochastic characterization of pattern defects identified from a semiconductor wafer
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
JP6917477B2 (ja) 2017-06-14 2021-08-11 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びリソグラフィ方法
WO2019063206A1 (en) * 2017-09-27 2019-04-04 Asml Netherlands B.V. METHOD FOR DETERMINING CONTROL PARAMETERS OF DEVICE MANUFACTURING PROCESS
EP3462240A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
US11079687B2 (en) 2017-12-22 2021-08-03 Asml Netherlands B.V. Process window based on defect probability
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
US10818001B2 (en) 2018-09-07 2020-10-27 Kla-Tencor Corporation Using stochastic failure metrics in semiconductor manufacturing
US11354484B2 (en) * 2018-11-08 2022-06-07 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
EP3650940A1 (en) 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
CN113508338A (zh) 2019-02-20 2021-10-15 Asml荷兰有限公司 用于表征半导体器件的制造工艺的方法
WO2020176270A1 (en) 2019-02-25 2020-09-03 Applied Materials Israel Ltd. System and method for detecting rare stochastic defects
US10990019B2 (en) 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning
CN113728276A (zh) * 2019-04-25 2021-11-30 Asml荷兰有限公司 用于基于缺陷来确定图案化过程的特性以减少热点的方法
US11061373B1 (en) 2019-08-20 2021-07-13 Siemens Industry Software Inc. Method and system for calculating probability of success or failure for a lithographic process due to stochastic variations of the lithographic process
JP7443501B2 (ja) * 2019-09-25 2024-03-05 シノプシス, インコーポレイテッド 欠陥確率分布および限界寸法変動に基づくリソグラフィ改良
EP3822703A1 (en) 2019-11-18 2021-05-19 ASML Netherlands B.V. Method for determining a field-of-view setting
US20230023153A1 (en) 2019-10-08 2023-01-26 Asml Netherlands B.V Method for determining a field-of-view setting
US11119404B2 (en) * 2019-10-10 2021-09-14 Kla Corporation System and method for reducing printable defects on extreme ultraviolet pattern masks
KR20210069161A (ko) 2019-12-02 2021-06-11 삼성전자주식회사 Euv 레티클 제조 방법 및 그를 포함하는 반도체 소자의 제조 방법
US11475201B2 (en) * 2020-02-24 2022-10-18 Synopsys, Inc. Inclusion of stochastic behavior in source mask optimization
US11468222B2 (en) 2020-02-24 2022-10-11 Synopsys, Inc. Stochastic signal prediction in compact modeling
US11874597B2 (en) 2020-02-25 2024-01-16 Synopsys, Inc. Stochastic optical proximity corrections
US11640490B2 (en) 2020-02-25 2023-05-02 Synopsys, Inc. Source mask optimization by process defects prediction
CN116648674A (zh) 2020-12-23 2023-08-25 Asml荷兰有限公司 基于带宽和散斑的光刻过程的优化
WO2022184578A1 (en) 2021-03-03 2022-09-09 Asml Netherlands B.V. Configuration of patterning process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011100121A (ja) * 2009-10-28 2011-05-19 Asml Netherlands Bv フルチップ光源およびマスク最適化のためのパターン選択
JP2011150333A (ja) * 2010-01-14 2011-08-04 Asml Netherlands Bv モデルベースのサブ解像度補助パターン(mb−sraf)の改良された生成及び配置のために信号強度を高めるための方法及び装置
KR20110097800A (ko) * 2008-11-21 2011-08-31 에이에스엠엘 네델란즈 비.브이. 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
ATE123885T1 (de) 1990-05-02 1995-06-15 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
KR100468741B1 (ko) * 2002-06-22 2005-01-29 삼성전자주식회사 노광 장치의 어퍼처 설계를 위한 시뮬레이션 방법 및장치, 그리고 시뮬레이션 방법을 기록한 기록매체
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP2005294716A (ja) 2004-04-05 2005-10-20 Nikon Corp 荷電粒子線露光におけるショットノイズの予測方法
WO2005122285A2 (en) 2004-06-04 2005-12-22 The Board Of Trustees Of The University Of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
US7266803B2 (en) 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
JP2008258361A (ja) * 2007-04-04 2008-10-23 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP4989279B2 (ja) * 2007-04-05 2012-08-01 株式会社東芝 パラメータ値調整方法、半導体装置製造方法およびプログラム
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US20090275038A1 (en) * 2008-04-07 2009-11-05 Transnetyx, Inc. Method and apparatus for forensic screening
US8570485B2 (en) * 2008-06-03 2013-10-29 Asml Netherlands B.V. Lens heating compensation systems and methods
US8806387B2 (en) * 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods
JP2010045309A (ja) * 2008-08-18 2010-02-25 Fujitsu Microelectronics Ltd 露光方法及び半導体装置の製造方法
US8612045B2 (en) * 2008-12-24 2013-12-17 Asml Holding N.V. Optimization method and a lithographic cell
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
US8589827B2 (en) * 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
US8372565B2 (en) 2010-08-31 2013-02-12 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110097800A (ko) * 2008-11-21 2011-08-31 에이에스엠엘 네델란즈 비.브이. 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화
JP2011100121A (ja) * 2009-10-28 2011-05-19 Asml Netherlands Bv フルチップ光源およびマスク最適化のためのパターン選択
JP2011150333A (ja) * 2010-01-14 2011-08-04 Asml Netherlands Bv モデルベースのサブ解像度補助パターン(mb−sraf)の改良された生成及び配置のために信号強度を高めるための方法及び装置

Also Published As

Publication number Publication date
KR20130082110A (ko) 2013-07-18
US20160110488A1 (en) 2016-04-21
TW201333624A (zh) 2013-08-16
TWI467321B (zh) 2015-01-01
US20130179847A1 (en) 2013-07-11
US9213783B2 (en) 2015-12-15
NL2009982A (en) 2013-07-15
US9934346B2 (en) 2018-04-03
JP2013145880A (ja) 2013-07-25

Similar Documents

Publication Publication Date Title
US11835862B2 (en) Model for calculating a stochastic variation in an arbitrary pattern
KR101463100B1 (ko) 확률적 효과들을 감소시키기 위한 소스 마스크 최적화
US10394131B2 (en) Image log slope (ILS) optimization
WO2020169303A1 (en) Method for training machine learning model to determine optical proximity correction for mask
US10416566B2 (en) Optimization of source and bandwidth for new and existing patterning devices
US20210407112A1 (en) Method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
EP3688529A1 (en) Method of determining control parameters of a device manufacturing process
US20230023153A1 (en) Method for determining a field-of-view setting
EP3462240A1 (en) Method of determining control parameters of a device manufacturing process
US11112700B2 (en) Optimization of a lithographic projection apparatus accounting for an interlayer characteristic
US20240160112A1 (en) Model for calculating a stochastic variation in an arbitrary pattern
US20230333483A1 (en) Optimization of scanner throughput and imaging quality for a patterning process
EP3822703A1 (en) Method for determining a field-of-view setting
NL2025146A (en) A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171103

Year of fee payment: 4