JP2011100121A - フルチップ光源およびマスク最適化のためのパターン選択 - Google Patents

フルチップ光源およびマスク最適化のためのパターン選択 Download PDF

Info

Publication number
JP2011100121A
JP2011100121A JP2010235012A JP2010235012A JP2011100121A JP 2011100121 A JP2011100121 A JP 2011100121A JP 2010235012 A JP2010235012 A JP 2010235012A JP 2010235012 A JP2010235012 A JP 2010235012A JP 2011100121 A JP2011100121 A JP 2011100121A
Authority
JP
Japan
Prior art keywords
design
patterns
subset
selecting
clips
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010235012A
Other languages
English (en)
Other versions
JP5156075B2 (ja
Inventor
Hua-Yu Liu
リュー,ホワ−ユー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2011100121A publication Critical patent/JP2011100121A/ja
Application granted granted Critical
Publication of JP5156075B2 publication Critical patent/JP5156075B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)

Abstract

【課題】リソグラフィ装置およびプロセスで使用するために照明源およびマスクを最適化するためのツールを提供する。
【解決手段】いくつかの態様によれば、本発明は、フルチップパターンのカバーを可能にし、一方、光源およびマスク最適化で使用しようとするクリップの完全な集合302から重要な設計パターンの小さな集合306をインテリジェントに選択することによって、計算コストを低減する。最適化は、最適化された光源を得るために、これらの選択されたパターンに対してのみ実施される。次いで、最適化された光源を使用し、(たとえばOPCおよび製造可能性検証320を使用して)フルチップに合わせてマスクを最適化し、プロセスウィンドウ性能結果が比較される(322)。結果が従来のフルチップSMOに匹敵する場合、プロセスが終了し、そうでない場合には、繰り返して好結果に収束するように、様々な方法が提供される。
【選択図】図3

Description

[0001] 本発明は、リソグラフィ装置およびプロセスに関し、より詳細には、リソグラフィ装置およびプロセスで使用するために照明源およびマスクを最適化するための方法に関する。
[0002] リソグラフィ装置は、たとえば、集積回路(IC)の製造時に使用することができる。そのような場合、マスクがICの個々の層に対応する回路パターンを含むことができ、このパターンを、放射感応性材料(レジスト)の層でコートされた基板(シリコンウェーハ)上の(たとえば1つまたは複数のダイを含む)ターゲット部分上に結像させることができる。一般に、単一の基板は、投影システムを介して1つずつ連続して照射される、網状の隣り合うターゲット部分全体を含むことになる。あるタイプのリソグラフィ投影装置では、マスクパターン全体を一度にターゲット部分上に露光することによって各ターゲット部分が照射され、そのような装置は、一般にウェーハステッパと呼ばれる。一般にステップアンドスキャン装置と呼ばれる代替の装置では、マスクパターンを投影ビームの下で、所与の基準方向(「スキャン」方向)で漸次スキャンし、一方、この方向に対して平行または逆平行で基板テーブルを同期スキャンすることによって、各ターゲット部分が照射される。一般に、投影システムは倍率M(概して1未満)を有することになるため、基板テーブルがスキャンされる速度Vは、マスクテーブルがスキャンされる速さの係数M倍となる。本明細書に記載されるリソグラフィデバイスに関するより多くの情報は、たとえば、参照により本明細書に組み込む米国特許第6,046,792号から収集することができる。
[0003] リソグラフィ投影装置を使用する製造プロセスでは、放射感応性材料(レジスト)の層で少なくとも部分的に覆われた基板上にマスクパターンが結像される。この結像工程の前には、基板を、下塗り(priming)、レジストコーティング、ソフトベークなど、様々な手順にかけることができる。露光後には、基板を、露光後ベーク(PEB)、現像、ハードベーク、および結像されたフィーチャの測定/検査など、他の手順にかけることができる。この一連の手順を基礎として使用し、デバイス、たとえばICの個々の層をパターニングする。次いで、そのようなパターニングされた層を、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学的機械的研磨など、どれも個々の層を仕上げることが意図された様々なプロセスにかけることができる。いくつかの層が必要とされる場合には、手順全体、または手順の変形形態を新しい各層について繰り返さなければならないことになる。最終的には、一連のデバイスが基板(ウェーハ)上に存在することになる。次いで、これらのデバイスは、ダイシングまたはソーイングなどの技法によって互いに分離され、そこから、個々のデバイスを、キャリア上に取り付ける、ピンに接続する、などすることができる。
[0004] 話を簡単にするために、以下、投影システムを「レンズ」と称することがあるが、この用語は、たとえば屈折光学系、反射光学系、反射屈折システムを含めて、様々なタイプの投影システムを包含するものと広く解釈すべきである。放射システムは、投影放射ビームを誘導する、形作る、または制御するために、これらの設計タイプのいずれかに従って動作するコンポーネントをも含むことができ、そのようなコンポーネントをも、下記で集合的に、または単数で「レンズ」と称することがある。さらに、リソグラフィ装置は、2つ以上の基板テーブル(および/または2つ以上のマスクテーブル)を有するタイプのものとすることができる。そのような「マルチステージ」デバイスでは、追加のテーブルを同時に使用することができ、あるいは、1つまたは複数の他のテーブルが露光用に使用されている間に、1つまたは複数のテーブル上で準備工程を実施することができる。2ステージリソグラフィ装置は、たとえば、参照により本明細書に組み込む米国特許第5,969,441号に記載されている。
[0005] 上述のフォトリソグラフィマスクは、シリコンウェーハ上に集積すべき回路コンポーネントに対応する幾何学的パターンを備える。そのようなマスクを作成するために使用されるパターンは、CAD(コンピュータ支援設計)を使用して生成され、このプロセスは、しばしばEDA(Electronic Design Automation)と呼ばれる。大抵のCADプログラムは、機能的なマスクを作成するために、1組の所定の設計規則に従う。これらの規則は、処理および設計の限界によって設定される。たとえば、設計規則は、回路デバイス間(ゲート、キャパシタなど)または相互接続ライン間の空間許容度を規定し、それにより、回路デバイスまたはラインが、望ましくない形で互いに相互作用しないようにする。設計規則の限界は、一般に「クリティカルディメンション(CD)」と呼ばれる。回路のクリティカルディメンションは、ラインまたはホールの最小幅、あるいは2本のラインまたは2つのホール間の最小空間として定義することができる。したがって、CDは、設計されている回路の全体的なサイズおよび密度を決定する。当然ながら、集積回路作製時の目標の1つは、元の回路設計を(マスクを介して)ウェーハ上に忠実に再現することである。
[0006] わかるように、マイクロリソグラフィは、半導体集積回路の製造における中心的な工程であり、半導体ウェーハ基板上に形成されるパターンが、マイクロプロセッサ、メモリチップなど、半導体デバイスの機能素子を画定する。同様のリソグラフィ技法が、フラットパネルディスプレイ、微小電気機械システム(MEMS)、および他のデバイスを形成する際にも使用される。
[0007] 半導体製造プロセスが引き続き進歩するにつれて、回路素子の寸法は、絶えず縮小されており、一方、デバイスあたりのトランジスタなど機能素子の量は、一般に「ムーアの法則」と呼ばれる傾向に従って、数十年にわたって一定に増大してきた。現況技術では、最先端デバイスの重要な層は、遠紫外レーザ光源からの照明を使用してマスク像を基板上に投影し、100nmを優に下回る、すなわち投影光の半波長未満の寸法を有する個々の回路フィーチャを作成する、スキャナとして知られる光学リソグラフィ投影システムを使用して製造される。
[0008] 光学投影システムの従来の解像限界より小さい寸法を有するフィーチャがプリントされるこのプロセスは、解像度公式CD=k×λ/NAに従って一般に低kリソグラフィとして知られており、この式で、λは、使用される放射の波長(現在、大抵の場合において248nmまたは193nm)であり、NAは、投影光学系の開口数であり、CDはクリティカルディメンション−一般に、プリントされる最小のフィーチャサイズ−であり、kは、実験による解像度係数である。一般に、kが小さくなるほど、特定の電気的な機能および性能を達成するために回路設計者によって計画された形状および寸法に似ているウェーハ上のパターンを生成することが困難になる。これらの難点を克服するために、洗練された微調整工程が、投影システムならびにマスク設計に適用される。たとえば、これらの工程は、それだけには限らないが、NAおよび光コヒーレンスの最適化、特別条件の照明方式、位相シフトマスクの使用、マスクレイアウトにおける光近接補正、または一般に「解像度向上技術(RET)」として定義される他の方法を含む。
[0009] 1つの重要な例として、光近接補正(OPC、「光学およびプロセス補正(optical and process correction)」とも呼ばれることがある)は、ウェーハ上のプリント後フィーチャの最終的なサイズおよび配置が、単純にマスク上の対応するフィーチャのサイズおよび配置の関数にならないことに対処する。「マスク」および「レチクル」という用語は、本明細書では交換可能に使用されることに留意されたい。典型的な回路設計に存在する小さなフィーチャサイズおよび高いフィーチャ密度の場合、所与のフィーチャの特定のエッジの位置は、他の隣接するフィーチャの有無によってある程度影響を受けることになる。これらの近接効果は、微量の光が、あるフィーチャから別のフィーチャに結合することから生じる。同様に、近接効果は、一般にリソグラフィ露光に続く露光後ベーク(PEB)、レジスト現像、およびエッチング中に、拡散および他の化学効果から生じる可能性がある。
[0010] フィーチャが所与のターゲット回路設計の要件に従って半導体基板上で確実に生成されるようにするためには、洗練された数値モデルを使用して近接効果を予測する必要があり、また補正またはプリディストーションをマスクの設計に適用する必要があり、その後で高性能デバイスを成功裏に製造することが可能になる。論文「Full−Chip Lithography Simulation and Design Analysis−How OPC Is Changing IC Design」C.Spence,Proc.SPIE,Vol.5751、1〜14頁(2005年)により、現行の「モデルをベースとする」光近接補正プロセスの概要が得られる。典型的な高性能設計では、ターゲット設計に十分に近いプリント後パターンを達成するために、ほとんどあらゆるフィーチャエッジが何らかの修正を必要とする。これらの修正は、エッジ位置またはライン幅をシフトまたは偏倚させること、ならびにそれら自体をプリントすることは意図されていないが関連主要フィーチャの特性に影響を及ぼすことになる「アシスト」フィーチャを加えることを含むことができる。
[0011] 一般に数百万個のフィーチャがチップ設計に存在することを考えると、モデルをベースとするOPCをターゲット設計に適用することには、良好なプロセスモデルと、かなりの計算リソースが必要とされる。しかし、OPCを適用することは、概して「厳正科学」ではなく、レイアウト上の考えられる弱点すべてを常に解決するわけではない、実験による反復プロセスである。したがって、OPC後設計、すなわちOPCおよび任意の他の解像度向上技術(RET)によるあらゆるパターン修正の適用後のマスクレイアウトは、設計欠陥がマスクセットの製造に組み込まれる可能性を最小限に抑えるために、設計検査、すなわち較正された数値プロセスモデルを使用する集中的なフルチップシミュレーションによって検証することを必要とする。これは、数百万ドル範囲に入る高性能マスクセットを作製する莫大なコストによって、また実際のマスクが製造された後でそれらを再加工または修正することによるターンアラウンド時間に対する影響によって余儀なくされている。
[0012] OPCおよびフルチップRET検証は、たとえば、米国特許第7,003,758号、およびY.Caoらによる「Optimized Hardware and Software For Fast,Full Chip Simulation」Proc.SPIE,Vol.5754,405(2005年)という名称の論文に記載されている数値モデル化システムおよび方法に基づくものとすることができる。
[0013] 結像結果を最適化しようとして前述のマスク調整(たとえば、OPC)を実施することに加えて、全体的なリソグラフィ忠実度を改善しようとする取り組みにおいて、結像プロセスで使用される照明方式をも、マスク最適化と共に、または別々に最適化することができる。1990年代以来、環状、4極(quadrupole)、2極(dipole)など多数の軸外し光源が導入され、OPC設計により多くの自由をもたらしており、それにより結像結果を改善している。知られているように、軸外し照明は、マスクに含まれる細かい構造(すなわち、ターゲットフィーチャ)を解像するための証明済みの方法である。しかし、従来のイルミネータに比べると、軸外しイルミネータは、通常、空間像(AI)にとって光の強度が小さい。したがって、より細かい解像度と低下した光の強度との間で最適なバランスを達成するようにイルミネータを最適化しようとすることが必要になる。
[0014] 多数の従来技術の照明最適化手法が知られている。たとえば、Rosenbluthらによる「Optimum Mask and Source Patterns to Print A Given Shape」Journal of Microlithography,Microfabrication,Microsystems1(1)、13〜20頁(2002年)という名称の論文では、光源がいくつかの領域に区分され、それらの領域のそれぞれが瞳スペクトルのある領域に対応する。次いで、光源分布が各光源領域内で均一であると仮定され、各領域の明るさが、プロセスウィンドウに合わせて最適化される。しかし、光源分布が各光源領域内で均一であるという仮定は常に有効であるわけではなく、その結果、この手法の有効性には問題がある。Granikによる「Source Optimization for Image Fidelity and Throughput」Journal of Microlithography,Microfabrication,Microsystems3(4)、509〜522頁(2004年)という名称の論文に記載されている別の例では、いくつかの既存の光源最適化手法が概観され、光源最適化問題を一連の非負の最小二乗最適化に変換する、イルミネータピクセルに基づく方法が提案されている。これらの方法は、ある成果を示しているが、一般に、収束するために複数の複雑な反復を必要とする。さらに、ウェーハ像忠実度のために光源を最適化することと光源の滑らかさ要件との兼ね合いが決まるGranikの方法のγなど、いくつかの特別なパラメータのための適切な/最適な値を決定することが困難となり得る。
[0015] 低k1リソグラフィの場合、重要なパターンをプリントするための実行可能なプロセスウィンドウを確保するために、光源とマスクを共に最適化すること(すなわち、光源およびマスク最適化またはSMO)が必要とされる。既存のアルゴリズム(たとえば、Sochaら、Proc. SPIE vol. 5853、2005年、180頁)は、概して、照明を独立の光源点に、またマスクを空間周波数領域内の回折次数に離散化し、光学イメージングモデルによって光源点強度およびマスク回折次数から予測することができる露光ラチチュードなどプロセスウィンドウ測定基準に基づいて、コスト関数を別々に定式化する。次いで、標準的な最適化技法を使用し、目的関数を最小化する。
[0016] そのような従来のSMO技法は、特に複雑な設計にとって計算コストがかかる。したがって、一般に、光源最適化は、メモリ設計(フラッシュ、DRAM、SRAM)など単純な繰り返し設計に実施することが実際的であるにすぎない。一方、フルチップは、ロジックおよびゲートなど、他のより複雑な設計を含む。その結果、SMO光源最適化はいくつかの設計の限られた小さな領域に基づくものにすぎないため、SMOプロセスに含まれていない設計に対して光源が十分に機能することを保証することが困難である。したがって、フルチップ内の複雑な設計レイアウトすべてを表す設計の複数のクリップに対して、実際的な実行時間量内で光源を最適化することができる技法が依然として求められている。
[0017] 本発明は、リソグラフィ装置およびプロセスに関し、より詳細には、リソグラフィ装置およびプロセスで使用するために照明源およびマスクを最適化するためのツールに関する。いくつかの態様によれば、本発明は、フルチップパターンのカバーを可能にし、一方、光源およびマスク最適化で使用しようとするクリップの完全な集合から重要な設計パターンの小さな集合をインテリジェントに選択することによって、計算コストを低減する。最適化は、最適化された光源を得るために、これらの選択されたパターンに対してのみ実施される。次いで、最適化された光源を使用し、(たとえばOPCおよび製造可能性検証を使用して)フルチップに合わせてマスクを最適化し、プロセスウィンドウ性能結果が比較される。結果が従来のフルチップSMOに匹敵する場合、プロセスが終了し、そうでない場合には、繰り返して好結果に収束するように、様々な方法が提供されている。
[0018] これらおよび他の態様を促進するために、設計の一部分をウェーハ上に結像するためにリソグラフィプロセスを最適化する方法が、設計からクリップの完全な集合を識別する工程と、クリップの完全な集合からクリップの部分集合を選択する工程と、クリップの選択された部分集合を結像するためにリソグラフィプロセスに合わせて照明源を最適化する工程と、クリップの完全な集合を最適化しリソグラフィプロセスにおいて結像させるために、最適化された照明源を使用する工程とを含む。
[0019] 上記および他の態様をさらに促進するために、この方法の選択する工程は、クリップの完全な集合のそれぞれについて回折次数分布を計算する工程と、計算された回折次数分布に基づいて、クリップの完全な集合を複数のグループにグループ化する工程と、グループのそれぞれから、1つまたは複数の代表クリップを部分集合として選択する工程とを含む。
[0020] 次に、本発明の実施形態について、対応する符号が対応する部分を示す添付の概略図面を参照して、例としてのみ述べる。
[0021]典型的なリソグラフィ投影システムを示す例示的なブロック図である。 [0022]リソグラフィシミュレーションモデルの機能モジュールを示す例示的なブロック図である。 [0023]本発明の実施形態による例示的なSMOプロセスを示す流れ図である。 [0024]本発明によるSMOプロセスの一実施形態に含めることができる例示的なパターン選択方法を示す流れ図である。 [0025]本発明によるSMOプロセスの他の実施形態に含めることができる例示的なパターン選択方法を示す流れ図である。 [0026]本発明によるSMOプロセスの他の実施形態に含めることができる例示的なパターン選択方法を示す流れ図である。 [0027]本発明によるSMOプロセスの他の実施形態に含めることができる例示的なパターン選択方法を示す流れ図である。 [0028]本発明によるSMOプロセスの他の実施形態に含めることができる例示的なパターン選択方法を示す流れ図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0029]図8の方法に従って選択されたクリップの例示的な回折次数分布の図である。 [0030]本発明による様々なパターン選択方法に関するプロセスウィンドウ性能を比較するグラフである。 [0031]本発明による様々なパターン選択方法に関する処理実行時間性能を比較するチャートである。 [0032]本発明のシミュレーション方法を実施するのを助けることができるコンピュータシステムを示すブロック図である。 [0033]本発明の方法と共に使用するのに適したリソグラフィ投影装置の概略図である。
[0034] 次に、本発明の例示的な例として当業者が本発明を実施することができるように提供されている図面を参照して、本発明について詳細に述べる。特に、以下の図および例は、本発明の範囲を単一の実施形態に限定するものではなく、記載されている、または示されている要素の一部またはすべてを交換することによって、他の実施形態が可能である。さらに、本発明のある要素を、既知のコンポーネントを使用して部分的に、または完全に実施することができる場合、本発明を理解するために必要な既知のコンポーネントのそれらの部分についてのみ述べ、そのような既知のコンポーネントの他の部分の詳細な説明は、本発明を不明瞭にしないように省略する。ソフトウェアで実装されるものとして述べられている実施形態は、それに限定すべきではなく、当業者には明らかとなるように、本明細書に特段の指定がない限り、ハードウェア、またはソフトウェアとハードウェアの組合せで実施される実施形態を含むことができ、逆も同様である。本明細書では、単数のコンポーネントを示す実施形態は、限定するものと考えるべきではなく、本明細書に特段明示的に述べられていない限り、本発明は、複数の同じコンポーネントを含む他の実施形態を包含するものとし、逆も同様である。さらに、そのようなものとして明示的に述べられていない限り、出願人らは、本明細書または特許請求の範囲内のどの用語も、普通でない、または特別な意味をもつとみなされることを意図していない。さらに、本発明は、本明細書で例示のために参照されている既知のコンポーネントに対する現在および将来の既知の均等物を包含する。
[0035] 本文中では、ICの製造における本発明の使用を特に参照することがあるが、本発明には、多数の他の可能な応用分野があることを理解されたい。たとえば、本発明は、本明細書に記載されているリソグラフィ装置には、集積光学システム、磁気ドメインメモリ用のガイドおよび検出パターン、液晶ディスプレイ、薄膜磁気ヘッドなどの製造で使用することができる。そのような代替の応用分野の文脈において、本文中で「レチクル」「ウェーハ」または「ダイ」という用語を使用することがあればそれは、それぞれより一般的な用語である「マスク」「基板」および「ターゲット部分」によって置き換えられるとみなすべきであることを、当業者なら理解するであろう。
[0036] 本書では、「放射」および「ビーム」という用語を使用し、(たとえば、365、248、193、157、または126nmの波長を有する)紫外放射、およびEUV(たとえば、5〜20nmの範囲内の波長を有する極端紫外放射)を含めて、あらゆるタイプの電磁放射を包含する。
[0037] 本文中で使用されるマスクという用語は、基板のターゲット部分内に生み出そうとするパターンに対応するパターン付き断面を入来放射ビームに与えるために使用することができる一般のパターニング手段を指すものと広く解釈することができ、「ライトバルブ」という用語もまたこの文脈で使用することができる。従来のマスク(透過型または反射型、バイナリ、位相シフト、ハイブリッドなど)に加えて、そのような他のパターニング手段の例には以下が含まれる。すなわち、
・プログラマブルミラーアレイ。そのようなデバイスの例は、粘弾性制御層および反射表面を有するマトリクスアドレス可能な表面である。そのような装置の裏にある基本原理は、(たとえば)アドレスされた反射表面の領域が入射光を回折光として反射し、一方、アドレスされなかった領域は、入射光を非回折光として反射することである。適切なフィルタを使用して、前記非回折光を反射ビームから除去し、後に回折光だけを残すことができ、このようにして、このビームは、マトリクスアドレス可能な表面のアドレッシングパターンに従ってパターン付けされたものになる。必要とされるマトリクスアドレッシングは、好適な電子手段を使用して実施することができる。そのようなミラーアレイに関するより多くの情報は、たとえば、参照により本明細書に組み込む米国特許第5,296,891号および第5,523,193号から収集することができる。
[0038] ・プログラマブルLCDアレイ。そのような構造の例が、参照により本明細書に組み込む米国特許第5,229,872号に与えられている。
[0039] 本発明について論じる前に、全体的なシミュレーションおよびイメージングプロセスに関して簡単に論じる。図1は、例示的なリソグラフィ投影システム10を示す。主要コンポーネントは、遠紫外エキシマレーザ源とすることができる光源12と、部分コヒーレンス(シグマとして示される)を規定し、光源成形光学系14、16a、16bを含むことができる照明光学系と、マスクまたはレチクル18と、レチクルパターンの像をウェーハ平面22上に生成する投影光学系16cとである。瞳面にある調整可能なフィルタまたはアパーチャ20は、ウェーハ平面22に入射するビーム角度の範囲を制限することができ、最大可能な角度が投影光学系の開口数NA=sin(Θmax)を規定する。
[0040] リソグラフィシミュレーションシステムでは、これらの主要システムコンポーネントについて、たとえば図2に示されているように、別個の機能モジュールによって述べることができる。図2を参照すると、これらの機能モジュールは、ターゲット設計を定義する設計レイアウトモジュール26と、結像プロセスで使用すべきマスクを定義するマスクレイアウトモジュール28と、シミュレーションプロセス中に使用すべきマスクレイアウトのモデルを定義するマスクモデルモジュール30と、リソグラフィシステムの光学コンポーネントの性能を定義する光学モデルモジュール32と、所与のプロセス内で使用されるレジストの性能を定義するレジストモデルモジュール34とを含む。知られているように、シミュレーションプロセスの結果により、たとえば、結果モジュール36内に、予測された輪郭およびCDが生成される。
[0041] より具体的には、照明および投影光学系の特性は、それだけには限らないがNA−シグマ(σ)設定ならびに任意の特定の照明源形状(たとえば、環状、4極(quadrupole)、2極(dipole)など軸外し光源)を含む光学モデル32内で収集されることに留意されたい。基板上にコートされたフォトレジスト層の光学特性−すなわち、屈折率、膜厚、伝搬(propagation)および偏光効果−をも、光学モデル32の一部として収集することができる。マスクモデル30は、レチクルの設計フィーチャを収集し、また、たとえば、米国特許第7,587,704号に記載されているように、マスクの詳細な物理特性を表すものを含むことができる。最後に、レジストモデル34は、たとえば基板ウェーハ上に形成されるレジストフィーチャの輪郭を予測するために、レジスト露光、PEB、および現像中に発生する化学プロセスの効果について説明する。シミュレーションの目的は、たとえばエッジ配置およびCDを正確に予測することであり、次いでそれをターゲット設計に照らして比較することができる。ターゲット設計は、一般にOPC前マスクレイアウトとして定義され、GDSIIまたはOASISなど、標準化されたデジタルファイルフォーマットで提供されることになる。
[0042] 典型的な高性能設計では、ターゲット設計に十分に近いプリント後パターンを達成するために、ほとんどあらゆるフィーチャエッジが何らかの修正を必要とする。これらの修正は、エッジ位置またはライン幅をシフトまたは偏倚させること、ならびにそれら自体をプリントすることは意図されていないが関連主要フィーチャの特性に影響を及ぼすことになる「アシスト」フィーチャを加えることを含むことができる。さらに、照明源に適用される最適化技法は、異なるエッジおよびフィーチャに対して異なる効果を有する可能性がある。正面源の最適化は、光源照明を光の選択されたパターンに制限するための瞳の使用を含むことができる。本発明は、光源構成およびマスク構成に共に適用することができる最適化方法を提供する。
[0043] 一般に、本発明の実施形態による光源およびマスク最適化(SMO)を実施する方法は、フルチップパターンのカバーを可能にし、一方、SMOで使用しようとするクリップの完全な集合から重要な設計パターンの小さな集合をインテリジェントに選択することによって、計算コストを低減する。SMOは、最適化された光源を得るために、これらの選択されたパターンに対してのみ実施される。次いで、最適化された光源を使用し、(たとえばOPCおよびLMCを使用して)フルチップに合わせてマスクを最適化し、結果が比較される。結果が従来のフルチップSMOに匹敵する場合、プロセスが終了し、そうでない場合には、繰り返して好結果に収束するように、様々な方法が提供されている。
[0044] 本発明の実施形態による1つの例示的なSMO法について、図3の流れ図に関連して述べる。
[0045] リソグラフィプロセスを最適化しようとするターゲット設計300(一般に、OASIS、GDSIIなど標準的なデジタルフォーマットのレイアウトを含む)は、メモリ、テストパターン、およびロジックを含む。この設計から、設計300内の複雑なパターンすべてを表すクリップの完全な集合302が抽出される(典型的には約50〜1000個のクリップ)。当業者には理解されるように、これらのクリップは、特定の注意および/または検証が必要とされる設計の小さな部分(すなわち、回路、セル、またはパターン)を表す。
[0046] 全体的に304で示されているように、クリップの小さな部分集合306(たとえば約15〜50個のクリップ)が完全な集合302から選択される。下記でより詳細に述べるように、クリップの選択は、選択されたパターンのプロセスウィンドウが重要なパターンの完全な集合のためのプロセスウィンドウにできる限り密接に合致するように実施されることが好ましい。また、選択の有効性は、総合的なターン実行時間(パターン選択およびSMO)の削減によって測定される。
[0047] 308では、選択されたパターン(15〜50個のパターン)を用いてSMOが実施される。具体的には、選択されたパターン306に合わせて照明源が最適化される。この最適化は、多種多様な既知の方法のいずれか、たとえば米国特許出願第2004/0265707号に記載されているものを使用して実施することができ、この特許出願の内容を参照により本明細書に組み込む。
[0048] 310では、選択されたパターン306の製造可能性検証が、308で得られた光源を用いて実施される。より具体的には、検証は、選択されたパターン306および最適化された光源の空間像シミュレーションを実施すること、およびこれらのパターンが十分に広いプロセスウィンドウ全体にわたってプリントされることになることを検証することを含む。この検証は、多種多様な既知の方法のいずれか、たとえば米国特許第7,342,646号に記載されているものを使用して実施することができ、この特許出願の内容を参照により本明細書に組み込む。
[0049] 310の検証が満足のゆくものであると312で決定された場合には、処理が314のフルチップ最適化に進む。そうでない場合には、処理が308に戻り、そこでSMOが再び、しかし異なる光源、またはパターンの集合を用いて実施される。たとえば、検証ツールによって推定されたプロセス性能を、露光ラチチュードおよび焦点深度など、いくつかのプロセスウィンドウパラメータに関する閾値に照らして比較することができる。これらの閾値は、予め決定したものとすることも、ユーザが設定することもできる。
[0050] 316では、選択されたパターンがリソグラフィ性能仕様を満たしていると312で決定された後で、最適化された光源314が、クリップの完全な集合の最適化に使用されることになる。
[0051] 318では、クリップの完全な集合内のパターンすべてに対する、モデルをベースとするサブ解像度アシストフィーチャ配置(MB-SRAF)および光近接補正(OPC)が実施される。このプロセスは、多種多様な既知の方法のいずれか、たとえば米国特許第5,663,893号、第5,821,014号、第6,541,167号、および第6,670,081号に記載されているものを使用して実施することができる。
[0052] 320では、工程310と同様のプロセスを使用して、フルパターンシミュレーションをベースとする製造可能性検証が、最適化された光源314、および318で修正されたクリップの完全な集合316を用いて実施される。
[0053] 322では、クリップの完全な集合316の性能(たとえば、露光ラチチュードおよび焦点深度などプロセスウィンドウパラメータ)が、クリップの部分集合306に照らして比較される。一実施形態では、同様の(10%未満)リソグラフィ性能が、選択されたパターン(15〜20)306およびすべての重要なパターン(50〜1000)316について得られたとき、パターン選択が完了しているとみなされ、かつ/または光源がフルチップに対して完全に適格とされる。
[0054] そうでない場合には、324においてホットスポットが抽出され、326で、これらのホットスポットが部分集合306に追加され、プロセスがやり直される。たとえば、検証320中に識別されたホットスポット(すなわち、プロセスウィンドウ性能を制限するクリップの完全な集合316の中のフィーチャ)を使用し、さらに光源を調整する、またはSMOを再実行する。クリップの完全な集合316のプロセスウィンドウが、最後の実行と322の最後の実行前の実行との間で同じであるとき、光源が完全に収束しているとみなされる。
[0055] 複数のパターン選択方法が、304で使用するために開発されており、いくつかの非限定的な例について下記で詳述する。
[0056] 第1の実施形態では、ターゲット設計内のSRAMパターンに合わせて光源が最適化され、次いで、クリップの完全な集合の中のホットスポットが識別され、SMO用のパターンの部分集合として選択される。
[0057] たとえば、図4に示されているように、この実施形態によるパターン選択は、S402で、ターゲット設計300からSRAMパターン、たとえば2つのSRAMパターンを選択することによって始まる。
[0058] 工程S404では、これらの2つのパターンを使用して、これらのSRAMパターンのための最適化された光源を得るために、308で実施されたものなど、光源最適化が実施される。
[0059] 工程S406では、S404からの最適化された光源を使用して、クリップの完全な集合302に対してOPCが実施される。この工程で実施されるOPCプロセスは、図3の318に関連して上述したものと同様とすることができる。
[0060] 工程S408では、S406で調整されたクリップの前記完全な集合302について製造可能性検証が実施される。この検証は、図3の320に関連して上述したものと同様に実施することができる。
[0061] 製造可能性検証の結果から、S410で、最も悪い性能を有するクリップが選択される。たとえば、S410は、製造可能性検証の結果から、SRAMに最適化された光源のためのプロセスウィンドウに対して最も大きい制限効果を有する5〜15個のクリップを識別することを含む。
[0062] 次いで、これらのSRAMパターンおよびホットスポットが、図3の例示的なフルチップSMOフローにおける部分集合306として使用される。
[0063] 次の実施形態では、元の光源およびモデルを用いて、クリップの完全な集合からホットスポットが識別され、それらがSMO用のパターンの部分集合として選択される。
[0064] たとえば、図5に示されているように、この実施形態によるパターン選択は、S502で、リソグラフィプロセスのための元の光源およびモデルを識別することによって始まる。たとえば、環状照明源が初期光源として使用される。モデルは、計算機リソグラフィおよび空間像シミュレーションで使用されるリソグラフィプロセスの任意のモデルとすることができ、たとえば米国特許第7,342,646号に記載されている相互透過係数(TCC)を含むことができる。
[0065] 工程S504では、この光源およびモデルならびにクリップの完全な集合302を使用して製造可能性検証が実施される。検証処理は、図3の310に関連して上述したものと同様とすることができる。
[0066] 工程S506では、検証結果を使用して、クリップの完全な集合302のそれぞれについて、シビアリティスコアを計算し、ホットスポットを識別する。1つの非限定的な例では、シビアリティスコアは、以下のように計算される。
スコア=正規化(+EPE)+正規化(−EPE)+2*正規化MEEF
上式で、EPEはエッジ配置誤差であり、MEEFは、マスク誤差増大係数である。
[0067] 工程S508では、最も高いスコアを有するクリップがホットスポットとして識別される。たとえば、S508は、上記で計算された最も高いシビアリティスコアを有する5〜15個のクリップを識別することを含む。
[0068] 次いで、これらのクリップが、図3の例示的なフルチップSMOフローにおける部分集合306として使用される。諸実施形態では、ターゲット設計300からの2つのSRAMパターンもまた、部分集合306に含まれる。
[0069] 次の実施形態では、クリップの完全な集合302に対して解析が実施され、最良のフィーチャおよびピッチ適用範囲をもたらすクリップが、SMO用のパターンの部分集合として選択される。
[0070] たとえば、図6に示されているように、この実施形態によるパターン選択は、S602で、クリップをフィーチャタイプに従ってグループ化することによって始まる。たとえば、クリップは、回路パターンのタイプ(たとえば、ゲートまたはロジック)によって、または向きもしくは複雑さなどによってグループ化することができる。
[0071] 工程S604では、各グループ内のクリップがピッチによってさらに分類される。
[0072] 工程S606では、タイプにもピッチにも与えられることになる適用範囲を決定するために、クリップのそれぞれが小さなピッチゾーン内でサンプリングされる。
[0073] 工程S608では、最小のピッチおよび最高のセル密度を有するクリップが、S606での所望の適用範囲をもたらすものの中から選択される。たとえば、S608は、最良の設計適用範囲および最小から最小ピッチの1.5倍までのピッチを有する5〜15個のクリップを識別することを含む。
[0074] 次いで、これらのクリップが、図3の例示的なフルチップSMOフローにおける部分集合306として使用される。諸実施形態では、ターゲット設計300からの2つのSRAMパターンもまた、部分集合306に含まれる。
[0075] 次の実施形態では、クリップの完全な集合に対して解析が実施され、プロセスの元のモデルに従っていくつかのプロセスパラメータに対する最も高い感受性を有するクリップが、SMO用のパターンの部分集合として選択される。
[0076] たとえば、図7に示されているように、この実施形態によるパターン選択は、S702で、リソグラフィプロセスのための元のモデルを識別することによって始まる。S502と同様に、モデルは、計算機リソグラフィおよび空間像シミュレーションで使用されるリソグラフィプロセスの任意のモデルとすることができ、たとえば米国特許第7,342,646号に記載されている相互透過係数(TCC)を含むことができる。
[0077] S704では、クリップの完全な集合302のそれぞれの中央に位置するパターン内にカットラインが配置される。
[0078] 工程S706では、クリップのそれぞれについて、元のモデルを使用して、プロセスパラメータ感度が計算される。たとえば、プロセスパラメータは、線量および焦点とすることができ、感受性は、S702で識別されたリソグラフィプロセスシミュレーションモデルを使用して、空間像シミュレーションを実行することによって計算することができる。次いで、様々なプロセス条件の持続時間中のカットラインのところでのクリップの挙動を解析し、それらの感受性を決定する。
[0079] 工程S708では、プロセスパラメータ変動に対する最も高い感受性を有するクリップが選択される。たとえば、S708は、線量および焦点の変化に対して最も高い感受性を有する5〜15個のクリップを識別することを含む。
[0080] 次いで、これらのクリップが、図3の例示的なフルチップSMOフローにおける部分集合306として使用される。諸実施形態では、ターゲット設計300からの2つのSRAMパターンもまた、部分集合306に含まれる。
[0081] 次の実施形態では、クリップの完全な集合に対して解析が実施され、最良の回折次数分布をもたらすクリップが、SMO用のパターンの部分集合として選択される。パターンの回折次数は当業者に知られており、たとえば、米国特許出願第2004/0265707号に記載されているように決定することができる。
[0082] たとえば、図8に示されているように、この実施形態によるパターン選択は、S802で、クリップの完全な集合302のそれぞれについて回折次数挙動を計算することによって始まる。多数の可能な方法、たとえば米国特許出願第2004/0265707号を使用して、回折次数挙動を計算することができる。
[0083] 工程S804では、クリップの完全な集合の、計算された回折次数同士が比較され、工程S806で、それらの回折次数分布に従ってクリップがグループ化される。たとえば、クリップのそれぞれの間の幾何学的相関を計算することができ、分類方法を実施し、最も似ているクリップを共にグループ化することができる。
[0084] 工程S808では、グループのそれぞれからの1つのクリップが選択される。たとえば、S806は、5〜15個のクリップのグループを形成することを含み、1つのクリップが各グループからランダムに選択される。図9は、完全なクリップの集合から計算された15個の個々のクリップに関する例示的な回折次数分布902を示す。
[0085] 次いで、これらのクリップが、図3の例示的なフルチップSMOフローにおける部分集合306として使用される。諸実施形態では、ターゲット設計300からの2つのSRAMパターンもまた、部分集合306に含まれる。
[0086] 図8に関連して述べた回折次数をベースとするパターン選択方法の、他の方法に対するいくつかの利点は、開始条件が必要とされず(たとえば、開始照明源)、レジストモデルが必要とされず、モデルが必要とされないことである。ターゲットパターンを必要とするだけであり、その結果、プロセスに依存しない。
[0087] 図10は、上述の様々なパターン選択方法に関するプロセスウィンドウ性能を、従来のフルチップSMO法に対して比較するグラフである。わかるように、すべての方法が元のプロセスウィンドウに対して改善しており、回折次数法は、フルチップSMOに最も近い性能をもたらしている。
[0088] 図11は、上述の様々なパターン選択方法に関する処理実行時間性能を、従来のフルチップSMO法に対して比較するチャートである。わかるように、すべての方法が従来の実行時間に対して改善しており、回折次数法は、最も大きな改善をもたらしている。
[0089] 図12は、本明細書で開示されている最適化方法およびフローを実施するのを助けることができるコンピュータシステム100を示すブロック図である。コンピュータシステム100は、情報を通信するためのバス102または他の通信機構と、情報を処理するためにバス102と結合されたプロセッサ104とを含む。また、コンピュータシステム100は、情報およびプロセッサ104によって実行しようとする命令を記憶するための、バス102に結合された、ランダムアクセスメモリ(RAM)または他の動的記憶デバイスなどメインメモリ106を含む。メインメモリ106はまた、プロセッサ104によって実行しようとする命令の実行中に、一時的な変数または他の中間情報を記憶するために使用することができる。コンピュータシステム100は、静的情報およびプロセッサ104用の命令を記憶するための、バス102に結合された読取り専用メモリ(ROM)108または他の静的記憶デバイスをさらに含む。磁気ディスクまたは光ディスクなど記憶デバイス110が、情報および命令を記憶するために設けられ、バス102に結合される。
[0090] コンピュータシステム100は、情報をコンピュータユーザに対して表示するために、バス102を介して、陰極線管(CRT)ディスプレイまたはフラットパネルディスプレイまたはタッチパネルディスプレイなどディスプレイ112に結合されてもよい。英数字および他のキーを含む入力デバイス114が、情報およびコマンド選択をプロセッサ104に伝えるために、バス102に結合される。他のタイプのユーザ入力デバイスは、方向情報およびコマンド選択をプロセッサ104に伝えるための、またディスプレイ112上のカーソル移動を制御するための、マウス、トラックボール、またはカーソル方向キーなどカーソルコントロール116である。この入力デバイスは、典型的には、2つの軸、すなわち第1の軸(たとえばx)および第2の軸(たとえばy)で2自由度を有し、それによりそのデバイスが平面内の位置を指定することが可能になる。タッチパネル(スクリーン)ディスプレイもまた入力デバイスとして使用することができる。
[0091] 本発明の一実施形態によれば、プロセッサ104がメインメモリ106内に含まれる1つまたは複数の命令の1つまたは複数のシーケンスを実行したことに応答して、最適化プロセスの一部分をコンピュータシステム100によって実施することができる。そのような命令は、記憶デバイス110など別のコンピュータ可読媒体からメインメモリ106に読み込むことができる。メインメモリ106内に含まれる命令のシーケンスを実行することにより、プロセッサ104が本明細書に記載されているプロセス工程を実施する。多重処理構成にある1つまたは複数のプロセッサをも、メインメモリ106内に含まれる命令のシーケンスを実行するために使用することができる。代替の実施形態では、ソフトウェア命令の代わりに、またはソフトウェア命令と組み合わせてハードワイヤード回路を使用し、本発明を実施することができる。したがって、本発明の実施形態は、ハードウェア回路とソフトウェアのどの特定の組合せにも限定されない。
[0092] 本明細書では、「コンピュータ可読媒体」という用語は、実行するために命令をプロセッサ104に提供することに関与する任意の媒体を指す。そのような媒体は、それだけには限らないが不揮発性媒体、揮発性媒体、および伝送媒体を含めて、多数の形態をとることができる。不揮発性媒体は、たとえば記憶デバイス110など光ディスクまたは磁気ディスクを含む。揮発性媒体は、メインメモリ106など動的メモリを含む。伝送媒体は、バス102を含むワイヤを含めて、同軸ケーブル、導線、および光ファイバを含む。また、伝送媒体は、無線周波数(RF)データ通信および赤外線(IR)データ通信中に生成されるものなど、音波または光波の形態をとることができる。コンピュータ可読媒体の共通形態は、たとえば、フロッピディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意の他の磁気媒体、CD−ROM、DVD、任意の他の光媒体、パンチカード、紙テープ、穴のパターンを有する任意の他の物理媒体、RAM、PROM、EPROM、FLASH−EPROM、任意の他のメモリチップもしくはカートリッジ、以下で述べる搬送波、またはコンピュータが読み取ることができる任意の他の媒体を含む。
[0093] 様々な形態のコンピュータ可読媒体が、実行するために1つまたは複数の命令の1つまたは複数のシーケンスをプロセッサ104に搬送することに関与することができる。たとえば、命令は、最初に遠隔コンピュータの磁気ディスク上に担持されていてもよい。遠隔コンピュータは、命令をその動的メモリにロードし、モデムを使用して、電話回線を介して命令を送ることができる。コンピュータシステム100のローカルのモデムが、電話回線上のデータを受信し、赤外線送信機を使用し、データを赤外線信号に変換することができる。バス102に結合された赤外線検出器が、赤外線信号内に担持されたデータを受信し、バス102上にデータを置くことができる。バス102は、データをメインメモリ106に搬送し、そこからプロセッサ104が命令を取り出し、実行する。メインメモリ106によって受信された命令は、任意選択で、プロセッサ104による実行の前または後に記憶デバイス110上で記憶することができる。
[0094] また、コンピュータシステム100は、バス102に結合された通信インターフェース118を含むことが好ましい。通信インターフェース118は、ローカルネットワーク122に接続されているネットワークリンク120に結合する双方向通信を提供する。たとえば、通信インターフェース118を総合サービスデジタルネットワーク(ISDN)カードまたはモデムとし、対応するタイプの電話回線に対するデータ通信接続を提供することができる。別の例として、通信インターフェース118をローカルエリアネットワーク(LAN)カードとし、互換のLANに対するデータ通信接続を提供することができる。無線リンクもまた、実装することができる。そのようなどの実装においても、通信インターフェース118は、様々なタイプの情報を表すデジタルデータストリームを担持する電気信号、電磁信号、または光信号を送受信する。
[0095] ネットワークリンク120は、一般に、1つまたは複数のネットワークを通じて他のデータデバイスに対するデータ通信を提供する。たとえば、ネットワークリンク120は、ローカルネットワーク122を通じて、ホストコンピュータ124に、またはインターネットサービスプロバイダ(ISP)126によって運営されるデータ機器に接続することができる。次いでISP126は、現在、一般に「インターネット」128と呼ばれるワールドワイドパケットデータ通信ネットワークを通じてデータ通信サービスを提供する。ローカルネットワーク122およびインターネット128は、共にデジタルデータストリームを担持する電気信号、電磁信号、または光信号を使用する。デジタルデータをコンピュータシステム100に、またコンピュータシステム100から搬送する、様々なネットワークを通る信号、およびネットワークリンク120上の、また通信インターフェース118を通る信号は、情報を輸送する搬送波の例示的な形態である。
[0096] コンピュータシステム100は、ネットワーク、ネットワークリンク120、および通信インターフェース118を通じて、メッセージを送り、プログラムコードを含めて、データを受信することができる。インターネットの例では、サーバ130は、インターネット128、ISP126、ローカルネットワーク122、および通信インターフェース118を通じて、アプリケーションプログラムを求める要求コードを送信することができる。本発明によれば、たとえば1つのそのようなダウンロード型アプリケーションが、実施形態の照明最適化を可能にする。受信されたコードは、受信されたときプロセッサ104によって実行することができ、かつ/または後で実行するために、記憶デバイス110または他の不揮発性記憶装置内で記憶することができる。このようにして、コンピュータシステム100は、搬送波の形態でアプリケーションコードを得ることができる。
[0097] 図13は、本発明のプロセスを使用しその照明源を最適化することができる例示的なリソグラフィ投影装置を概略的に示す。この装置は、以下を備える。すなわち、
− 放射の投影ビームPBを供給するための放射システムEx、IL。この特定の場合には、放射システムは、放射源LAをも備える。
− マスクMA(たとえば、レチクル)を保持するためのマスクホルダを備え、物品PLに対してマスクを正確に位置決めするための第1の位置決め手段に接続された第1のオブジェクトテーブル(たとえば、マスクテーブル)MT。
− 基板W(たとえば、レジストコートされたシリコンウェーハ)を保持するための基板ホルダを備え、物品PLに対して基板を正確に位置決めするための第2の位置決め手段に接続された第2のオブジェクトテーブル(たとえば、基板テーブル)WT。
− マスクMAの照射された部分を基板Wの(たとえば1つまたは複数のダイを含む)ターゲット部分C上に結像するための投影システム(レンズ)PL(たとえば、屈折光学系、反射光学系、または反射屈折光学系)。
[0098] 本明細書では、この装置は透過型である(すなわち、透過型マスクを有する)。しかし、一般に、たとえば(反射型マスクを有する)反射型のものとすることもできる。あるいは、この装置は、マスクを使用する代わりに別の種類のパターニング手段を使用することができ、例には、プログラマブルミラーアレイまたはLCDマトリクスが含まれる。
[0099] 放射源LA(たとえば、水銀ランプまたはエキシマレーザ)は、放射のビームを生成する。このビームは、照明システム(イルミネータ)ILに、直接、またはたとえばビームエキスパンダExなど調節手段を横断した後で供給される。イルミネータILは、ビームの角度強度分布の外側および/または内側半径範囲(一般にそれぞれσ−outerおよびσ−innerと呼ばれる)を設定するための調整手段AMを備えることができる。さらに、イルミネータILは、一般に、インテグレータINおよびコンデンサCOなど、様々な他のコンポーネントを備えることになる。このようにして、マスクMAに入射するビームPBは、その断面において所望の均一性および強度分布を有する。
[00100] 図13に関して、放射源LAは、(たとえば、放射源LAが水銀ランプである場合にしばしばそうであるように)リソグラフィ投影装置のハウジング内にあってよいこと、しかしリソグラフィ投影装置から離れており、生成する放射ビームが(たとえば、好適な誘導ミラーを用いて)装置内に導かれてもよいことを理解されたい。この後者のシナリオは、しばしば、放射源LAが(たとえば、KrF、ArF、またはFレージングに基づく)エキシマレーザである場合である。本発明は、これらのシナリオの少なくとも両方を包含する。
[00101] その後で、ビームPBは、マスクテーブルMT上で保持されているマスクMAを横切る。ビームPBは、マスクMAを横切って、レンズPLを通過し、レンズPLは、ビームPBを基板Wのターゲット部分C上に集束する。基板テーブルWTは、第2の位置決め手段(および干渉計測定手段IF)を用いて、たとえば、様々なターゲット部分CをビームPBの経路内で位置決めするように、正確に移動することができる。同様に、たとえばマスクMAをマスクライブラリから機械的に取り出した後で、またはスキャン中に、第1の位置決め手段を使用し、マスクMAをビームPBの経路に対して正確に位置決めすることができる。一般に、オブジェクトテーブルMT、WTの移動は、図13には明示的に示されていないロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)を用いて実現されることになる。しかし、(ステップアンドスキャンツールではなく)ウェーハステッパの場合には、マスクテーブルMTをショートストロークアクチュエータに接続するだけであっても、固定としてもよい。
[00102] 図の装置は、2つの異なるモードで使用することができる。すなわち、
− ステップモードでは、マスクテーブルMTが本質的に静止したままであり、マスク像全体が一度にターゲット部分C上に投影される(すなわち、単一の「フラッシュ」)。次いで、基板テーブルWTがx方向および/またはy方向でシフトされ、その結果、異なるターゲット部分CをビームPBによって照射することができる。
− スキャンモードでは、所与のターゲット部分Cが単一の「フラッシュ」で露光されないことを除いて、本質的に同じシナリオが当てはまる。その代わりに、マスクテーブルMTは、投影ビームPBをマスク像の上でスキャンさせるように速度vで所与の方向(いわゆる「スキャン方向」、たとえばy方向)に移動可能であり、同時に、基板テーブルWTは、速度V=Mvで同じ方向または反対方向に同時に移動され、この式で、MはレンズPLの倍率である(典型的には、M=1/4または1/5)。このようにして、解像度について妥協する必要なしに、比較的大きなターゲット部分Cを露光することができる。
[00103] 本明細書で開示されている概念は、サブ波長フィーチャを結像するための任意の一般的なイメージングシステムをシミュレートする、または数学的にモデル化することができ、サイズがますます短い波長を生成することができる新たなイメージング技術と共に特に有用となり得る。すでに使用されている新たな技術は、ArFレーザを使用して193nm波長を、さらにはフッ素レーザを使用して157nm波長を生成することができるEUV(極端紫外)リソグラフィを含む。さらに、EUVリソグラフィは、20〜5nmの範囲内の波長を、シンクロトロンを使用することによって、またはこの範囲内で光子を生成するために高エネルギー電子で材料(固体またはプラズマ)を叩くことによって生成することができる。大抵の材料がこの範囲内で吸収性であるため、モリブデンとシリコンの多積層を有する反射ミラーによって照明を生成することができる。この多積層ミラーは、40層対のモリブデンとシリコンを有し、各層の厚さは、4分の1波長である。X線リソグラフィを用いてさらに短い波長を生成することができる。典型的には、シンクロトロンを使用し、X線波長を生成する。x線波長では大抵の材料が吸収性であるため、吸収材料の細片がフィーチャをプリントするところ(ポジレジスト)、またはプリントしないところ(ネガレジスト)を画定する。
[00104] 本明細書で開示されている概念は、シリコンウェーハなど基板上での結像に使用することができるが、開示されている概念は、任意のタイプのリソグラフィイメージングシステム、たとえばシリコンウェーハ以外の基板上での結像に使用されるものと共に使用することができることを理解されたい。
[00105] 上記の説明は例示的なものであり、限定するものではない。したがって、下記で述べる特許請求の範囲から逸脱することなしに、記載されている本発明に修正を加えることができることが、当業者には明らかであろう。
[00106] 本発明について以下の条項を使用してさらに述べることができる。
1.
設計の一部分をウェーハ上に結像するためにリソグラフィプロセスを最適化する方法であって、
前記設計からクリップの完全な集合を識別する工程と、
クリップの前記完全な集合からクリップの部分集合を選択する工程と、
クリップの前記選択された部分集合を結像するために前記リソグラフィプロセスに合わせて照明源を最適化する工程と、
クリップの前記完全な集合を最適化し前記リソグラフィプロセスにおいて結像させるために、前記最適化された照明源を使用する工程と
を含む方法。
2.
前記選択する工程が、
クリップの前記完全な集合のそれぞれについて回折次数分布を計算する工程と、
前記計算された回折次数分布に基づいて、クリップの前記完全な集合を複数のグループにグループ化する工程と、
前記グループのそれぞれから、1つまたは複数の代表クリップを前記部分集合として選択する工程と
を含む、第1条項に記載の方法。
3.
前記選択する工程が、
クリップの前記完全な集合内で1つまたは複数のメモリパターンを識別する工程と、
前記1つまたは複数のメモリパターンに合わせて前記照明源を事前最適化する工程と、
前記事前最適化された照明源を使用し、クリップの前記完全な集合内の潜在的なホットスポットを決定する工程と、
前記決定された潜在的なホットスポットに基づいて前記部分集合を選択する工程と
を含む、第1条項に記載の方法。
4.
前記選択する工程が、
前記リソグラフィプロセスのための元の照明源を識別する工程と、
前記元の照明源を使用し、クリップの前記完全な集合内の潜在的なホットスポットを決定する工程と、
前記決定された潜在的なホットスポットに基づいて前記部分集合を選択する工程と
を含む、第1条項に記載の方法。
5.
前記選択する工程が、
設計タイプによって、クリップの前記完全な集合内のパターンを複数のグループにグループ化する工程と、
ピッチおよびフィーチャタイプによって各グループ内の前記パターンを分類し、各グループ内の最適なパターンを決定する工程と、
各グループ内の前記最適なパターンを前記部分集合として選択する工程と
を含む、第1条項に記載の方法。
6.
前記選択する工程が、
前記リソグラフィプロセスのシミュレーションモデルを識別する工程と、
前記モデルを使用し、クリップの前記完全な集合のそれぞれについてプロセスパラメータ感度を推定する工程と、
前記推定されたプロセスパラメータ感度に基づいて前記部分集合を選択する工程と
を含む、第1条項に記載の方法。
7.
コンピュータによって読み取られたとき、前記コンピュータに、設計の一部分を基板上に結像するためにリソグラフィプロセスを最適化する方法を実行させる命令が記録されたコンピュータ可読媒体であって、前記方法が、
前記設計の前記一部分からパターンの部分集合を選択する工程と、
パターンの前記選択された部分集合を結像するために前記リソグラフィプロセスに合わせて照明源を最適化する工程と、
前記設計の前記一部分を最適化し前記リソグラフィプロセスにおいて結像させるために、前記最適化された照明源を使用する工程と
を含む、コンピュータ可読媒体。
8.
前記設計の前記一部分がクリップを含み、パターンの部分集合を選択する前記工程が、
前記設計からクリップの完全な集合を識別する工程と、
クリップの前記完全な集合からクリップの部分集合を選択する工程とを含み、
最適化する前記工程が、クリップの前記選択された部分集合を結像するために前記リソグラフィプロセスに合わせて照明源を最適化する工程を含み、
使用する前記工程が、クリップの前記完全な集合を最適化し前記リソグラフィプロセスにおいて結像させるために、前記最適化された照明源を使用する工程を含む、第7条項に記載のコンピュータ可読媒体。
9.
前記選択する工程が、
前記設計の前記一部分内の前記パターンについて回折次数分布を計算する工程と、
前記計算された回折次数分布に基づいて前記パターンを複数のグループにグループ化する工程と、
パターンの前記部分集合として前記グループのそれぞれから1つまたは複数の代表パターンを選択する工程と
を含む、第7条項または第8条項に記載のコンピュータ可読媒体。
10.
前記選択する工程が、
前記設計の前記一部分内で1つまたは複数のメモリパターンを識別する工程と、
前記1つまたは複数のメモリパターンに合わせて前記照明源を事前最適化する工程と、
前記事前最適化された照明源を使用し、前記設計の前記一部分内の潜在的なホットスポットを決定する工程と、
前記決定された潜在的なホットスポットに基づいてパターンの前記部分集合を選択する工程と
を含む、第7条項または第8条項に記載のコンピュータ可読媒体。
11.
前記選択する工程が、
前記リソグラフィプロセスのための元の照明源を識別する工程と、
前記元の照明源を使用し、前記設計の前記一部分内の潜在的なホットスポットを決定する工程と、
前記決定された潜在的なホットスポットに基づいてパターンの前記部分集合を選択する工程と
を含む、第7条項または第8条項に記載のコンピュータ可読媒体。
12.
前記ホットスポットに関するシビアリティスコアを計算する工程と、
予め定義されたシビアリティスコアを有する、または予め定義されたシビアリティスコア範囲を有する前記ホットスポットを選択する工程と
をさらに含む、第10条項または第11条項に記載の方法。
13.
前記選択する工程が、
設計タイプによって、前記設計の前記一部分内のパターンを複数のグループにグループ化する工程と、
ピッチおよびフィーチャタイプによって各グループ内の前記パターンを分類し、各グループ内の最適なパターンを決定する工程と、
各グループ内の前記最適なパターンをパターンの前記部分集合として選択する工程と
を含む、第7条項または第8条項に記載のコンピュータ可読媒体。
14.
前記選択する工程が、
前記リソグラフィプロセスのシミュレーションモデルを識別する工程と、
前記モデルを使用し、前記設計の前記一部分内のパターンについてプロセスパラメータ感度を推定する工程と、
前記推定されたプロセスパラメータ感度に基づいてパターンの前記部分集合を選択する工程と
を含む、第7条項または第8条項に記載のコンピュータ可読媒体。
15.
パターンの前記最適化された部分集合に関するリソグラフィプロセス性能測定基準が許容されるかどうか判定する工程と、
前記判定された測定基準が許容されない場合、潜在的なホットスポットを有するクリップを前記部分集合に追加し、前記最適化工程を繰り返す工程と
をさらに含む、第7条項から第15条項までのいずれか一項に記載のコンピュータ可読媒体。
16.
前記照明源を最適化する工程が、前記リソグラフィプロセスのモデル、前記照明源、およびパターンの前記部分集合を使用してリソグラフィプロセス性能をシミュレートし、前記性能が許容されるかどうか判定する工程を含む、第7条項から第15条項までのいずれか一項に記載のコンピュータ可読媒体。
17.
前記設計の前記一部分を最適化する工程が、前記最適化された照明源に基づいて前記パターンのいくつかに対して光近接補正を実施する工程を含む、第7条項から第16条項までのいずれか一項に記載のコンピュータ可読媒体。

Claims (15)

  1. 設計の一部分を基板上に結像するためにリソグラフィプロセスを最適化する方法であって、
    前記設計の前記一部分からパターンの部分集合を選択する工程と、
    パターンの前記選択された部分集合を結像するために前記リソグラフィプロセスに合わせて照明源を最適化する工程と、
    前記設計の前記一部分を最適化し前記リソグラフィプロセスにおいて結像させるために、前記最適化された照明源を使用する工程と
    を含む方法。
  2. 前記設計の前記一部分がフルチップを含む、請求項1に記載の方法。
  3. 前記設計の前記一部分がクリップを含み、パターンの部分集合を選択する前記工程が、
    前記設計からクリップの完全な集合を識別する工程と、
    クリップの前記完全な集合からクリップの部分集合を選択する工程とを含み、
    最適化する前記工程が、クリップの前記選択された部分集合を結像するために前記リソグラフィプロセスに合わせて照明源を最適化する工程を含み、
    使用する前記工程が、クリップの前記完全な集合を最適化し前記リソグラフィプロセスにおいて結像させるために、前記最適化された照明源を使用する工程を含む、請求項1に記載の方法。
  4. 前記選択する工程が、
    前記設計の前記一部分内のパターンについて回折次数分布を計算する工程と、
    前記計算された回折次数分布に基づいて前記パターンを複数のグループにグループ化する工程と、
    パターンの前記部分集合として前記グループのそれぞれから1つまたは複数の代表パターンを選択する工程と
    を含む、請求項1、2、または3に記載の方法。
  5. 前記選択する工程が、
    前記設計の前記一部分内で1つまたは複数のメモリパターンを識別する工程と、
    前記1つまたは複数のメモリパターンに合わせて前記照明源を事前最適化する工程と、
    前記事前最適化された照明源を使用し、前記設計の前記一部分内の潜在的なホットスポットを決定する工程と、
    前記決定された潜在的なホットスポットに基づいてパターンの前記部分集合を選択する工程と
    を含む、請求項1、2、または3に記載の方法。
  6. 前記選択する工程が、
    前記リソグラフィプロセスのための元の照明源を識別する工程と、
    前記元の照明源を使用し、前記設計の前記一部分内の潜在的なホットスポットを決定する工程と、
    前記決定された潜在的なホットスポットに基づいてパターンの前記部分集合を選択する工程と
    を含む、請求項1、2、または3に記載の方法。
  7. ホットスポットに関するシビアリティスコアを計算する工程と、
    予め定義されたシビアリティスコアを有する前記ホットスポットを選択する、または予め定義されたシビアリティスコア範囲内のシビアリティスコアを有する前記ホットスポットを選択する工程と
    をさらに含む、請求項5または6に記載の方法。
  8. 前記選択する工程が、
    設計タイプによって、前記設計の前記一部分内のパターンを複数のグループにグループ化する工程と、
    ピッチおよびフィーチャタイプによって各グループ内の前記パターンを分類し、各グループ内の最適なパターンを決定する工程と、
    各グループ内の前記最適なパターンをパターンの前記部分集合として選択する工程と
    を含む、請求項1、2、または3に記載の方法。
  9. 前記選択する工程が、
    前記リソグラフィプロセスのシミュレーションモデルを識別する工程と、
    前記モデルを使用し、前記設計の前記一部分内のパターンについてプロセスパラメータ感度を推定する工程と、
    前記推定されたプロセスパラメータ感度に基づいてパターンの前記部分集合を選択する工程と
    を含む、請求項1、2、または3に記載の方法。
  10. パターンの前記最適化された部分集合に関するリソグラフィプロセス性能測定基準が許容されるかどうか判定する工程と、
    前記判定された測定基準が許容されない場合、潜在的なホットスポットを有するクリップをパターンの前記部分集合に追加し、前記最適化工程を繰り返す工程と
    をさらに含む、請求項1から9までのいずれか一項に記載の方法。
  11. 前記照明源を最適化する工程が、前記リソグラフィプロセスのモデル、前記照明源、およびパターンの前記部分集合を使用してリソグラフィプロセス性能をシミュレートし、前記性能が許容されるかどうか判定する工程を含む、請求項1から10までのいずれか一項に記載の方法。
  12. 前記設計の前記一部分を最適化する工程が、前記最適化された照明源に基づいて前記パターンのいくつかに対して光近接補正を実施する工程を含む、請求項1から11までのいずれか一項に記載の方法。
  13. コンピュータによって読み取られたとき、前記コンピュータに、請求項1から12までに記載の、設計の一部分をウェーハ上に結像するためにリソグラフィプロセスを最適化する方法を実行させる命令が記録されたコンピュータ可読媒体。
  14. 放射ビームをもたらすように構成された照明システムと、
    パターニング手段を支持するように構成されたサポート構造であって、前記パターニング手段が、前記放射ビームにその断面でパターンを与えるように働く、サポート構造と、
    基板を保持するように構成された基板テーブルと、
    パターン付きビームを前記基板のターゲット部分上に投影するための投影システムとを備えたリソグラフィ装置において、
    請求項1から12までに記載の、リソグラフィプロセスを最適化するための方法に従って前記最適化された照明源を生成するように前記照明システムを構成するためのプロセッサをさらに備えるリソグラフィ装置。
  15. リソグラフィ装置の照明システムからの放射ビームを与えるためのパターニング手段であって、前記リソグラフィ装置が、この与えられたビームを、投影システムを介して基板のターゲット部分上に投影するように構成されており、パターニング手段が、設計の最適化された部分を含み、前記設計の前記最適化された部分が、請求項1から12までに記載の、リソグラフィプロセスを最適化する方法に従って決定される、パターニング手段。
JP2010235012A 2009-10-28 2010-10-20 フルチップ光源およびマスク最適化のためのパターン選択 Active JP5156075B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US25573809P 2009-10-28 2009-10-28
US61/255,738 2009-10-28
US36040410P 2010-06-30 2010-06-30
US61/360,404 2010-06-30

Publications (2)

Publication Number Publication Date
JP2011100121A true JP2011100121A (ja) 2011-05-19
JP5156075B2 JP5156075B2 (ja) 2013-03-06

Family

ID=43602819

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2010235012A Active JP5156075B2 (ja) 2009-10-28 2010-10-20 フルチップ光源およびマスク最適化のためのパターン選択
JP2010235013A Active JP5433550B2 (ja) 2009-10-28 2010-10-20 回折シグネチャ解析に基づく設計レイアウト内の最適なパターンの選択
JP2012535777A Active JP5666609B2 (ja) 2009-10-28 2010-10-26 光源及びマスクの最適化のためのパターン選択方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2010235013A Active JP5433550B2 (ja) 2009-10-28 2010-10-20 回折シグネチャ解析に基づく設計レイアウト内の最適なパターンの選択
JP2012535777A Active JP5666609B2 (ja) 2009-10-28 2010-10-26 光源及びマスクの最適化のためのパターン選択方法

Country Status (7)

Country Link
US (5) US8739082B2 (ja)
JP (3) JP5156075B2 (ja)
KR (2) KR101800758B1 (ja)
CN (3) CN102054092B (ja)
NL (2) NL2005522A (ja)
TW (3) TWI463245B (ja)
WO (1) WO2011051249A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013509604A (ja) * 2009-10-28 2013-03-14 エーエスエムエル ネザーランズ ビー.ブイ. 光源及びマスクの最適化のためのパターン選択方法
JP2013065018A (ja) * 2011-09-16 2013-04-11 Imec 光学リソグラフィでの照明光源形状定義
JP2013195440A (ja) * 2012-03-15 2013-09-30 Canon Inc 生成方法、プログラム及び情報処理装置
KR101463100B1 (ko) * 2012-01-10 2014-11-20 에이에스엠엘 네델란즈 비.브이. 확률적 효과들을 감소시키기 위한 소스 마스크 최적화
KR20160122217A (ko) * 2014-02-12 2016-10-21 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
JP2017058435A (ja) * 2015-09-15 2017-03-23 株式会社ニコン 評価方法及び装置、そのプログラム、露光方法及び装置、並びにデバイス製造方法
US9857676B2 (en) 2013-05-27 2018-01-02 International Business Machines Corporation Method and program product for designing source and mask for lithography
CN115469512A (zh) * 2022-09-13 2022-12-13 武汉宇微光学软件有限公司 一种光源掩模联合优化中光源校准方法及系统

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
JP5629691B2 (ja) 2008-11-21 2014-11-26 エーエスエムエル ネザーランズ ビー.ブイ. 高速自由形式ソース・マスク同時最適化方法
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
US8667427B2 (en) * 2011-02-24 2014-03-04 International Business Machines Corporation Method of optimization of a manufacturing process of an integrated circuit layout
US8607170B2 (en) * 2011-03-02 2013-12-10 Texas Instruments Incorporated Perturbational technique for co-optimizing design rules and illumination conditions for lithography process
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
US8504949B2 (en) * 2011-07-26 2013-08-06 Mentor Graphics Corporation Hybrid hotspot detection
US9940427B2 (en) 2012-02-09 2018-04-10 Asml Netherlands B.V. Lens heating aware source mask optimization for advanced lithography
US8555211B2 (en) * 2012-03-09 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Mask making with error recognition
US8631360B2 (en) * 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
NL2010647A (en) * 2012-05-04 2013-11-06 Asml Netherlands Bv Design rule and lithographic process co-optimization.
US8843875B2 (en) * 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
KR101757780B1 (ko) 2012-05-31 2017-07-14 에이에스엠엘 네델란즈 비.브이. 구배-기반 패턴 및 평가 지점 선택
CN102692814B (zh) * 2012-06-18 2013-09-11 北京理工大学 一种基于Abbe矢量成像模型的光源-掩模混合优化方法
WO2014025936A2 (en) * 2012-08-08 2014-02-13 Dcg Systems, Inc. P and n region differentiation for image-to-cad alignment
US8667428B1 (en) * 2012-10-24 2014-03-04 GlobalFoundries, Inc. Methods for directed self-assembly process/proximity correction
JP6095334B2 (ja) * 2012-11-26 2017-03-15 キヤノン株式会社 マスクパターンおよび露光条件を決定する方法、ならびにプログラム
US20140214192A1 (en) * 2013-01-25 2014-07-31 Dmo Systems Limited Apparatus For Design-Based Manufacturing Optimization In Semiconductor Fab
US8782582B1 (en) * 2013-03-13 2014-07-15 Atrenta, Inc. Efficient method to analyze RTL structures that cause physical implementation issues based on rule checking and overlap analysis
US8782569B1 (en) * 2013-03-14 2014-07-15 United Microelectronics Corp. Method for inspecting photo-mask
US9009634B2 (en) 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9170501B2 (en) 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
TWI528201B (zh) * 2013-08-28 2016-04-01 旺宏電子股份有限公司 進階修正方法
US11054750B2 (en) 2013-10-01 2021-07-06 Asml Netherlands B.V. Profile aware source-mask optimization
US20150112649A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Clustering Lithographic Hotspots Based on Frequency Domain Encoding
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
US9395622B2 (en) * 2014-02-20 2016-07-19 Globalfoundries Inc. Synthesizing low mask error enhancement factor lithography solutions
KR20160131110A (ko) * 2014-03-18 2016-11-15 에이에스엠엘 네델란즈 비.브이. 패턴 배치 에러 인식의 최적화
WO2015158444A1 (en) 2014-04-14 2015-10-22 Asml Netherlands B.V. Flows of optimization for lithographic processes
US9262578B2 (en) * 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US9552964B2 (en) * 2014-06-20 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with a pattern density-outlier-treatment for optimized pattern density uniformity
US10191366B2 (en) 2014-06-25 2019-01-29 Asml Netherlands B.V. Etch variation tolerant optimization
US10310386B2 (en) 2014-07-14 2019-06-04 Asml Netherlands B.V. Optimization of assist features and source
US10228320B1 (en) 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets
US10331039B2 (en) 2014-10-02 2019-06-25 Asml Netherlands B.V. Rule-based deployment of assist features
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
US10409165B2 (en) 2014-12-15 2019-09-10 Asml Netherlands B.V. Optimization based on machine learning
US10372043B2 (en) 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
WO2016096333A1 (en) 2014-12-18 2016-06-23 Asml Netherlands B.V. A lithography model for 3d features
US9405186B1 (en) * 2015-02-23 2016-08-02 GlobalFoundries, Inc. Sample plan creation for optical proximity correction with minimal number of clips
WO2016142169A1 (en) 2015-03-06 2016-09-15 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
US10670973B2 (en) 2015-05-20 2020-06-02 Asml Netherlands B.V. Coloring aware optimization
KR102441582B1 (ko) 2015-07-23 2022-09-07 삼성전자주식회사 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
CN108369412B (zh) * 2015-10-08 2020-10-16 Asml荷兰有限公司 用于控制工业过程的方法和设备
KR20180072768A (ko) * 2015-10-19 2018-06-29 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 오차를 보정하는 장치 및 방법
US9697310B2 (en) * 2015-11-02 2017-07-04 Winbond Electronics Corporation Level faults interception in integrated circuits
US9965901B2 (en) * 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
IL259633B (en) * 2015-12-22 2022-07-01 Asml Netherlands Bv A device and method for characterizing a window process
US9823994B2 (en) 2015-12-22 2017-11-21 International Business Machines Corporation Dynamically identifying performance anti-patterns
US9898572B2 (en) * 2016-02-17 2018-02-20 Globalfoundries Inc. Metal line layout based on line shifting
US10146124B2 (en) * 2016-02-23 2018-12-04 Xtal, Inc. Full chip lithographic mask generation
WO2017178276A1 (en) 2016-04-14 2017-10-19 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
CN107797375B (zh) * 2016-08-31 2020-11-03 中芯国际集成电路制造(上海)有限公司 目标图形的修正方法
WO2018172039A1 (en) * 2017-03-21 2018-09-27 Asml Netherlands B.V. Object identification and comparison
CN107133944B (zh) * 2017-04-27 2020-02-07 上海华虹宏力半导体制造有限公司 用于opc验证的图形分类方法
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
KR102473979B1 (ko) 2017-09-27 2022-12-06 에이에스엠엘 네델란즈 비.브이. 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법
WO2019072703A1 (en) 2017-10-11 2019-04-18 Asml Netherlands B.V. FLOW OF OPTIMIZATION OF PROCESS OF FORMATION OF REASONS
IL275045B2 (en) * 2017-12-04 2024-03-01 Asml Netherlands Bv A measuring method, a patterning device and a method for producing a device
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
WO2019121491A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
CN115877673A (zh) 2017-12-22 2023-03-31 Asml荷兰有限公司 基于缺陷概率的过程窗口
CN108829948A (zh) * 2018-05-30 2018-11-16 中国科学院微电子研究所 坏点库的建立方法和建立系统
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
CN109061999B (zh) * 2018-09-12 2022-03-18 上海华力集成电路制造有限公司 预估潜在热点的方法及增大热点工艺窗口的方法
TW202020577A (zh) * 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
CN113168085A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
KR102656552B1 (ko) 2018-12-28 2024-04-12 에이에스엠엘 네델란즈 비.브이. 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
KR102652685B1 (ko) 2018-12-31 2024-04-01 에이에스엠엘 네델란즈 비.브이. 패턴화 장치의 광학적 특성의 성분의 서브세트의 결정
WO2021115766A1 (en) * 2019-12-13 2021-06-17 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
WO2021165419A1 (en) 2020-02-21 2021-08-26 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
CN111338179B (zh) * 2020-04-17 2021-07-06 中国科学院上海光学精密机械研究所 基于多宽度表征的全芯片光源掩模优化关键图形筛选方法
CN111399336B (zh) * 2020-04-17 2021-07-27 中国科学院上海光学精密机械研究所 基于轮廓表征的全芯片光源掩模优化关键图形筛选方法
CN111624850B (zh) * 2020-06-08 2021-07-27 中国科学院上海光学精密机械研究所 用于全芯片光源掩模优化的关键图形筛选方法
CN116648672A (zh) 2020-12-18 2023-08-25 Asml荷兰有限公司 用于确定掩模图案和训练机器学习模型的方法
CN113514910B (zh) * 2021-04-13 2023-04-18 长江存储科技有限责任公司 衍射光学组件及获取方法、光学系统
US11714951B2 (en) 2021-05-13 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Geometric mask rule check with favorable and unfavorable zones
KR20240011727A (ko) * 2021-05-21 2024-01-26 시놉시스, 인크. 소스 마스크 최적화를 위해 초기 소스 형상들을 선택하기 위한 머신 학습
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
CN113536408B (zh) * 2021-07-01 2022-12-13 华蓝设计(集团)有限公司 基于cad外部参照协同模式的住宅核心筒面积计算方法
KR20240031314A (ko) * 2021-07-13 2024-03-07 에이에스엠엘 네델란즈 비.브이. 소스 마스크 최적화 및 타겟 최적화를 위한 패턴 선택
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
CN113741140B (zh) * 2021-08-27 2024-02-13 中国科学院上海光学精密机械研究所 基于深度优先搜索的全芯片光源掩模优化关键图形筛选方法
WO2023066657A1 (en) 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
WO2023131476A1 (en) * 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
EP4261616A1 (en) * 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
CN115758699B (zh) * 2022-11-09 2023-06-20 武汉宇微光学软件有限公司 面向全芯片光源掩模优化的关键图形快速筛选方法和装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002261004A (ja) * 2001-01-29 2002-09-13 Internatl Business Mach Corp <Ibm> 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法
JP2003178966A (ja) * 2001-08-21 2003-06-27 Asml Masktools Bv 多重可干渉性最適化露出および高透過率減衰psmを利用する、改良したリソグラフィパターニングのための方法
WO2004090952A1 (ja) * 2003-04-09 2004-10-21 Nikon Corporation 露光方法及び装置、並びにデバイス製造方法
JP2004312027A (ja) * 2003-03-31 2004-11-04 Asml Masktools Bv ソースおよびマスクの最適化
US20070050749A1 (en) * 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
JP2007158328A (ja) * 2005-11-30 2007-06-21 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2011100122A (ja) * 2009-10-28 2011-05-19 Asml Netherlands Bv 回折シグネチャ解析に基づく設計レイアウト内の最適なパターンの選択

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP0890136B9 (en) 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US6178533B1 (en) * 1997-06-30 2001-01-23 Sun Microsystems, Inc. Method and system for design verification
US6335130B1 (en) 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
US6670081B2 (en) 2000-06-13 2003-12-30 Asml Masktools Netherlands B.V. Optical proximity correction method utilizing serifs having variable dimensions
DE10228103A1 (de) 2002-06-24 2004-01-15 Bayer Cropscience Ag Fungizide Wirkstoffkombinationen
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
JP2004128108A (ja) * 2002-10-01 2004-04-22 Oki Electric Ind Co Ltd 投影露光装置のアパーチャ形状の最適化方法
SG125109A1 (en) * 2003-01-14 2006-09-29 Asml Masktools Bv Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP4192618B2 (ja) * 2003-02-17 2008-12-10 ソニー株式会社 マスクの補正方法
US20040181768A1 (en) 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
US7010804B2 (en) * 2003-03-20 2006-03-07 Sony Corporation System and method for facilitating TV channel programming
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7342646B2 (en) 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US7669158B2 (en) * 2004-09-30 2010-02-23 Cadence Design Systems, Inc. Method and system for semiconductor design hierarchy analysis and transformation
US20060147821A1 (en) 2004-12-30 2006-07-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102005005591B3 (de) * 2005-02-07 2006-07-20 Infineon Technologies Ag Verfahren zur Optimierung der Geometrie von Strukturelementen eines Musters eines Schaltungsentwurfs für eine Verbesserung der optischen Abbildungseigenschaften und Verwendung des Verfahrens zur Herstellung einer Photomaske
EP1696273B1 (en) 2005-02-23 2008-08-06 ASML MaskTools B.V. Method and apparatus for optimising illumination for full-chip layer
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7374957B2 (en) * 2005-07-11 2008-05-20 Asml Netherlands B.V. Method of calibrating or qualifying a lithographic apparatus or part thereof, and device manufacturing method
US7266803B2 (en) * 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
US7370313B2 (en) * 2005-08-09 2008-05-06 Infineon Technologies Ag Method for optimizing a photolithographic mask
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100902711B1 (ko) 2007-07-20 2009-06-15 주식회사 동부하이텍 반도체 소자의 제조 방법
US8214775B2 (en) * 2007-09-14 2012-07-03 Luminescent Technologies, Inc. System for determining repetitive work units
KR100944347B1 (ko) 2008-01-11 2010-03-02 주식회사 하이닉스반도체 노광 마스크 제작 방법
US8450046B2 (en) * 2008-02-25 2013-05-28 Globalfoundries Singapore Pte. Ltd. Methods for enhancing photolithography patterning
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
JP4594994B2 (ja) * 2008-03-24 2010-12-08 株式会社東芝 マスクパターンデータ生成方法、マスクの製造方法、半導体装置の製造方法及びパターンデータ生成プログラム
US8578313B2 (en) * 2008-04-24 2013-11-05 Synopsys, Inc. Pattern-clip-based hotspot database system for layout verification
US8566754B2 (en) * 2008-04-24 2013-10-22 Synopsys, Inc. Dual-purpose perturbation engine for automatically processing pattern-clip-based manufacturing hotspots
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
JP5629691B2 (ja) * 2008-11-21 2014-11-26 エーエスエムエル ネザーランズ ビー.ブイ. 高速自由形式ソース・マスク同時最適化方法
JP4838866B2 (ja) * 2009-02-10 2011-12-14 キヤノン株式会社 露光パラメータ及びレチクルパターンを決定する決定方法、露光方法及びデバイス製造方法。
WO2010117626A2 (en) * 2009-03-31 2010-10-14 Christophe Pierrat Lithography modelling and applications
US20110047519A1 (en) * 2009-05-11 2011-02-24 Juan Andres Torres Robles Layout Content Analysis for Source Mask Optimization Acceleration
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002261004A (ja) * 2001-01-29 2002-09-13 Internatl Business Mach Corp <Ibm> 照明及びレチクルの最適化により、印刷ラインの形状歪みを最小化するシステム及び方法
JP2003178966A (ja) * 2001-08-21 2003-06-27 Asml Masktools Bv 多重可干渉性最適化露出および高透過率減衰psmを利用する、改良したリソグラフィパターニングのための方法
JP2004312027A (ja) * 2003-03-31 2004-11-04 Asml Masktools Bv ソースおよびマスクの最適化
WO2004090952A1 (ja) * 2003-04-09 2004-10-21 Nikon Corporation 露光方法及び装置、並びにデバイス製造方法
US20070050749A1 (en) * 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
JP2007158328A (ja) * 2005-11-30 2007-06-21 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2011100122A (ja) * 2009-10-28 2011-05-19 Asml Netherlands Bv 回折シグネチャ解析に基づく設計レイアウト内の最適なパターンの選択

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9183324B2 (en) 2009-10-28 2015-11-10 Asml Netherlands B.V. Pattern selection for full-chip source and mask optimization
JP2013509604A (ja) * 2009-10-28 2013-03-14 エーエスエムエル ネザーランズ ビー.ブイ. 光源及びマスクの最適化のためのパターン選択方法
US9934350B2 (en) 2009-10-28 2018-04-03 Asml Netherlands B.V. Pattern selection for full-chip source and mask optimization
JP2013065018A (ja) * 2011-09-16 2013-04-11 Imec 光学リソグラフィでの照明光源形状定義
KR101463100B1 (ko) * 2012-01-10 2014-11-20 에이에스엠엘 네델란즈 비.브이. 확률적 효과들을 감소시키기 위한 소스 마스크 최적화
JP2013195440A (ja) * 2012-03-15 2013-09-30 Canon Inc 生成方法、プログラム及び情報処理装置
US9857676B2 (en) 2013-05-27 2018-01-02 International Business Machines Corporation Method and program product for designing source and mask for lithography
DE112014000486B4 (de) 2013-05-27 2021-08-19 International Business Machines Corporation Verfahren und Programmprodukt zum Entwerfen einer Quelle und einer Maske für die Lithographie
KR20160122217A (ko) * 2014-02-12 2016-10-21 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
US9990451B2 (en) 2014-02-12 2018-06-05 Asml Netherlands B.V. Process window optimizer
KR20180136581A (ko) * 2014-02-12 2018-12-24 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
KR101939288B1 (ko) * 2014-02-12 2019-01-16 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
KR102211093B1 (ko) * 2014-02-12 2021-02-03 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
KR20210014745A (ko) * 2014-02-12 2021-02-09 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
JP2017505460A (ja) * 2014-02-12 2017-02-16 エーエスエムエル ネザーランズ ビー.ブイ. プロセスウィンドウを最適化する方法
US11238189B2 (en) 2014-02-12 2022-02-01 Asml Netherlands B.V. Process window optimizer
KR102359050B1 (ko) * 2014-02-12 2022-02-08 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
KR20220019070A (ko) * 2014-02-12 2022-02-15 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
KR102427139B1 (ko) 2014-02-12 2022-07-29 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
JP2017058435A (ja) * 2015-09-15 2017-03-23 株式会社ニコン 評価方法及び装置、そのプログラム、露光方法及び装置、並びにデバイス製造方法
CN115469512A (zh) * 2022-09-13 2022-12-13 武汉宇微光学软件有限公司 一种光源掩模联合优化中光源校准方法及系统

Also Published As

Publication number Publication date
TW201124871A (en) 2011-07-16
NL2005522A (en) 2011-05-02
TWI435165B (zh) 2014-04-21
KR101800758B1 (ko) 2017-11-23
TWI463245B (zh) 2014-12-01
KR20110046368A (ko) 2011-05-04
US9183324B2 (en) 2015-11-10
KR20120098754A (ko) 2012-09-05
CN102054092A (zh) 2011-05-11
TW201131287A (en) 2011-09-16
US9934350B2 (en) 2018-04-03
US8739082B2 (en) 2014-05-27
NL2005523A (en) 2011-05-02
JP2011100122A (ja) 2011-05-19
TW201142488A (en) 2011-12-01
JP5156075B2 (ja) 2013-03-06
JP2013509604A (ja) 2013-03-14
WO2011051249A1 (en) 2011-05-05
TWI466171B (zh) 2014-12-21
US8543947B2 (en) 2013-09-24
CN102053504B (zh) 2013-01-02
KR101226646B1 (ko) 2013-01-25
US20130311958A1 (en) 2013-11-21
US20110107280A1 (en) 2011-05-05
JP5666609B2 (ja) 2015-02-12
US20160026750A1 (en) 2016-01-28
JP5433550B2 (ja) 2014-03-05
US20110099526A1 (en) 2011-04-28
CN102597872A (zh) 2012-07-18
US20120216156A1 (en) 2012-08-23
US8438508B2 (en) 2013-05-07
CN102053504A (zh) 2011-05-11
CN102054092B (zh) 2014-08-20
CN102597872B (zh) 2014-12-10

Similar Documents

Publication Publication Date Title
JP5156075B2 (ja) フルチップ光源およびマスク最適化のためのパターン選択
US11042687B2 (en) Fast freeform source and mask co-optimization method
US9262579B2 (en) Integration of lithography apparatus and mask optimization process with multiple patterning process
KR102137072B1 (ko) 프로파일 인식 소스-마스크 최적화
TW202401137A (zh) 用於判定遮罩圖案及訓練機器學習模型之非暫時性電腦可讀媒體
KR20180011263A (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
KR101198348B1 (ko) 풀-칩 소스 및 마스크 최적화를 위한 패턴 선택

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120615

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120913

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121207

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151214

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5156075

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250