CN102053504A - 基于衍射标记分析的对设计布局中的优化图案的选择 - Google Patents

基于衍射标记分析的对设计布局中的优化图案的选择 Download PDF

Info

Publication number
CN102053504A
CN102053504A CN2010105297054A CN201010529705A CN102053504A CN 102053504 A CN102053504 A CN 102053504A CN 2010105297054 A CN2010105297054 A CN 2010105297054A CN 201010529705 A CN201010529705 A CN 201010529705A CN 102053504 A CN102053504 A CN 102053504A
Authority
CN
China
Prior art keywords
diffraction
peak
target pattern
pattern
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010105297054A
Other languages
English (en)
Other versions
CN102053504B (zh
Inventor
刘华玉
陈洛祁
陈红
李志潘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN102053504A publication Critical patent/CN102053504A/zh
Application granted granted Critical
Publication of CN102053504B publication Critical patent/CN102053504B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Abstract

本发明是基于衍射标记分析的设计布局中优化图案的选择。本发明大体上涉及基于衍射标记分析选择最优的图案,更具体地,涉及使用最优的图案用于光刻成像的掩模优化。对来自设计布局的初始的较大组的目标图案的多个目标图案的每一个产生相应的衍射图。从多个衍射图中识别衍射标记。多个目标图案被分成多个衍射标记类,在特定的衍射标记类中的目标图案具有类似的衍射标记。选择子组目标图案以覆盖所有可能的衍射标记类,使得子组目标图案表示用于光刻过程的设计布局的至少一部分。多个目标图案的分类步骤可以通过基于类似的衍射标记的预定规则进行控制。预定的规则包括多个衍射标记类之间存在的包含关系。

Description

基于衍射标记分析的对设计布局中的优化图案的选择
技术领域
本发明大体涉及基于衍射标记分析选择优化图案,并且更具体地,涉及使用优化图案用于光刻成像的掩模优化。 
背景技术
例如,可以将光刻设备用在集成电路(IC)的制造中。在这种情况下,掩模可以包含对应于所述IC的单层的电路图案,并且可以将该图案成像到已经涂覆了一层辐射敏感材料(抗蚀剂)的衬底(硅晶片)上的目标部分(例如,包括一个或多个管芯)上。通常,单个晶片将包含相邻目标部分的整个网络,所述相邻目标部分通过投影系统被一次一个地连续辐射。在一种类型的光刻投影设备中,通过将全部掩模图案一次曝光到所述目标部分上来辐射每一目标部分;这样的设备通常称作为晶片步进机。在可选的设备中,通常称为步进-扫描设备,通过沿给定的参考方向(“扫描”方向)在投影束下面逐步扫描掩模图案的同时,沿与该方向平行或反向平行的方向同步地扫描所述衬底台来辐射每一目标部分。因为,通常情况下,投影系统将具有放大因子(magnification factor)M(通常M<1),衬底台扫描的速度V将是掩模台扫描的速度的M倍。 
在使用光刻投影设备的制造过程中,掩模图案被成像到至少部分地由一层辐射敏感材料(抗蚀剂)覆盖的衬底上。在该成像步骤之前,衬底可以经过多种工序,例如涂底料、抗蚀剂涂覆和软烘烤。在曝光之后,衬底可以经过其它工序,例如曝光后烘烤(PEB)、显影、硬烘烤和成像特征的测量/检验。这一系列的工序被用作对器件(例如IC)的单层进行图案化的基础。然后,这样的图案化层可以经过多种处理,例如蚀刻、离子注入(掺杂)、金属化、氧化、化学-机械抛光等,所有这些处理用于完成对一个单层的处理。如果需要几个层,则对于每个新的层必须重复整个工序或 其变体。最后,在衬底(晶片)上将形成器件的阵列。然后,这些器件通过例如划片(dicing)或切割等技术彼此分割开,然后独立的器件可以安装到连接到插脚等的载体上。 
为了简化起见,下文中投影系统可被称为“透镜”;然而,这个术语应该被广义地解释为包括各种类型的投影系统,包括例如折射式光学系统、反射式光学系统和反射折射式系统。辐射系统还可以包括根据用于引导、成形或控制投影辐射束的这些设计类型中的任意类型来操作的部件,并且这些部件在下文中还可以被统称为或单独地称为“透镜”。而且,光刻设备可以是具有两个或更多个衬底台(和/或两个或更多个掩模台)的类型。在这种“多台”的装置中,附加的台可以并行地使用,或者可以在一个或更多个台上执行预备步骤的同时使用一个或更多个其它的台进行曝光。 
上面提及的光刻掩模包括对应于将要被集成到硅晶片上的电路部件的几何图案。用来形成这种掩模的图案使用CAD(计算机辅助设计)程序来生成,这种过程通常被称为EDA(电子设计自动化)。大多数CAD程序依照一系列预定的设计规则以便产生功能化掩模。这些规则通过处理和设计限制来设定。例如,设计规则限定电路器件(例如栅极、电容等)或互连线之间的间隔容许量,使得确保电路器件或线不会彼此以不希望的方式相互作用/影响。通常,设计规则限制被称为“临界尺寸”(CD)。电路的临界尺寸可以被定义成线或孔的最小宽度或两条线或两个孔之间的最小间隔。因此,CD决定所设计的电路的总的尺寸和密度。当然,集成电路制造的目标之一是在晶片上(通过掩模)忠实地复制原始电路设计。 
正如所指出的,微光刻术是半导体集成电路制造中的主要步骤,其中形成在半导体晶片衬底上的图案限定了半导体器件的功能元件,例如微处理器、存储芯片等。类似的光刻技术还用在平板显示器、微电子机械系统(MEMS)和其它器件的制造中。 
随着半导体制造过程持续进步,在电路元件的尺寸持续地减小的同时,每个器件的功能元件(例如晶体管)的数量已经在过去几十年中遵照通常被称作为“摩尔定律”的趋势稳定地增加。在目前的技术状态下,前沿器件的关键层使用已知如扫描器的光学光刻投影系统进行制造,其使用 来自深紫外激光光源的照射将掩模图像投影到衬底上,产生具有100nm以下尺寸,也就是小于投影光波长一半的独立的电路特征。 
依照分辨率公式CD=k1×λ/NA,这种印刷具有小于光投影系统经典分辨率极限的尺寸的特征的过程通常被称为低-k1(low-k1)光刻术,其中λ是所采用的辐射的波长(目前大多数情况是248nm或193nm),NA是投影光学装置的数值孔径,CD是“临界尺寸”(通常是所印刷的最小特征尺寸),以及k1是经验分辨率因子。通常,k1越小,越难以在晶片上复制与电路设计者设计的形状和尺寸相符的图案以获得特定的电功能性和性能。为了克服这些困难,对投影系统和掩模设计实施复杂的精细的微调步骤。这些步骤包括(例如)但不限于NA和光学相干性设置的优化、定制照射方案、使用相移掩模、掩模布局中的光学邻近效应校正,或其它通常称为“分辨率增强技术”(RET)的方法。 
作为一个重要的示例,光学邻近效应校正(OPC,有时称为“光学和过程校正”)解决晶片上所印刷的特征的最终尺寸和位置将不仅仅是掩模上对应的特征的尺寸和位置的函数的问题。应该注意的是,这里术语“掩模”和“掩模版”可以互换使用。因为在通常的电路设计上具有小的特征尺寸和高的特征密度,所以给定特征的特定边缘的位置将一定程度上受到存在或不存在其它邻近特征的影响。这些邻近效应源自一个特征和另一特征的微小量的光耦合。类似地,邻近效应可以源自曝光后烘烤(PEB)、抗蚀剂显影以及通常紧随光刻曝光后的蚀刻期间的扩散和其它化学效应。 
为了确保依照给定目标电路设计的需要在半导体衬底上形成特征,在成功地制造高端器件之前,需要使用复杂的数值模型预测邻近效应,和需要将校正或预变形应用到掩模的设计。在一般的高端设计中,几乎每个特征边缘都需要一些修正以便获得充分接近目标设计的印刷图案。这些修正可以包括边缘位置或线宽的平移或偏移,以及应用并不为了印刷本身但将会影响所关联的主要特征的性质的“辅助”特征。 
对于低k1光刻技术,需要源和掩模同时优化(即源和掩模优化或SMO)以确保可行的过程窗口用于印刷临界图案。如果优化掩模的设计布局,则可以实现减少SMO的总执行时间。通过智能地从掩模设计布局选择代表性图案而需要掩模优化,使得所有的临界掩模特征充分地在SMO 处理中表示。 
发明内容
本发明涉及基于衍射标记分析的智能图案选择,更具体地,涉及在光刻设备和过程中的智能图案选择的应用。智能选择的代表性组的目标图案可以可选地用于优化光刻过程中使用的照射源和/或投影光学元件。然而,本发明的范围不限于光刻过程优化。本发明的实施例可应用于子组目标图案需要从由设计布局产生的较大组的目标图案中选择、使得设计布局中的目标图案的所有临界特征都被充分地由子组目标图案表示的任何情形。 
在本发明的一个方面,公开一种从设计布局中选择子组目标图案的方法,所述方法包括步骤:为来自所述设计布局的初始的较大组的目标图案中的多个目标图案产生相应的衍射图;从来自初始的较大组的目标图案中的所述多个目标图案的不同衍射图中识别衍射标记;将来自初始的较大组的目标图案中的所述多个目标图案分成多个衍射标记类,在特定的衍射标记类中的目标图案具有类似的衍射标记;和选择子组目标图案以包含预定数量的衍射标记类,使得所述子组目标图案表示光刻过程的所述设计布局的至少一部分。在本发明的一个实施例中,衍射标记类的预定数量包括所有可能的衍射标记类。多个目标图案的分类步骤可以基于衍射标记的相似性、通过预定规则来控制或管理。预定规则包括在多个衍射标记类之间存在的包含关系。 
在本发明的另一方面中,公开一种选择设计布局中的代表性组的目标图案的方法,所述方法包括步骤:对设计布局中的初始的较大组的目标图案的每一个产生相应的衍射图;识别在每个衍射图中的峰;存储每个衍射图中的所识别的峰的一个或更多个特征参数;分析所存储的所识别的峰的特征参数以产生衍射标记类的列表,每个衍射标记类具有一个或更多个相应的基向量;检查来自初始的较大组的目标图案的多个衍射图的多个衍射标记之间的包含关系,其中所述包含关系由预定规则控制或管理;识别目标图案的最后子组,其衍射标记类充分地包含来自全部衍射图的所有可能的衍射标记类;和选择目标图案的最后子组以包含在代表性组的目标图案中,使得目标图案的最后子组表示光刻过程的设计布局的至少一部分。 
在本发明的还一方面中,公开一种计算机程序产品,包括:计算机可读介质,所述计算机可读介质具有记录其中的指令,当执行所述指令时,计算机可读介质使得计算机执行上述的图案选择方法。 
本发明这些和其他实施例、特征和有益效果根据下面的描述和图示对本领域技术人员来说将会是清楚的。 
附图说明
现在参照随附的示意性附图,仅以举例的方式,描述本发明的实施例,其中,在附图中相应的附图标记表示相应的部件,且其中: 
图1A是示出通常的光刻投影系统的示例性方框图。 
图1B是示出光刻模拟模型的功能模块的示例性方框图。 
图2-4示出根据本发明的实施例的多个示例性方法。 
图5-8示出根据本发明的实施例的多个目标图案和相应的衍射图。 
图9A-9B示出使用示例性的实施例的总的图案选择原理。 
图10和图11分别示出根据本发明的示例性实施例的用于一维和二维图案的基于衍射标记的分类。 
图12A-C示出根据本发明的实施例的半孤立目标图案的衍射标记的示例。 
图13A-C和14示出根据本发明的实施例的离散的和连续峰的包含关系的示例。 
图15示出根据本发明的一个实施例的应用作为SMO量测工艺流程的一部分的图案选择器模块的实施例。 
图16A-16B示出来自图15中的图案选择器模块的用户界面的屏幕截图。 
图17示出由本发明的图案选择法则得到的总的光刻性能和SMO运行时间的改善。 
图18是可以辅助实施本发明的模拟方法的计算机系统的方框图。 
图19示意地示出适于应用本发明的方法的光刻投影设备。 
具体实施方式
下面将参照附图详细描述本发明,附图给出本发明示例性的示例以便本领域技术人员能够实施本发明。特别地,下面的附图和示例并不是为了将本发明的范围限制到单个的实施方式,相反,通过交换所述的或所示出的元件的部分或全部可以有其他的实施方式。而且,在使用已知的部件可以部分地或完全地实施本发明的特定的元件的情形中,对于这些已知部件,仅描述对理解本发明必要的那些部分,对这些已知的部件的其他部分的详细描述将被省略以不会使本发明变得不清楚。如果没有特别指出,描述为在软件中执行的实施例应该不限于此,而是可以包括在硬件或软件和硬件的结合中应用的实施例,反之亦然,这对本领域技术人员是显而易见的。如果没有特别指出,在本说明书中,示出单个部件的实施例不应看成是限制,相反,本发明应该包含包括多个相同部件的其他实施例,反之亦然。此外,如果没有明确地指出,申请人不会将在说明书或权利要求中的任何术语用于不普遍的或特定的意义。此外,本发明包含这里通过示例或描述提到的与已知的部件等同的目前的以及将来的已知等价物。 
虽然在本文中详述了将本发明光刻设备用于制造ICs(集成电路),但是应该明确地理解到本发明可以在制造具有微米尺度、甚至纳米尺度的特征的器件方面有其它的应用。例如,本发明可以用于制造集成光学系统、磁畴存储器的引导和检测图案、液晶显示面板、薄膜磁头等。本领域技术人员应该认识到,在这种替代应用的情况中,可以将本文使用的任何术语“掩模版”、“晶片”或“管芯”分别认为是可以由更上位的术语“掩模”、“衬底”或“目标部分”替换。 
在本文中,术语“辐射”和“束”用于包含全部类型的电磁辐射,包括:紫外辐射(例如具有约365、248、193、157或126nm的波长)和EUV(极紫外辐射,例如具有5-20nm范围的波长)。 
本文中采用的术语“掩模”可以广义地解释为可以用于将与将要在衬底的目标部分上形成的图案相对应的图案化横截面赋予入射的辐射束的一般性图案形成装置;术语“光阀”也可以用在本文中。除了传统的掩模(透射型的或反射型的;二元型的、相移型、混合型的掩模等),其它这样的图案形成装置的示例包括: 
●可编程反射镜阵列。这种装置的一个示例是具有粘弹性 (viscoelastic)控制层和反射表面的矩阵可寻址表面。这种装置所依据的基本原理在于(例如)反射表面的寻址区域将入射光反射成衍射光,而非寻址区域将入射光反射成非衍射光。使用适当的滤光片,从反射束中过滤掉所述非衍射光,仅留下衍射光;以这种方式,辐射束根据所述矩阵可寻址表面的所述寻址图案被图案化。所需的矩阵寻址可以使用合适的电子装置来执行。有关这种反射镜阵列的更多信息可以(例如)从美国专利US 5,296,891和US 5,523,193中收集到,这里以参考的方式将其内容并入本文。 
●可编程LCD阵列。 
应用本发明的示例性实施例的光刻系统中的通常的环境 
在讨论本发明之前,先简要地介绍总体的设计和成像过程。图1A示出示例性的光刻投影系统10。主要部件包括光源12,其可以是深紫外准分子激光器源;照射光学元件,其限定部分相干性(表示为σ)并且可以包括具体的源成形光学元件14、16a和16b;掩模或掩模版18;和投影光学元件16c,其在晶片平面22上制造所述掩模版图案的图像。在光瞳平面处的可调节的滤光片或孔20可以限制照射到晶片平面22上的束角度的范围,其中最大的可能角度限定所述投影光学元件的数值孔径NA=sin(Θmax)。 
在光刻模拟系统中,这些主要系统部件可以通过如图1B中的示例示出的分离的功能模块进行描述。参照图1B,功能模块包括设计布局模块26,其限定目标设计;掩模布局模块28,其限定将要用于成像过程的掩模;掩模模型模块30,其限定将要在模拟过程中应用的掩模布局的模型;光学模型模块32,其限定光刻系统的光学部件的性能;以及抗蚀剂模型模块34,其限定在给定过程中使用的抗蚀剂的性能。正如所知的,模拟过程的结果形成例如最终模块36中的预测的轮廓和CD。 
更具体地,要注意的是,照射和投影光学元件的特性在光学模型32中被获取,光学模型32中包括但不限于NA-西格马(σ)设定以及任何特定的照射源形状(例如,离轴光源,诸如环形、四极和两极等)。涂覆在衬底上的光致抗蚀剂层的光学特性(也就是折射率、薄膜厚度、传播和偏振效应)也可以作为光学模型32的一部分被获取。掩模模型30获取掩模版 的设计特征并且还可以包括掩模的详细物理特性的表示。最后,抗蚀剂模型34描述在抗蚀剂曝光、PEB以及显影期间发生的化学过程的效果,以便预测例如形成在衬底晶片上的抗蚀剂特征的轮廓。模拟的目的是精确地预测例如边缘位置、CD等,这些可以随后与目标设计进行比较。通常,目标设计被限定为预OPC掩模布局,并且通常以例如GDSII或OASIS等标准数字文件格式提供。 
本发明的示例性方法 
在通常的高端设计中,几乎每个特征边缘需要一些修改,以便实现印刷的图案充分接近目标图案。这些修正可以包括移动或偏移边缘位置或线宽以及应用不是为了印刷其本身、但将影响相关主要特征的性质的“辅助”特征。此外,应用于照射源的优化技术对不同的边缘和特征产生不同的影响。照射源的优化可以包括使用光瞳限制源照射为选择的光的图案。本发明提供优化方法,其可以应用到源和掩模结构两者。 
源-掩模优化(SMO)是共同优化掩模设计布局和照射源用于在衬底上产生高保真图像的工艺。通常,SMO方法瞄准的是实现整个芯片图案覆盖,同时通过智能地从在SMO中使用的全组片段中选择小的临界设计图案组来降低计算成本。仅对这些选择的图案实施SMO以获得优化源。然后,对整个芯片使用优化源来优化掩模(例如使用OPC和LMC),并且比较这些结果。如果这些结果与常规的整个芯片SMO是可比的,则终止工艺,或者提供其他不同的方法以迭代收敛到好的结果。 
本发明提供用于从较大组的目标图案选择较小的代表性组的目标图案的技术,其中代表性组的目标图案充分地、优选地代表整个设计布局的所有临界特征。较大组的目标图案可以包括掩模的整个设计布局,或基本上设计布局的大部分。虽然本发明的实施例特别适于SMO,但是本领域技术人员将理解,图案选择法则普遍可应用到需要通过从设计布局中智能地选择的较小组的目标图案来充分地表示较大的设计布局的任何情形。实际上,这里描述的基于衍射级的图案选择方法的一些优点在于:不需要起始条件(例如起始照射源可以是一致的照射,而不是具有调整过的偏振分布的优化照射源),不需要抗蚀剂模型,以及不需要OPC模型或亚分辨 率辅助特征(sub-resolution assist feature)(SRAF)。仅需要目标图案,因而它是与过程无关的。 
代表性组的目标图案可以包括用户选择的手动挑选的片段,和图案选择法则选择的自动挑选的片段。例如,固定片段,其通常是已经知道最高的线/间隔特征密度的片段,可以通过用户手动地选择为总是为代表性组的一部分。 
代表性组的目标图案可以用于优化用在光刻过程中的照射源。优化照射源可以包括调整入射到掩模上的辐射束的偏振分布。代表性组的目标图案还可以用于优化用于光刻过程中的投影光学系统。优化投影光学系统可以包括在辐射束通过掩模之后操纵光瞳平面处辐射束的波前。在这一点上,重要的是提到,优化的投影光学元件不必要求优化的投影光学系统不受像差影响或具有对这种特定的投影光学系统是可能的最低的可能像差水平。投影光学元件的优化更倾向于表示调整或优化投影光学元件,使得它们表示对应使用这些投影光学元件成像的目标图案的最佳成像设置。同样,优化的投影光学元件可以包括残余像差或甚至可以被调整离开在这种优化的投影光学元件有益于成像目标图案时最低的可能的像差状态。为了确定投影光学元件对于特定的目标图案是否是最优的,可以使用用于成像目标图案的光刻性能参数。这些光刻性能参数可以包括但不限于焦深、过程窗口的尺寸、掩模误差增强因子、临界尺寸一致性、边缘布置误差以及(标准化的)图像对数斜率(Image Log Slope)。 
光刻过程将要被优化的目标设计布局(通常包括例如OASIS、GDSII等的标准数字格式的布局)可以包括存储、测试图案以及逻辑。从该设计布局,识别或确定初始的较大组的目标图案(片段)。在本发明的特定实施例中,提取全组片段,这表示设计布局中的所有的复杂的图案(通常是大约50到1000个片段,但是可以使用任何数量的片段)。正如本领域技术人员认识到的,这些图案或片段表示所述设计的小的部分(即电路、单元或图案),并且特别地,片段表示需要特别注意和/或验证的小的部分。 
基于设计布局中的需要特定图像优化的已知的临界特征区域,可以先验地由用户提供初始的较大组的片段。替换地,在本发明的另一实施例中, 通过使用某些类型的识别临界特征区域的自动的(例如机器视觉)或手动的法则从整个设计布局中提取初始的较大组的片段。 
较大组的图案可以例如包括不同的图案类型,例如栅极图案或逻辑图案,或可以例如包括具有特定取向的图案。较大组的图案可以例如还包括具有特定复杂度水平的图案或在光刻处理期间需要特别注意和/或验证的图案(例如遵循设计规则的特定的测试结构(诸如一维贯穿节距、交错贯穿节距)、普遍使用的设计构造或基元(primitives)(例如弯管状、T形、H形)、类似存储单元的重复地使用的布局结构(例如砖墙)、存储外围结构(例如至存储单元的钩形))以及具有以前一代产品中已知的成像问题的图案等。较大组的图案可以例如还包括具有预定的过程窗口性能的图案,或例如包括具有对图案的过程参数变化的敏感度的图案。 
从初始的较大组的片段选择小的子组图案或片段(例如15到50个片段,但是可以使用任何数量)。正如下面更详细地描述,子组图案或片段的选择优选地执行为使得所选择的图案的过程窗口尽可能接近地匹配较大组的临界图案的过程窗口。还可以通过在结合的图案选择以及随后的SMO处理中总的周转(换向)(turn-around)时间或运行时间的减少来测量所述选择的有效性。 
图2中的流程图200是示例性的流程图,其示出根据本发明的一个实施例的基于衍射的图案选择的方法的一些关键步骤。 
在步骤202中,从多个目标图案中的每一个产生对应的衍射图。多个目标图案中的每一个可以包括在对应的片段中。所述片段构成前面所述的初始的较大组的目标图案。片段可以由用户提供,或可以根据图案选择方法的前面的步骤从设计布局中提取。 
在步骤204中,从不同的衍射图识别目标图案的特征衍射标记。 
在步骤206中,所述多个目标图案被分成不同的衍射标记类(grouping),特定衍射标记类(group)中的目标图案具有类似的衍射标记。在频域内或在空间域内完成衍射标记分类。 
在步骤208,选择目标图案或片段的包含所有可能的衍射标记类的子组,使得在设计优化过程(包括但不限于用于光刻图像转移过程流程中的SMO过程)中充分地表示至少一部分设计布局(或整个设计布局)。 
图3中的流程图300是另一示例性流程图,其更详细地示出根据本发明的一个实施例的基于衍射的图案选择的方法的步骤。 
在步骤302中,获得初始的较大组的目标图案(用户提供的,或从设计布局中提取的)。 
在步骤304中,为目标图案的每一个产生衍射图。 
在步骤306中,识别在每个衍射图中的所有峰。通常,从衍射图中去除零级峰。在一些情形中,那些振幅低于特定的预定阈值范围的峰被去掉以简化图案选择法则,因为它们对图像优化的贡献或影响不显著。 
在步骤308中,形成衍射标记类的列表。衍射标记类可以基于在空间域或频域中的衍射级绘图。每个衍射标记类具有一个或更多个基向量,下面将进行解释。通过分析在步骤306中识别的峰的特征参数来完成衍射标记的分类。峰的特征参数的示例包括但不限于每个峰的位置、每个峰的宽度、每个峰的振幅、每个峰的谐波指数、每个峰与一个和更多个相邻峰的距离,等等。此外,可以存储附加的特征参数并且可以例如包括产生所识别的峰的光位于例如光瞳平面处的相位,或不同的峰之间的相差。这种相(位)的信息可以例如用以选择可以代表优化或改善在辐射束通过掩模之后的光瞳平面上的辐射束的波前的代表性组的目标图案。 
在步骤310中,检查来自不同的衍射图的不同衍射标记类之间存在的包含关系。通过将在后面介绍的预定组规则控制或管理包含关系。 
在步骤312中,识别子组目标图案(或片段),其衍射标记类覆盖来自由不同目标图案产生的不同衍射图(即,来自初始的较大组的片段)的所有可能的衍射标记类。 
在步骤314中,子组目标图案被包括在充分表示整个设计布局或设计布局的至少一部分的代表性组的目标图案中。代表性组的目标图案也可以包括用户选择的目标图案。例如,当用户已经认识到特定的片段(或特定组的片段)具有应该用于SMO优化的目标图案(例如具有高密度线/间隔图案的固定片段),则该片段总是被包含在代表性组中。 
虽然本发明不限于光刻优化过程,但是图案选择法则的结果通常被用于优化光刻过程,例如SMO,如在可选的最后的步骤316中示出的。 
在图4中的流程图400示出了示例性的流程图,其示出基于衍射标记的分类的步骤,如流程图300中的步骤308所描述的。流程图400示出在形成这里称为衍射级曲线的衍射图、零级峰已经被去除以及其他峰已经被识别之后的步骤。可以产生列表,称为“峰_列表”,以记录每个峰的位置(x,y)、沿x和y方向的宽度(sx,sy)以及振幅(h)。 
在步骤402,识别衍射级曲线的最强峰,v=(x,y,sx,sy,h)。 
在步骤404,为每个与一维图案对应的共线的峰构造相应的一维基向量。 
在步骤406,为由二维图案产生的每对非共线峰构造相应的二维基向量。例如,构造对于峰对(v1,v2)的两个基向量。 
在步骤408,从峰_列表中去除最强峰“v”的所有谐波。例如,对于共线峰,去除在所有整数“n”的(nx,ny)处的峰。类似地,对于非共线峰对(v1,v2),去除所有整数对的形式为“n1v1+n2v2”的峰。 
这些步骤可以重复,直到峰_列表是空的,并且所有的一维和二维基向量被移置至列表,其可以称为“基_列表”。 
在步骤410,用包括在基_列表中的不重复的一维和二维基向量填充衍射标记类的列表。此时已经为随后检查包含关系准备好衍射标记类。 
本领域技术人员将会认识到,在图2-4中示出的方法仅示出示例性的步骤。并不是在每个实施例中需要包括所有的步骤,并且根据应用可以在所述方法中包括附加的中间/终端步骤。步骤的次序可以替换。 
图5-8示出根据本发明的实施例的不同目标图案和相应的衍射图的示例。图5A示出目标图案或片段502,其包括矩形特征的交错的二维排列。在图5B中示出了片段502的衍射图504。衍射图504的峰(围绕中间点的能量分布)被图示为亮的局部矩形区域。通常,对于图案选择法则仅考虑其振幅高于特定阈值的峰。此外,在中心处的零级峰被去除以简化图案选择法则。 
图6A示出包括矩形特征的规则的二维排列的目标图案或片段602。片段602的衍射图604在图6B中示出。 
图7A示出包括矩形特征的一维排列的目标图案或片段702。图7B示出片段702的衍射图704。要注意的是,衍射图704中的峰沿平行于y轴线的相应的线彼此重叠。 
图8A示出包括有限长度的线的一维排列的目标图案或片段802。图8B中示出了片段802的衍射图804。 
图9A-9B使用示例实施例示出图案选择的总的原理。902和904分别是由两个片段,即片段1和片段2获得的衍射图。片段1是具有240nm节距的特征的一维排列。片段2是具有80nm节距的特征的一维排列。这些具体的尺寸仅是非限制的图示用途。应该清楚,片段2充分覆盖片段1的衍射标记,因而不需要同时选择片段1和片段2。选择片段2对SMO和其他用途是足够的。衍射图902和904是对称的,因而在衍射标记分类图920(对应片段1)和930(对应片段2)中仅示出了衍射级分类的一半。从每个衍射图902和904的中心去除零级峰。 
图920中的线908、910以及912指的是片段1的第一、第二以及第三衍射级峰的振幅和位置。图930中的线914指的是片段2的第一衍射级峰的振幅和位置。这些图是在频域内的。因而,片段2的第一级峰914具有较片段1的第一级峰908高的频率(沿x轴较远),这是由于片段2的较密集的节距。三个所识别的峰908、910以及912被分类在一起作为类A。唯一的基向量918表示类A中的所有三个峰908、910以及912。类B仅包括识别的来自片段2的峰914。唯一的基向量922表示类B中的峰914。 
在图9B中,示出由于片段1的峰912和片段2的峰914的重叠,存在类A和类B之间的包含关系。包含峰912和914的虚线示出重叠的存在。由于重叠,类B(具有较高的基本频率)覆盖类A(具有较低的基本频率)。因而,通过仅选择片段2,表示片段1和片段2的目标图案特征的特征。 
图10和图11分别示出根据本发明的示例性实施例的基于衍射标记的对一维和二维图案的分类。要注意的是,虽然在图9A-9B中的示例示出表示整个的相应的片段的单个衍射标记类,在单个片段中甚至可以存在多个衍射标记类。例如,在图10中,示出一维的目标图案1000,其具有两个不同的节距,P1和P2,如图所示。当衍射图由图案1000产生,并且衍射 峰在频域内绘图,得到图1002。相对于中线1004对称定位的峰1006和1012具有最高的振幅。相对于中线1004对称定位的峰1008和1014具有下一个最高的振幅。相对于中线1004对称定位的峰1010和1016具有最低的振幅。如图10所示,类B对应密集的节距P1,具有基向量1020,类A对应相对疏松的节距P2,具有基向量1022。峰1006(和1012)具有最高的振幅,因为在该位置(即在该频率值),类B的第一级峰和类A的第二级峰叠加。 
在图11中,示出二维目标图案1100,其沿x和y方向具有一致的节距P,如图所示。沿两个方向不同的节距,甚至沿一个方向的多个节距也是可以容许的,因为本发明的范围不限制到任何特定类型的目标图案。当衍射图由图案1100产生,并且在频域内绘图衍射峰,则得到二维图1120。1102、1104、1106以及1108是最大振幅峰的位置。1110、1112、1114以及1116是下一个最大振幅峰的位置。衍射标记分类由分成四类,类1、类2、类3和类4的最大振幅峰开始,如在图11的左下的具有虚轮廓线的图所示。相邻的较低振幅峰(除了下一个最大振幅峰)被包含在包括最靠近最大振幅峰的相应的类中。如图11中的右下图所示,下一个最大振幅峰的基向量是两个相邻的最大振幅类基向量的基向量的向量和。在虚线圆圈(类5)内的所有峰,包括下一个最大振幅峰,具有用v=nxv1+nyv2表示的基向量,其中nx和ny是整数。在虚线圆圈内的峰的级用(nx+ny)表示,因而它们被看成较高级的谐波,而不是第一级峰。这些峰被分类在一起。 
图12A-C示出根据本发明的一个实施例的半孤立的目标图案的衍射标记的示例。如图12A所示,目标图案1200包括半孤立的特征1202。图例(cutline)1206被放置成覆盖目标图案1200的密集间隔的部分1204以及半孤立部分1202。从衍射图峰的频域绘图得到衍射图1250。这里的显著的特征是存在连续的峰而不是前面的示例中示出的离散的峰。换句话说,每个连续峰具有有限的峰宽,即围绕中心点的特定的能量分布。x和y轴是衍射级峰的归一化的频率和振幅,为任意单位。小的峰1220是有限的单元窗口尺寸的假象,不认为是分离的衍射峰。包络线1210表示零级连续峰,并且被舍弃。包络线1212、1214、1216以及1218表示较高级(比零级高)连续峰。在对称线1208的另一侧还存在类似的连续峰,但是在 图12B中没有详细示出。图12C示出从图1250得到的最后的分类结果1260,其中线段表示由半孤立的目标图案1200产生的连续峰。 
图13A-C和14示出根据本发明的实施例的离散的和连续峰的包含关系。正如上面讨论的,规则组被用于检查离散的和连续的峰之间存在的包含关系。对于每个峰,诸如峰的位置、宽度(连续峰)以及峰的振幅等特征参数被存储。对存储的数据应用规则组。预定的容许量值被包含在规则组(rule set)中。在振幅值阈值范围外的峰被舍弃。在源光瞳平面中阈值径向范围外的峰不被考虑。包含在规则组中的部分规则如下: 
-当“v”与“u”之间的距离小于峰的平均宽度加上预定的容许量,峰“v”被认为与另一峰“u”重叠(例如见图13A,其中来自类1的峰1302和来自类2的峰1304具有包含关系,因为距离1306小于容许量值); 
-当且仅当存在整数“n”使得“v1”与“n*u1”重叠,一维衍射标记类“v1”被认为由另一一维衍射标记类“u1”包含; 
-当且仅当存在一对整数(n1,n2)使得“v1”与“n1*u1+n2*u2”重叠,并且类似地,存在一对整数(m1,m2)使得“v2”与“m1*u1+m2*u2”重叠,则二维衍射标记类(v1,v2)被认为由另一二维衍射标记类(u1,u2)包含; 
-一维衍射标记类不覆盖二维衍射标记类; 
-离散峰可以包含连续峰(例如如图13B所示,当间隔<宽度/2+容许量,类2的具有宽度1312的连续缝1310被类1的离散峰1308覆盖,其间具有间隔距离1314); 
-较低级峰覆盖较高级峰,但是反过来并不是这样。换句话说,具有较高的基本频率的类覆盖具有较低基本频率的类。 
图13C示出另一示例,其具有属于两个不同类的两个连续峰1318和1329。连续峰1318的峰宽1322是宽度1,连续峰1320的峰宽1324是宽度2。峰的中心线之间的间隔是1326。当间隔<[(宽度1+宽度2)/2+容许量],两个峰被认为是重叠的。两个重叠的峰被认为具有包含关系。 
图14示出基于规则的包含关系的研究示例,其中在衍射图中存在离散峰和连续峰。这里假定,所有连续峰是第一级峰。如图14所示,类A与类D是相同级。因而,类D由类A覆盖,并且仅选择具有类A的片段。 类E不与任何其他类重叠。因而,类E不被来自任何其他片段的任何其他类覆盖。因而,必须选择类E(和包含类E的片段)。类B与类C重叠。类C是第一级。类C与类B的第三级重叠。因而,类B不被类C包含。但是,类B被类A包含。类C是孤立的,并且不被任何类包含或覆盖。因而,必须选择类C(和包含类C的片段)。最后的结果是,选择类A、C以及E(以及相应的片段)。 
作为普遍的规则,当在不同选择的选择方案之间存在简并性(degeneracy),图案选择法则将基于重叠的衍射级之间的差异找到提供最佳包含方案的选择。当距离是相同的,例如两个具有相同节距但是不同临界尺寸(CD)的图案,将选择具有较小片段系数的片段。 
上面讨论的图案选择法则可以应用到可以是光刻操作中SMO工艺流程的一部分的图案选择器模块。图15示出根据本发明的一个实施例的应用为SMO量测或度量工艺流程的阶段的图案选择器模块的实施例1500。在方框1502中,初始的较大组的图案(候选的组)被选择。固定片段总是被选择。在方框1504中,用户具有手动输入更多的片段到初始组中的选择。然后,在方框1506中运行或执行图案选择法则。在方框1508中获得法则的输出。图案的子组从初始的较大组中选择。在方框1510中,使用选定的图案子组执行SMO。在方框1512中,可选地,对来自SMO输出的所有的或选定的片段运行掩模优化法则。 
图16A-16B示出来自图15的图案选择器模块的用户界面的屏幕截图。图16A示出运行图案选择法则之前的任务设定界面(方框1502和1504),图16B示出运行图案选择法则之后的图案检查界面(方框1508)。方框1510是在随后的SMO量测窗口检查选定的片段。 
图17示出由本发明的图案选择法则带来的SMO运行时间和总体光刻性能的改进。 
图17示出对于上述的图案选择方法(使用由图案选择器模块从50个片段的较大组自动选择的12个选定的图案)与对于传统的全芯片SMO方法(使用全部50个片段)以及记录的基准过程(baseline process of record)(使用2个已知的片段)的光刻性能的对比结果。正如看到的,图案选择 方法复制了全芯片SMO方法的原始的光刻性能(即没有损害过程窗口),如在5%的固定曝光宽容度处的焦深(DOF)曲线1700所示。 
图17还示出对比上述的图案选择方法与传统的全芯片SMO方法和记录的基准过程(baseline process of record)的处理运行时间性能的图。可以看到,图案选择方法显著地缩短了SMO运行时间。 
应用本发明的实施例的计算机系统的描述 
图18是示出能辅助实施这里公开的优化方法和流程的计算机系统100的方框图。计算机系统100包括用于通信信息的总线102或其它通信机构,以及与总线102耦合的用于处理信息的处理器104。计算机系统100还包括耦合至总线102用于存储将由处理器104执行的指令和信息的主存储器106,例如随机存取存储器(RAM)或其它动态存储装置。主存储器106也可用来存储在将由处理器104执行的指令的执行期间的临时变量或其它中间信息。计算机系统100还包括用来存储用于处理器104的静态指令和静态信息的耦合至总线102的只读存储器(ROM)108或其它静态存储装置。提供存储装置110,如磁盘或光盘,并将其耦合至总线102用来存储信息和指令。 
计算机系统100可通过总线102耦合至显示器112,例如用来显示信息给计算机用户的阴极射线管(CRT)或平板或触摸板显示器。包括字母数字键和其它键的输入装置114耦合至总线102,用于对处理器104进行信息和命令选择通信。另一种类型的用户输入装置是光标控制器116(如鼠标、轨迹球或光标方向键),用于与处理器104进行方向信息和命令选择通信并用于控制光标在显示器112上的移动。这种输入装置通常在两个轴线(第一轴线(如x)和第二轴线(如y))上具有两个自由度,这允许所述装置指定平面上的位置。触摸板(显示屏)显示器也可用作输入装置。 
根据本发明的一个实施例,可响应于用于执行包含在主存储器106中的一个或多个指令的一个或多个序列的处理器104,由计算机系统100执行优化过程的一部分。这样的指令可从另一计算机可读介质(如存储装置110)读入到主存储器106中。包含在主存储器106中的指令序列的执行使得处理器104执行这里所述的过程步骤。还可以采用多处理布置中的一 个或多个处理器以执行包含在主存储器106中的指令序列。在替换的实施例中,硬连线(hardwired)电路可代替软件指令或与软件指令结合使用以实施本发明。因此,本发明的实施例并不限于任何特定的硬连接电路和软件的组合。 
这里使用的术语“计算机可读介质”涉及能参与向处理器104提供指令用于执行的任何介质。这样的介质可采用多种形式,包括但不限于,非易失性介质、易失性介质和传输介质。非易失性介质包括例如光盘或磁盘,如存储装置110。易失性介质包括动态存储器,例如主存储器106。传输介质包括同轴电缆、铜线和光纤,包括包含总线102的导线。传输介质也可采用声学或光波形式,诸如在射频(RF)和红外(IR)数据通信期间产生的那些波。计算机可读介质的一般形式包括例如软盘、软碟、硬盘、磁带,任何其它磁性介质,CD-ROM、DVD,任何其它光介质,穿孔卡片、纸带,任何其它具有孔图案的物理介质,RAM、PROM和EPROM、FLASH-EPROM,任何其它存储器芯片或卡带,如下文所描述的载波,或其它任何计算机可读取的介质。 
计算机可读介质的各种形式可以涉及将一个或多个指令中的一个或多个序列传送至处理器104以便执行。例如,指令可能最初存在于远程计算机的磁盘上。远程计算机可将指令加载到其动态存储器中并采用调制解调器经由电话线发送指令。位于计算机系统100本地的调制解调器可接收电话线上的数据并利用红外发送器将数据转换成红外信号。耦合到总线102的红外检测器可接收加载在红外信号中的数据并将数据置于总线102上。总线102将数据传送到主存储器106,其中处理器104从主存储器106中获取并执行指令。被主存储器106接收的指令在其被处理器104执行之前或之后可选择地存储在存储装置110上。 
优选地,计算机系统100还包括耦合到总线102的通信接口118。通信接口118提供耦合至连接到本地网络122的网络链接120的双向数据通信。例如,通信接口118可以是用以提供至相应类型电话线的数据通信连接的综合服务数字网(ISDN)卡或调制解调器。作为另一示例,通信接口118可以是用以提供至可兼容的LAN的数据通信连接的局域网(LAN)卡。也可 采用无线链接。在任何这样的实施方式中,通信接口118发送并接收携带表示各种类型信息的数字数据流的电学的、电磁的或光学的信号。 
网络链接120通常通过一个或多个网络向其它数据装置提供数据通信。例如,网络链接120可提供通过本地网络122到主机124的连接或到由互联网服务提供商(ISP)126运行的数据设备的连接。ISP 126则反过来通过如今通常称为“互联网”128的全球分组数据通信网络提供数据通信服务。本地网络122和互联网128都采用携带数字数据流的电学的、电磁的或光学的信号。通过各种网络的信号和网络链接120上的并通过通信接口118的信号是传输信息的载波的示例性形式,其中通信接口118向计算机系统100加载数字数据和从计算机系统100获取数字数据。 
计算机系统100可通过网络、网络链接120和通信接口118发送信息并接收数据,包括程序代码。在互联网示例中,服务器130可通过互联网128、ISP 126、本地网络122和通信接口118发送应用程序所需要的代码。根据本发明,例如,一种这样的下载的应用可以提供实施例的照射优化。接收到的代码当其被接收时可通过处理器104来执行,和/或存储在存储装置110或其它非易失性存储器中用于后续执行。以这种方式,计算机系统100可获得载波形式的应用代码。 
示例性光刻工具 
图19示意地描述其照射源可采用本文所述的过程进行优化的光刻投影设备的示例。所述设备包括: 
-辐射系统Ex、IL,其用于提供投影辐射束PB。在这个特定情形中,辐射系统还包括辐射源LA; 
-第一物体台(掩模台)MT,其设置有用于保持掩模MA(如掩模版)的掩模保持装置,并连接到用以相对于部件PL精确定位掩模的第一定位装置; 
-第二物体台(衬底台)WT,其设置有用于保持衬底W(如涂覆有抗蚀剂的硅晶片)的衬底保持装置,并连接到用以相对于部件PL精确定位衬底的第二定位装置; 
-投影系统(“透镜”)PL(如折射式的、反射式的或反射折射式的光学系统),其用于将掩模MA的被辐射部分成像到衬底W的目标部分C(如包括一 个或多个管芯)上。 
如这里描述的,该设备是透射型的(也就是具有透射式掩模)。然而,通常,例如,它也可是反射型的(具有反射式掩模)。可选地,该设备可采用另一种图案形成装置作为掩模使用的替换;示例包括可编程反射镜阵列或LCD矩阵。 
源LA(例如汞灯或准分子激光器)产生辐射束。例如,该束直接地或在穿过诸如扩束器Ex等调节装置之后,进入照射系统(照射器)IL。照射器IL可包括用于设定所述束中的强度分布的外部和/或内部径向范围(通常分别称为σ-外部和σ-内部)的调节装置AD。此外,它通常包括各种其它部件,例如积分器IN和聚光器CO。以这种方式,照射到掩模MA上的束PB在其横截面上具有所需的均匀性和强度分布。 
关于图19,应该注意,源LA可位于光刻投影设备的壳体内(例如,当源LA是汞灯时,通常是这种情况),但它也可远离光刻投影设备,源LA产生的辐射束被引导进入所述设备(如通过合适的定向反射镜的帮助);当源LA是准分子激光器(如基于KrF、ArF或F2产生激光)时,通常是后面的这种情况。本发明至少包含这些情形中的这两者。 
束PB随后与保持在掩模台MT上的掩模MA相交。在穿过掩模MA后,束B穿过透镜PL,该透镜将束B聚焦到衬底W的目标部分C上。在第二定位装置(和干涉测量装置IF)的帮助下,衬底台WT可以被精确地移动以便例如将不同目标部分C定位于束B的路径中。类似地,例如在从掩模库的机械获取掩模MA之后,或在扫描期间,可以将所述第一定位装置用于相对于所述束B的路径精确地定位所述掩模MA。通常,可以通过图19中未明确示出的长行程模块(粗定位)和短行程模块(精定位)的帮助来实现物体台MT、WT的移动。然而,在晶片步进机(与步进扫描工具相反)的情形中,掩模台MT可仅连接到短行程致动器,或可以是固定的。 
所述的工具可以在两个不同的模式中使用,包括: 
-在步进模式中,将掩模台MT保持基本静止,并且将整个掩模图像一次投影(即,单一的“闪”)到目标部分C上。然后将所述衬底台WT沿X和/或Y方向移动,使得可以用所述束B辐射不同的目标部分C; 
-在扫描模式中,基本上使用相同的情形,除了给定目标部分C不在单一“闪”中曝光。替代地,掩模台MT在给定方向(所谓“扫描方向”,如y方向)上是可移动的,并具有速度v,以使得投影束PB扫描掩模图像;同时,衬底台WT沿相同或相反的方向以速度V=Mv同步地移动,其中M是透镜PL的放大倍数(通常,M=1/4或1/5)。以这种方式,在不必牺牲分辨率的情况下,可以曝光相对大的目标部分C。 
在此公开的构思可模拟或数学模型化任何用于对亚波长特征成像的一般性成像系统,并且可能在能够产生越来越小的尺寸的波长的新兴的成像技术方面是特别有用的。已经使用的新兴技术包括能够采用ArF激光器产生193nm波长,甚至能够采用氟激光器产生157nm波长的EUV(极紫外)光刻术。而且,通过使用同步加速器或通过用高能电子撞击材料(固态或等离子体)以便产生该范围内的光子,EUV光刻术能够产生20-5nm范围内的波长。因为大多数材料在这个范围内是吸收性的,通过具有钼和硅的多个叠层的反射镜,可产生照射。多叠层反射镜具有40层成对的钼和硅层,其中每层的厚度是四分之一波长。采用X-射线光刻术甚至可以产生更小的波长。通常,同步加速器用来产生X-射线波长。因为大多数材料在X-射线波长下是吸收性的,吸收材料的薄片限定哪个特征将印刷(正抗蚀剂)或将不印刷(负抗蚀剂)。 
虽然在此公开的构思可用于在衬底(如硅晶片)上成像,应当理解,所公开的构思可用于任何类型的光刻成像系统,例如那些用来在除硅晶片之外的衬底上成像的系统。 
本发明还可以根据下面的方面描述: 
1.一种在设计布局中选择代表性组的目标图案的方法,所述设计布局配置成通过光刻过程成像到衬底上,所述方法包括下列步骤: 
对设计布局中的初始的较大组的目标图案的每一个产生相应的衍射图; 
识别在每个衍射图中的峰; 
存储每个衍射图中的所识别的峰的一个或更多个特征参数; 
分析所识别的峰的所存储的特征参数以产生衍射标记类的列表,每个衍射标记类具有一个或更多个相应的基向量; 
检查来自初始的较大组的目标图案的多个衍射图的多个衍射标记之间的包含关系,其中所述包含关系由预定规则控制或管理; 
识别目标图案的最后子组,其衍射标记类充分地覆盖来自全部衍射图的所有可能的衍射标记类;和 
选择目标图案的将包含在代表性组的目标图案中的最后子组,使得目标图案的最后子组表示光刻过程的设计布局的至少一部分。 
2.如方面1所述的方法,其中所述方法还包括: 
使用代表性组的目标图案以优化用于光刻过程中的照射源。 
3.如方面2所述的方法,其中所述方法还包括: 
使用优化的照射源用于成像由代表性组的目标图案表示的设计布局的所述部分。 
4.如方面3所述的方法,其中设计布局的所述部分包括整个设计布局,或整个设计布局的基本上大部分。 
5.如方面1所述的方法,其中所述方法还包括: 
使用代表性组的目标图案以优化用于光刻过程中的投影光学系统。 
6.如方面5所述的方法,其中所述方法还包括: 
使用优化后的投影光学元件,用于成像由代表性组的目标图案表示的设计布局的所述部分。 
7.如方面6所述的方法,其中设计布局的所述部分包括整个设计布局,或整个设计布局的基本上大部分。 
8.如方面1所述的方法,其中代表性组的目标图案包括一个或更多个用户-选择的片段。 
9.如方面8所述的方法,其中用户-选择的片段中的至少一个是不推荐其他掩模优化的固定片段。 
10.如方面9所述的方法,其中固定片段包括最密集的线/间隔目标图案。 
11.一种从设计布局中选择子组目标图案的方法,所述设计布局配置成通过光刻过程成像到衬底上,所述方法包括下列步骤: 
对来自设计布局的初始的较大组的目标图案的多个目标图案产生相应的衍射图; 
从来自初始的较大组的目标图案的多个目标图案的多个衍射图识别衍射标记; 
将来自初始的较大组的目标图案的多个目标图案分类成多个衍射标记类,在特定的衍射标记类中的目标图案具有类似的衍射标记;和 
选择作为代表性组的目标图案的子组的分组以包含预定数量的衍射标记类,使得所述代表性组的目标图案表示光刻过程的设计布局的至少一部分。 
12.如方面11所述的方法,其中所述方法还包括: 
使用代表性组的目标图案以优化用于光刻过程中的照射源。 
13.如方面12所述的方法,其中优化照射源包括调整入射到掩模上的辐射束的偏振分布。 
14.如方面12所述的方法,其中所述方法还包括: 
使用优化的照射源用于成像由代表性组的目标图案表示的设计布局的所述部分。 
15.如方面11所述的方法,其中所述方法还包括: 
使用代表性组的目标图案以优化用于光刻过程中的投影光学元件系统。 
16.如方面15所述的方法,其中优化投影光学元件系统的步骤包括在辐射束通过掩模之后操纵辐射束在光瞳平面中的波前。 
17.如方面15所述的方法,其中所述方法还包括: 
使用优化的投影光学元件用于成像由代表性组的目标图案表示的设计布局的所述部分。 
18.如方面11所述的方法,其中基于一个或更多个预定图像优化标准,从设计布局中提取初始的较大组的目标图案,或者基于一个或更多个预定图像优化标准在设计布局的特定的已知位置处先验地提供初始的较大组的目标图案。 
19.如方面18所述的方法,其中一个或更多个预定图像优化标准是基于下面的一个或更多个: 
-目标图案的类型; 
-目标图案的复杂度; 
-目标图案的位置; 
-目标图案的光刻过程窗口性能; 
-目标图案对光刻过程参数变化的已知的敏感度; 
-目标图案中的特征的尺寸; 
-目标图案中的特征的节距; 
-设计布局中的目标图案的临界程度。 
20.如方面11所述的方法,其中衍射标记类是在空间域或频域中。 
21.如方面11所述的方法,其中代表性组的目标图案包括一个或更多个用户选定的片段。 
上面描述的内容是例证性的,而不是限定的。因而,应该认识到,本领域的技术人员在不脱离以下所述权利要求的范围的情况下,可以对上述本发明进行更改。 

Claims (15)

1.一种从设计布局中选择子组目标图案的方法,所述设计布局配置成通过光刻过程成像到衬底上,所述方法包括下列步骤:
为来自所述设计布局的初始的较大组的目标图案中的多个目标图案产生相应的衍射图;
从来自初始的较大组的目标图案中的所述多个目标图案的不同衍射图中识别衍射标记;
将来自初始的较大组的目标图案中的所述多个目标图案分成多个衍射标记类,在特定的衍射标记类中的目标图案具有类似的衍射标记;和
选择作为代表性组的目标图案的子组以包含预定数量的衍射标记类,使得所述代表性组的目标图案表示光刻过程的所述设计布局的至少一部分。
2.如权利要求1所述的方法,其中,基于衍射标记的相似性、通过预定的规则控制对所述多个目标图案的分类。
3.如权利要求2所述的方法,其中,所述预定的规则包括在所述不同衍射标记组之间存在的包含关系。
4.如权利要求1所述的方法,其中,所述方法还包括:
使用所述代表性组的目标图案来优化用于光刻过程中的照射源。
5.如权利要求4所述的方法,其中,优化照射源的步骤包括调整入射在掩模上的辐射束的偏振分布。
6.如权利要求1所述的方法,其中,所述方法还包括:
使用所述代表性组的目标图案来优化用于光刻过程中的投影光学系统。
7.如权利要求6所述的方法,其中,优化投影光学系统的步骤包括在辐射束通过掩模之后操纵辐射束在光瞳平面中的波前。
8.如权利要求1所述的方法,其中,识别衍射标记的步骤还包括下列步骤:
识别每个衍射图中的峰;
存储在每个衍射图中所识别的峰的一个或更多个特征参数;和
分析所存储的所识别的峰的特征参数以产生每个衍射图的相应衍射标记类。
9.如权利要求8所述的方法,其中,每个衍射标记类包括一个或更多个相应的基向量。
10.如权利要求9所述的方法,其中,一维基向量表示共线峰,二维基向量表示在衍射图中识别的一对非共线峰。
11.如权利要求8所述的方法,其中,对于所识别的峰的将被存储的特征参数包括下列参数的一个或更多个:
峰的位置、峰的宽度、峰的振幅、峰的谐波指数以及峰与一个或更多个相邻峰的距离。
12.如权利要求1所述的方法,其中,产生相应的衍射图的步骤包括下列步骤:
生成与在每个初始的较大组的目标图案中的目标图案对应的相应的衍射级曲线;和
从每个所述衍射级曲线中去除零级峰。
13.如权利要求12所述的方法,其中,分析存储的所述识别的峰的特征参数的步骤包括:
识别所述衍射级曲线中的最强峰;
为包括所述衍射级曲线中的最强峰的每个共线峰构造相应的一维基向量;
为所述衍射级曲线中的每对非共线峰构造相应的二维基向量;
忽略所述衍射级曲线中存在的所有谐波;和
通过选择非重复的一维和二维基向量填写衍射标记类的列表。
14.如权利要求13所述的方法,其中,用于控制包含关系的所述预定的规则包括下列中的一个或更多个:
当峰“v”和另一峰“u”之间的距离小于峰的平均宽度加预定容许量,则峰“v”被认为与另一峰“u”重叠;
当且仅当存在整数“n”使得一维衍射标记类“v1”与“n*另一一维衍射标记类u1”重叠,则一维衍射标记类“v1”被认为由所述另一一维衍射标记类“u1”包含;
当且仅当存在一对整数(n1,n2)使得“v1”与“n1*u1+n2*u2”重叠,并且类似地,存在一对整数(m1,m2)使得“v2”与“m1*u1+m2*u2”重叠,则二维衍射标记类(v1,v2)被认为由另一二维衍射标记组(u1,u2)包含;
一维衍射标记类不能包含二维衍射标记类;
离散峰能够包含连续峰;和
较低级峰包含较高级峰。
15.一种计算机程序产品,包括:计算机可读介质,所述计算机可读介质具有记录其中的指令,当执行计算机可读介质时,计算机可读介质使得计算机执行根据权利要求1-14中任一项所述的选择代表性组的目标图案的方法。
CN2010105297054A 2009-10-28 2010-10-28 基于衍射标记分析的对设计布局中的优化图案的选择 Active CN102053504B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US25573809P 2009-10-28 2009-10-28
US61/255,738 2009-10-28
US36040410P 2010-06-30 2010-06-30
US61/360,404 2010-06-30

Publications (2)

Publication Number Publication Date
CN102053504A true CN102053504A (zh) 2011-05-11
CN102053504B CN102053504B (zh) 2013-01-02

Family

ID=43602819

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201010522510.7A Active CN102054092B (zh) 2009-10-28 2010-10-26 对于全芯片源的图案选择和掩模优化
CN201080048248.5A Active CN102597872B (zh) 2009-10-28 2010-10-26 选择与设计相关的图案子组的方法
CN2010105297054A Active CN102053504B (zh) 2009-10-28 2010-10-28 基于衍射标记分析的对设计布局中的优化图案的选择

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN201010522510.7A Active CN102054092B (zh) 2009-10-28 2010-10-26 对于全芯片源的图案选择和掩模优化
CN201080048248.5A Active CN102597872B (zh) 2009-10-28 2010-10-26 选择与设计相关的图案子组的方法

Country Status (7)

Country Link
US (5) US8739082B2 (zh)
JP (3) JP5156075B2 (zh)
KR (2) KR101800758B1 (zh)
CN (3) CN102054092B (zh)
NL (2) NL2005523A (zh)
TW (3) TWI463245B (zh)
WO (1) WO2011051249A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102692814A (zh) * 2012-06-18 2012-09-26 北京理工大学 一种基于Abbe矢量成像模型的光源-掩模混合优化方法
CN111399336A (zh) * 2020-04-17 2020-07-10 中国科学院上海光学精密机械研究所 基于轮廓表征的全芯片光源掩模优化关键图形筛选方法
CN111624850A (zh) * 2020-06-08 2020-09-04 中国科学院上海光学精密机械研究所 用于全芯片光源掩模优化的关键图形筛选方法
CN113514910A (zh) * 2021-04-13 2021-10-19 长江存储科技有限责任公司 衍射光学组件及获取方法、光学系统
CN113536408A (zh) * 2021-07-01 2021-10-22 华蓝设计(集团)有限公司 基于cad外部参照协同模式的住宅核心筒面积计算方法
CN113741140A (zh) * 2021-08-27 2021-12-03 中国科学院上海光学精密机械研究所 基于深度优先搜索的全芯片光源掩模优化关键图形筛选方法

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
JP5629691B2 (ja) 2008-11-21 2014-11-26 エーエスエムエル ネザーランズ ビー.ブイ. 高速自由形式ソース・マスク同時最適化方法
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
US8667427B2 (en) * 2011-02-24 2014-03-04 International Business Machines Corporation Method of optimization of a manufacturing process of an integrated circuit layout
US8607170B2 (en) 2011-03-02 2013-12-10 Texas Instruments Incorporated Perturbational technique for co-optimizing design rules and illumination conditions for lithography process
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
US8504949B2 (en) * 2011-07-26 2013-08-06 Mentor Graphics Corporation Hybrid hotspot detection
EP2570854B1 (en) * 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
NL2009982A (en) * 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
NL2010196A (en) * 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
US8555211B2 (en) * 2012-03-09 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Mask making with error recognition
JP6039910B2 (ja) * 2012-03-15 2016-12-07 キヤノン株式会社 生成方法、プログラム及び情報処理装置
US8631360B2 (en) * 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
NL2010647A (en) * 2012-05-04 2013-11-06 Asml Netherlands Bv Design rule and lithographic process co-optimization.
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
KR101757780B1 (ko) 2012-05-31 2017-07-14 에이에스엠엘 네델란즈 비.브이. 구배-기반 패턴 및 평가 지점 선택
WO2014025936A2 (en) 2012-08-08 2014-02-13 Dcg Systems, Inc. P and n region differentiation for image-to-cad alignment
US8667428B1 (en) * 2012-10-24 2014-03-04 GlobalFoundries, Inc. Methods for directed self-assembly process/proximity correction
JP6095334B2 (ja) * 2012-11-26 2017-03-15 キヤノン株式会社 マスクパターンおよび露光条件を決定する方法、ならびにプログラム
US20140214192A1 (en) * 2013-01-25 2014-07-31 Dmo Systems Limited Apparatus For Design-Based Manufacturing Optimization In Semiconductor Fab
US8782582B1 (en) * 2013-03-13 2014-07-15 Atrenta, Inc. Efficient method to analyze RTL structures that cause physical implementation issues based on rule checking and overlap analysis
US8782569B1 (en) * 2013-03-14 2014-07-15 United Microelectronics Corp. Method for inspecting photo-mask
DE112014000486B4 (de) 2013-05-27 2021-08-19 International Business Machines Corporation Verfahren und Programmprodukt zum Entwerfen einer Quelle und einer Maske für die Lithographie
US9170501B2 (en) 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9009634B2 (en) 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
TWI528201B (zh) * 2013-08-28 2016-04-01 旺宏電子股份有限公司 進階修正方法
SG11201602179WA (en) 2013-10-01 2016-04-28 Asml Netherlands Bv Profile aware source-mask optimization
US20150112649A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Clustering Lithographic Hotspots Based on Frequency Domain Encoding
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
CN109283800B (zh) * 2014-02-12 2021-01-01 Asml荷兰有限公司 过程窗口的优化方法
US9395622B2 (en) * 2014-02-20 2016-07-19 Globalfoundries Inc. Synthesizing low mask error enhancement factor lithography solutions
US10386727B2 (en) 2014-03-18 2019-08-20 Asml Netherlands B.V. Pattern placement error aware optimization
CN106164777B (zh) 2014-04-14 2019-06-18 Asml荷兰有限公司 光刻过程的优化流程
US9262578B2 (en) 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US9552964B2 (en) * 2014-06-20 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with a pattern density-outlier-treatment for optimized pattern density uniformity
CN106462086B (zh) 2014-06-25 2019-10-15 Asml荷兰有限公司 蚀刻变化容差优化
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
US10228320B1 (en) 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets
WO2016050584A1 (en) 2014-10-02 2016-04-07 Asml Netherlands B.V. Rule-based deployment of assist features
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
US10409165B2 (en) 2014-12-15 2019-09-10 Asml Netherlands B.V. Optimization based on machine learning
WO2016096668A1 (en) 2014-12-17 2016-06-23 Asml Netherlands B.V. Hotspot aware dose correction
US10685158B2 (en) 2014-12-18 2020-06-16 Asml Netherlands B.V. Lithography model for 3D features
US9405186B1 (en) * 2015-02-23 2016-08-02 GlobalFoundries, Inc. Sample plan creation for optical proximity correction with minimal number of clips
WO2016142169A1 (en) 2015-03-06 2016-09-15 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
WO2016184664A1 (en) 2015-05-20 2016-11-24 Asml Netherlands B.V. Coloring aware optimization
KR102441582B1 (ko) 2015-07-23 2022-09-07 삼성전자주식회사 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
JP6536813B2 (ja) * 2015-09-15 2019-07-03 株式会社ニコン 評価方法
WO2017060080A1 (en) 2015-10-08 2017-04-13 Asml Netherlands B.V. Methods & apparatus for controlling an industrial process
US20180299770A1 (en) * 2015-10-19 2018-10-18 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US9697310B2 (en) * 2015-11-02 2017-07-04 Winbond Electronics Corporation Level faults interception in integrated circuits
US9965901B2 (en) * 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
US9823994B2 (en) 2015-12-22 2017-11-21 International Business Machines Corporation Dynamically identifying performance anti-patterns
KR102444706B1 (ko) * 2015-12-22 2022-09-19 에이에스엠엘 네델란즈 비.브이. 프로세스-윈도우 특성화를 위한 장치 및 방법
US9898572B2 (en) * 2016-02-17 2018-02-20 Globalfoundries Inc. Metal line layout based on line shifting
US10146124B2 (en) * 2016-02-23 2018-12-04 Xtal, Inc. Full chip lithographic mask generation
WO2017178276A1 (en) 2016-04-14 2017-10-19 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
CN107797375B (zh) * 2016-08-31 2020-11-03 中芯国际集成电路制造(上海)有限公司 目标图形的修正方法
KR102311933B1 (ko) * 2017-03-21 2021-10-15 에이에스엠엘 네델란즈 비.브이. 대상물 식별 및 비교
CN107133944B (zh) * 2017-04-27 2020-02-07 上海华虹宏力半导体制造有限公司 用于opc验证的图形分类方法
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
JP7438105B2 (ja) * 2017-09-27 2024-02-26 エーエスエムエル ネザーランズ ビー.ブイ. デバイス製造方法の制御パラメータを決定する方法、コンピュータプログラム、および、基板にデバイスを製造するためのシステム
CN111213090B (zh) 2017-10-11 2024-04-09 Asml荷兰有限公司 图案化过程的优化流程
KR102438502B1 (ko) * 2017-12-04 2022-09-01 에이에스엠엘 네델란즈 비.브이. 측정 방법, 패터닝 디바이스 및 디바이스 제조 방법
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
CN115877673A (zh) 2017-12-22 2023-03-31 Asml荷兰有限公司 基于缺陷概率的过程窗口
WO2019121491A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
CN108829948A (zh) * 2018-05-30 2018-11-16 中国科学院微电子研究所 坏点库的建立方法和建立系统
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
CN109061999B (zh) * 2018-09-12 2022-03-18 上海华力集成电路制造有限公司 预估潜在热点的方法及增大热点工艺窗口的方法
TW202020577A (zh) * 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
KR20230167453A (ko) * 2018-11-30 2023-12-08 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
US11797748B2 (en) 2018-12-28 2023-10-24 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
WO2021115766A1 (en) * 2019-12-13 2021-06-17 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
CN115087925A (zh) 2020-02-12 2022-09-20 Asml荷兰有限公司 包括使用经训练机器学习模型的光学邻近效应校正的用于确定掩模图案的方法
US20230076218A1 (en) 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
CN111338179B (zh) * 2020-04-17 2021-07-06 中国科学院上海光学精密机械研究所 基于多宽度表征的全芯片光源掩模优化关键图形筛选方法
US20240004305A1 (en) 2020-12-18 2024-01-04 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
US11714951B2 (en) * 2021-05-13 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Geometric mask rule check with favorable and unfavorable zones
CN117355795A (zh) * 2021-05-21 2024-01-05 美商新思科技有限公司 针对源掩模优化的用于选择初始源形状的机器学习
KR20240023521A (ko) 2021-06-18 2024-02-22 에이에스엠엘 네델란즈 비.브이. 기계 학습 모델을 사용하여 어시스트 피처들을 생성하기 위한 컴퓨터 판독가능한 매체
KR20240031314A (ko) * 2021-07-13 2024-03-07 에이에스엠엘 네델란즈 비.브이. 소스 마스크 최적화 및 타겟 최적화를 위한 패턴 선택
KR20240036674A (ko) 2021-07-30 2024-03-20 에이에스엠엘 네델란즈 비.브이. 마스크 패턴을 생성하는 방법
WO2023066657A1 (en) 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
WO2023131476A1 (en) * 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
EP4261616A1 (en) * 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
CN115469512B (zh) * 2022-09-13 2023-04-11 武汉宇微光学软件有限公司 一种光源掩模联合优化中光源校准方法及系统
CN115758699B (zh) * 2022-11-09 2023-06-20 武汉宇微光学软件有限公司 面向全芯片光源掩模优化的关键图形快速筛选方法和装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040181768A1 (en) * 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
CN1672012A (zh) * 2002-07-25 2005-09-21 音质技术公司 光计量术中模型和参数的选择
CN1828614A (zh) * 2005-02-07 2006-09-06 因芬尼昂技术股份公司 电路设计图案的结构元素几何尺寸的优化方法及其用途
US20070038972A1 (en) * 2005-08-09 2007-02-15 Christoph Noelscher Method for optimizing a photolithographic mask

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (de) 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO1998028665A1 (en) 1996-12-24 1998-07-02 Koninklijke Philips Electronics N.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US6178533B1 (en) * 1997-06-30 2001-01-23 Sun Microsystems, Inc. Method and system for design verification
US6335130B1 (en) 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
KR100428884B1 (ko) 2000-06-13 2004-04-28 에이에스엠엘 마스크툴즈 비.브이. 가변치수를 갖는 세리프를 이용하는 광근접 보정방법
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
DE10228103A1 (de) 2002-06-24 2004-01-15 Bayer Cropscience Ag Fungizide Wirkstoffkombinationen
JP2004128108A (ja) * 2002-10-01 2004-04-22 Oki Electric Ind Co Ltd 投影露光装置のアパーチャ形状の最適化方法
TWI290262B (en) * 2003-01-14 2007-11-21 Asml Masktools Bv Method and apparatus for providing optical proximity features to a reticle pattern for deep sub-wavelength optical lithography
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP4192618B2 (ja) * 2003-02-17 2008-12-10 ソニー株式会社 マスクの補正方法
US7010804B2 (en) * 2003-03-20 2006-03-07 Sony Corporation System and method for facilitating TV channel programming
SG179329A1 (en) * 2003-03-31 2012-04-27 Asml Masktools Bv Source and mask optimization
KR20150036786A (ko) * 2003-04-09 2015-04-07 가부시키가이샤 니콘 노광 방법 및 장치, 그리고 디바이스 제조 방법
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7342646B2 (en) 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US7669158B2 (en) * 2004-09-30 2010-02-23 Cadence Design Systems, Inc. Method and system for semiconductor design hierarchy analysis and transformation
US20060147821A1 (en) 2004-12-30 2006-07-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
SG125232A1 (en) 2005-02-23 2006-09-29 Asml Masktools Bv Method, program product and apparatus for optimizing illumination for full-chip layer
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7374957B2 (en) * 2005-07-11 2008-05-20 Asml Netherlands B.V. Method of calibrating or qualifying a lithographic apparatus or part thereof, and device manufacturing method
US7266803B2 (en) * 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
US7695876B2 (en) * 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
US20070121090A1 (en) 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100902711B1 (ko) 2007-07-20 2009-06-15 주식회사 동부하이텍 반도체 소자의 제조 방법
US8214775B2 (en) * 2007-09-14 2012-07-03 Luminescent Technologies, Inc. System for determining repetitive work units
KR100944347B1 (ko) 2008-01-11 2010-03-02 주식회사 하이닉스반도체 노광 마스크 제작 방법
US8450046B2 (en) * 2008-02-25 2013-05-28 Globalfoundries Singapore Pte. Ltd. Methods for enhancing photolithography patterning
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
JP4594994B2 (ja) * 2008-03-24 2010-12-08 株式会社東芝 マスクパターンデータ生成方法、マスクの製造方法、半導体装置の製造方法及びパターンデータ生成プログラム
US8578313B2 (en) * 2008-04-24 2013-11-05 Synopsys, Inc. Pattern-clip-based hotspot database system for layout verification
US8566754B2 (en) * 2008-04-24 2013-10-22 Synopsys, Inc. Dual-purpose perturbation engine for automatically processing pattern-clip-based manufacturing hotspots
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
JP5629691B2 (ja) * 2008-11-21 2014-11-26 エーエスエムエル ネザーランズ ビー.ブイ. 高速自由形式ソース・マスク同時最適化方法
JP4838866B2 (ja) * 2009-02-10 2011-12-14 キヤノン株式会社 露光パラメータ及びレチクルパターンを決定する決定方法、露光方法及びデバイス製造方法。
WO2010117626A2 (en) * 2009-03-31 2010-10-14 Christophe Pierrat Lithography modelling and applications
US20110047519A1 (en) 2009-05-11 2011-02-24 Juan Andres Torres Robles Layout Content Analysis for Source Mask Optimization Acceleration
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1672012A (zh) * 2002-07-25 2005-09-21 音质技术公司 光计量术中模型和参数的选择
US20040181768A1 (en) * 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
CN1828614A (zh) * 2005-02-07 2006-09-06 因芬尼昂技术股份公司 电路设计图案的结构元素几何尺寸的优化方法及其用途
US20070038972A1 (en) * 2005-08-09 2007-02-15 Christoph Noelscher Method for optimizing a photolithographic mask

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102692814A (zh) * 2012-06-18 2012-09-26 北京理工大学 一种基于Abbe矢量成像模型的光源-掩模混合优化方法
CN102692814B (zh) * 2012-06-18 2013-09-11 北京理工大学 一种基于Abbe矢量成像模型的光源-掩模混合优化方法
CN111399336A (zh) * 2020-04-17 2020-07-10 中国科学院上海光学精密机械研究所 基于轮廓表征的全芯片光源掩模优化关键图形筛选方法
CN111624850A (zh) * 2020-06-08 2020-09-04 中国科学院上海光学精密机械研究所 用于全芯片光源掩模优化的关键图形筛选方法
CN113514910A (zh) * 2021-04-13 2021-10-19 长江存储科技有限责任公司 衍射光学组件及获取方法、光学系统
CN113536408A (zh) * 2021-07-01 2021-10-22 华蓝设计(集团)有限公司 基于cad外部参照协同模式的住宅核心筒面积计算方法
CN113741140A (zh) * 2021-08-27 2021-12-03 中国科学院上海光学精密机械研究所 基于深度优先搜索的全芯片光源掩模优化关键图形筛选方法
CN113741140B (zh) * 2021-08-27 2024-02-13 中国科学院上海光学精密机械研究所 基于深度优先搜索的全芯片光源掩模优化关键图形筛选方法

Also Published As

Publication number Publication date
KR20120098754A (ko) 2012-09-05
JP5666609B2 (ja) 2015-02-12
US20120216156A1 (en) 2012-08-23
CN102053504B (zh) 2013-01-02
KR20110046368A (ko) 2011-05-04
JP5433550B2 (ja) 2014-03-05
KR101800758B1 (ko) 2017-11-23
TWI435165B (zh) 2014-04-21
US20160026750A1 (en) 2016-01-28
US20110099526A1 (en) 2011-04-28
CN102597872B (zh) 2014-12-10
CN102597872A (zh) 2012-07-18
US8739082B2 (en) 2014-05-27
US9934350B2 (en) 2018-04-03
JP2011100122A (ja) 2011-05-19
US8543947B2 (en) 2013-09-24
WO2011051249A1 (en) 2011-05-05
JP2011100121A (ja) 2011-05-19
US20110107280A1 (en) 2011-05-05
JP5156075B2 (ja) 2013-03-06
TWI463245B (zh) 2014-12-01
NL2005523A (en) 2011-05-02
CN102054092B (zh) 2014-08-20
US20130311958A1 (en) 2013-11-21
US8438508B2 (en) 2013-05-07
CN102054092A (zh) 2011-05-11
TW201124871A (en) 2011-07-16
TWI466171B (zh) 2014-12-21
JP2013509604A (ja) 2013-03-14
TW201131287A (en) 2011-09-16
NL2005522A (en) 2011-05-02
KR101226646B1 (ko) 2013-01-25
TW201142488A (en) 2011-12-01
US9183324B2 (en) 2015-11-10

Similar Documents

Publication Publication Date Title
CN102053504B (zh) 基于衍射标记分析的对设计布局中的优化图案的选择
JP4717153B2 (ja) 相補的マスクを生成する方法、コンピュータ・プログラム製品、デバイス製造方法及びウェハに写像する方法
CN102224459B (zh) 用于优化光刻过程的方法及设备
US8356261B1 (en) Determining the gradient and hessian of the image log slope for design rule optimization for accelerating source mask optimization (SMO)
US20070121090A1 (en) Lithographic apparatus and device manufacturing method
CN107438842A (zh) 通过机器学习的特征搜索
CN102841509A (zh) 光刻设备和掩模优化过程与多重图案化过程的集成
CN107430347A (zh) 图像对数斜率(ils)优化
CN103246174B (zh) 衬底拓扑可知的光刻模型化
CN112889005A (zh) 用于生成特性图案和训练机器学习模型的方法
KR102063229B1 (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
CN102955370A (zh) 用于3d拓扑图形晶片的光刻模型
KR20230035384A (ko) 기계 학습 모델을 트레이닝시키기 위한 정보성 패턴을 선택하는 장치 및 방법.
US8040573B2 (en) Method, program product and apparatus for translating geometrical design rules into boundary conditions in the imaging space so as to define test patterns for use in optical model calibration
KR101198348B1 (ko) 풀-칩 소스 및 마스크 최적화를 위한 패턴 선택

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant