JP4717153B2 - 相補的マスクを生成する方法、コンピュータ・プログラム製品、デバイス製造方法及びウェハに写像する方法 - Google Patents

相補的マスクを生成する方法、コンピュータ・プログラム製品、デバイス製造方法及びウェハに写像する方法 Download PDF

Info

Publication number
JP4717153B2
JP4717153B2 JP2010178970A JP2010178970A JP4717153B2 JP 4717153 B2 JP4717153 B2 JP 4717153B2 JP 2010178970 A JP2010178970 A JP 2010178970A JP 2010178970 A JP2010178970 A JP 2010178970A JP 4717153 B2 JP4717153 B2 JP 4717153B2
Authority
JP
Japan
Prior art keywords
mask
critical
fichi
catcher
features
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2010178970A
Other languages
English (en)
Other versions
JP2010256935A (ja
Inventor
ファン チェン ジャン
− フー スティーブン スー デューン
ファン デン ブルーク ダグラス
Original Assignee
エーエスエムエル マスクツールズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル マスクツールズ ビー.ブイ. filed Critical エーエスエムエル マスクツールズ ビー.ブイ.
Publication of JP2010256935A publication Critical patent/JP2010256935A/ja
Application granted granted Critical
Publication of JP4717153B2 publication Critical patent/JP4717153B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

本発明の技術分野は、全体的に、三色マスクを使用し、改良された散乱バーのトリミングを実現する、二重露光リソグラフィを実行するための方法、プログラム製品及び装置に関する。
リソグラフィ装置は、例えば、集積回路(IC)の製造中に使用することができる。そのような場合、マスクは、ICの個々の層に対応する回路パターンを含むことができ、このパターンは、感光性材料(レジスト)層を被覆された基板(シリコン・ウェハ)の目標部分(例えば1つ又は複数のダイを含む)上に写像することができる。一般に、単一ウェハは、投射システムによって1つずつ連続的に照射される隣接した目標部分のネットワーク全体を含む。1つのタイプのリソグラフィ投射装置では、マスク・パターン全体を1回で目標部分上に露光することによって、各目標部分が照射される。そのような装置は、一般にウェハ・ステッパと呼ばれる。他の装置、一般に走査ステップ式装置(step−and−scan apparatus)と呼ばれている装置では、所与の基準方向(「走査」方向)で放射ビームの下においてマスク・パターンを段階的に走査することによって、各目標部分が照射され、その間、この方向に平行又は反平行で基板テーブルが同期して走査される。一般に、投射システムは、倍率がM(一般に<1)であり、基板テーブルが走査される速度Vが、マスク・テーブルが走査される速度の係数M倍になるからである。本明細書で述べるリソグラフィ装置に関するより一層の情報は、例えば米国特許第6,046,792号から収集することができ、それは、参照により本明細書に組み込まれる。
リソグラフィ投射装置を使用する製造プロセスでは、マスク・パターンが、感光性材料(レジスト)層によって少なくとも部分的に被覆された基板上に写像される。この写像ステップに先立ち、基板は、下塗り、レジスト被覆及びソフト・ベーキングなど、様々な処理を施すことができる。露光後、基板は、露光後ベーキング(PEB;post−exposure bake)、現像、ハード・ベーキング及び写像されたフィーチャの測定/検査など、他の処理を施すことができる。この処理のアレイは、デバイス、例えばICの個々の層をパターン形成するための基礎として使用される。次いで、そのようなパターン形成された層は、エッチング、イオン注入(ドーピング)、金属化、酸化や化学機械的研磨など、すべて個々の層を仕上げるように企図された様々な処理を施すことができる。いくつかの層が必要な場合、新しい層毎に、全処理又はその変形を繰り返すことが必要になる。結局、デバイスのアレイが、基板(ウェハ)上に存在することになる。次いで、これらのデバイスが、ダイシング、ソーイングなどの技法によってお互い分離され、そして個々のデバイスをキャリア上に搭載し、ピンに接続することなどを行うことができる。
簡単化のため、投射システムは、本明細書では以降「レンズ」として言うことがあるが、この用語は、例えば屈折光学構成要素、反射光学構成要素や反射屈折システムを含め、様々なタイプの投射システムを含むものとして、広く解釈すべきである。放射システムは、放射の投射ビームを誘導、整形又は制御するために、これらの任意の設計タイプによって動作する構成要素を含むこともでき、そのような構成要素は、以下において、総称的に又は単独で「レンズ」としても言われることがある。さらに、リソグラフィ装置は、2つ以上の基板テーブル(及び/又は2つ以上のマスク・テーブル)を有するタイプのものとすることができる。そのような「マルチ・ステージ」の装置では、追加のテーブルを並行して使用することができ、又は1つ又は複数のテーブル上で準備ステップを実施することができ、その間1つ又は複数の他のテーブルが露光のために使用される。2ステージのリソグラフィ装置は、例えば米国特許第5,969,441号に記載されており、それは、参照によって本明細書に組み込まれる。
上記に言及されたフォトリソグラフィ・マスクは、シリコン・ウェハ上に集積化される回路構成要素に対応する幾何学的パターンを含む。そのマスクを生成するために使用されるパターンは、CAD(コンピュータ支援設計)プログラムを使用して生成され、このプロセスは、EDA(コンピュータによる設計の自動化)としてしばしば言われる。大部分のCADプログラムは、機能するマスクを生成するために、一式の所定の設計ルールに従う。これらのルールは、処理及び設計の限界によって設定される。例えば、回路デバイス(ゲートやコンデンサなど)又は相互接続ラインが、望ましくない状態で互いに接触しないことを保証するように、設計ルールによって、回路デバイス間又はライン間のスペース許容差が定義される。設計ルールの限界は、通常、「クリティカル寸法」(CD)として呼ばれる。回路のクリティカル寸法は、ライン又は孔の最小幅として、或いは2つのライン間又は2つの孔間の最小スペースとして定義することができる。したがって、CDによって、設計される回路のサイズ全体及び密度が決定される。
もちろん集積回路製造の1つのゴールは、元の回路設計をウェハ上に(マスクを介して)忠実に再生することである。目標パターンのクリティカル寸法がますます小さくなるにつれて、目標パターンをウェハ上に再生することがますます難しくなりつつある。しかし、ウェハ中に写像又は再生することができる最小CDの減少を可能にする、知られた技術がある。そのような1つの技術は、目標パターン中のフィーチャが2つの別々の露光で写像される、二重露光技術である。
例えば、1つの一般に知られた二重露光技術は、ダイポール照明である。この技術では、第1の露光中に、目標パターンの垂直縁部(即ち、フィーチャ)が照明され、次いで第2の露光中に、目標パターンの水平縁部が照明される。前述したように、2つの露光を使用することによって、改良された写像性能が得られる。
さらに、チップ製造業者が、製造中でより積極的な設計ルール、及びより低いk係数に移行しているので、散乱バー「SB(scattering bar)」(又は補助フィーチャ「AF(assist feature)」)の使用が、不可欠になっている。SBの幅「d」は、以下の方程式を使用して推定することができ、ここでkSBは、印刷不適性(non−printability)又は副分解能(sub−resolution)を示すスケール定数である(SBスケール係数kSBの通常の範囲は、0.2から0.25である)。
d=kSB(λ/NA)
ここで、λは、露光ツールの波長であり、NAは、露光ツールの開口数である。
0.35よりkを大きく維持するために、製造業者は、より大きいNAの露光ツールを使用し勝ちである。浸漬リソグラフィの出現によって、NA値は、1より大きくすることができる。そのような超高のNA条件下で、SB拡張性及び印刷適性が、クリティカルな課題になりつつある。図1(a)に、許容可能なSB幅対ハーフ・ピッチ最小設計ルールをプロットする。第2の軸は、k係数である。図1(a)に示すよう、デバイス製造業者が、より低いkでの製造に移行しているので、SB幅は、不要なSB印刷を避けるために、それに応じて縮小する必要もある。これは、ある点において、印刷を避けるために必要なSBの幅が、最小の製造可能な幅より小さくなるという問題を提起する(即ち、要求されるSBが製造するには小さすぎることになる)。
さらに、レチクル上のSB幅が、露光波長λより短くなるので、キルヒホッフのスカラー法則がもう有効でなくなる。図1(b)に、0.85のNA及びQUASARの照明を使用した、隔置されたラインの模擬された空間像の比較を示す。4Xレチクル上のSB幅は、BIM(即ち、強輝度バイナリ・マスク(bright intensity binary mask))上で60nmである。図1(b)を参照し、精密なEMF(NA85QS9363rig)対スカラー(NA85QS9363scl)について空間像を比較すると、EMF空間像によって、SBが予測されたスカラー像より実際暗いことが示されている。これは、SBが、より多くの光学的重みを有し、したがってよりよく準備され、より容易にウェハ上に印刷可能であることを示唆している。したがって、SB拡張性及び印刷適性に対処し、SBの印刷を防止する、プロセスが必要である。
前述の観点から、本発明の目的は、基板から不要なSB残渣をトリミングする(即ち、除去する)、換言すれば、65nm又は45nmのノードのデバイス、或いはそれより小さいノードのデバイスを印刷するとき、使用するのに適した二重露光リソグラフィ方法を提供することである。
要約すると、本発明は、基板上に写像されるフィーチャを有する目標パターンに基づく、多重露光のリソグラフィ写像プロセス中で使用するための相補的マスクを生成する方法に関する。この方法は、目標パターンに対応する最初のHマスクを定義するステップと、目標パターンに対応する最初のVマスクを定義するステップと、幅が所定のクリティカルな幅より狭いHマスク中の水平クリティカル・フィーチャを同定するステップと、幅が所定のクリティカルな幅より狭いVマスク中の垂直クリティカル・フィーチャを同定するステップと、Hマスク中に形成される水平クリティカル・フィーチャに、第1の位相シフト及び第1の伝達率を割り当てるステップと、Vマスク中に形成される垂直クリティカル・フィーチャに、第2の位相シフト及び第2の伝達率を割り当てるステップとを含む。この方法は、Hマスク及びVマスク中のクリティカルでないフィーチャすべてに、クロムを割り当てるステップをさらに含む。クリティカルでないフィーチャは、幅が所定のクリティカルな幅より広い、又はそれと等しいようなフィーチャである。クリティカルでないフィーチャは、クロムを使用してHマスク及びVマスク中に形成される。次いで、目標パターンが、Hマスク及びVマスクをともに写像することによって、基板上に写像される。
本発明は、従来技術に勝る重要な利点をもたらす。例えば、本発明は、本発明のプロセスから生じる、SBを相互にトリミングすることによって、大きいSBを使用する能力をもたらす。殊に、所与のプロセスでは、Hマスク及びVマスクがともに、回路フィーチャ及びSBを有するが、それらは、対応する方向が異なり、したがって2つの露光中にHマスク及びVマスクに対して、SBを相互にトリミングする。
本発明の追加の利点は、本発明の例示する実施例についての以下の詳細な記述から、当業者に明らかになる。
本文中に、IC製造時の本発明の使用について具体的に参照されることがあるが、本発明は、他に可能な多くの用途を有することをはっきりと理解すべきである。例えば、それは、光集積システム、磁気領域メモリ用の誘導及び検出パターン、液晶表示パネル、薄膜磁気ヘッドなどの製造中に使用することができる。当業者は、そのような他の用途の文脈では、本明細書での用語「レチクル」、「ウェハ」や「ダイ」のすべての使用が、それぞれ、より一般的な用語「マスク」、「基板」及び「目標部分」で置き換えられたとして、考えられるべきであることを理解するはずである。
本発明自体は、他の目的及び利点とともに、以下の詳細な記述及び添付の図面を参照すると、よりよく理解することができる。
(a)は許容可能なSB幅対ハーフ・ピッチの最小設計ルールのグラフの図である。(b)は0.85のNA及びQUASARの照明を使用した、隔置されたラインの模擬された空間像の比較を示す図である。 本発明のDEL/DDLレイアウト分解方法を表す例示のフローチャート図である。 (a)は本発明の方法による、目標パターンの実施例を示す図である。(b)は本発明の方法による、Vレイアウトへの目標パターンの分解の実施例を示す図である。(c)は本発明の方法による、Hレイアウトへの目標パターンの分解の実施例を示す図である。 本発明の方法による、Vレイアウト及びHレイアウトへの目標パターンの分解の結果得られた空間像の実施例を示す図である。 (a)は図2のフローチャートに述べられたプロセスと組み合わせて照明の偏光を使用することによって、写像性能をさらに改良することが、どのようにして可能になるかを示す図である。(b)は図2のフローチャートに述べられたプロセスと組み合わせて照明の偏光を使用することによって、写像性能をさらに改良することが、どのようにして可能になるかを示す図である。(c)は図2のフローチャートに述べられたプロセスと組み合わせて照明の偏光を使用することによって、写像性能をさらに改良することが、どのようにして可能になるかを示す図である。(d)は図2のフローチャートに述べられたプロセスと組み合わせて照明の偏光を使用することによって、写像性能をさらに改良することが、どのようにして可能になるかを示す図である。(e)は図2のフローチャートに述べられたプロセスと組み合わせて照明の偏光を使用することによって、写像性能をさらに改良することが、どのようにして可能になるかを示す図である。 (a)はSB幅を変更して隔置された45nmのラインを写像した場合について、本発明の二重露光技術の性能の模擬された比較を示す図である。(b)はSB幅を変更して隔置された45nmのラインを写像した場合について、本発明の二重露光技術の性能の模擬された比較を示す図である。(c)はSB幅を変更して隔置された45nmのラインを写像した場合について、本発明の二重露光技術の性能の模擬された比較を示す図である。 (a)は45nmの密集し隔置されたラインについて、単一露光プロセス対二重露光プロセス間の比較を示す図である。(b)は45nmの密集し隔置されたラインについて、単一露光プロセス対二重露光プロセス間の比較を示す図である。 DRAMセルの能動層上で主なフィーチャをトリミングした、本発明のDDL/DET技術の使用を示す図である。 DRAMセルの能動層上で主なフィーチャをトリミングした、本発明のDDL/DET技術の使用を示す図である。 (a)は二重露光技術を使用して形成され、その結果得られたレジストの輪郭の実施例を示す図である。(b)は最適化された照明器による単一露光技術を使用して形成されたレジスト輪郭を示す図である。(c)は二重露光技術を使用して形成され、その結果得られたレジストの輪郭の実施例を示す図である。(d)は最適化された照明器による単一露光技術を使用して形成されたレジスト輪郭を示す図である。(e)は能動領域のCDを横切って切断したライン上で、偏光されずに0.85のNAの乾燥系を使用して模擬されたプロセス・ラチチュードを示す図である。 本発明の方法を実行し、H及びVマスクを表すファイルを生成するために使用することができるコンピュータ・システムを表すブロック図である。 開示された概念の助けで設計されたマスクとともに使用するのに適した、例示のリソグラフィ投射装置の概略図である。
以下により詳細に説明するように、本発明の二重露光技術は、目標パターンを複数の三色マスク中に分解し、それは、照明されたとき、改良された散乱バーのトリミング及び改良された写像性能を実現する。
より具体的に説明すると、図2は、本発明の第1の実施例を表すフローチャート図である。図2を参照すると、プロセス中の第1のステップ(ステップ20)は、基板(又はウェハなど)上に写像される目標パターンを識別し読み込むことである。目標パターンは、例えばGDSII設計データ、又は他の任意の適切なデータ・フォーマットで表すことができる。次のステップ(ステップ22)は、目標パターンを水平(H)及び垂直(V)レイアウト中に変換し、水平及び垂直のレイアウト両方中のクリティカル幾何形状を識別する(ステップ24)。目標設計をH及びVのレイアウト中に分離するとき、最初は、レイアウトが目標パターンと同一でありそれに対応することに、留意されたい。しかし、以下にさらに詳細に説明するように、Hレイアウト(Hマスクとしても言われる)が、本発明によって修正され、目標パターンの水平縁部を印刷するように機能する。Vレイアウト(Vマスクとしても言われる)が、本発明によって修正され、目標パターンの垂直縁部を印刷するように機能する。
クリティカル幾何形状は、幅寸法が、ある事前に定義された量より小さいようなフィーチャであり、その量は、設計者が、使用される写像システム及び目標パターンのCD許容差に基づき、決定することができる。幾何形状の操作は、クリティカルであると指定され予め決定された値より小さい、Hマスク及びVマスク中のフィーチャを指定するために、使用される。クリティカル値は、設計及び使用される技術モードに依存して変更することができる変数として、設定される。言い換えると、所与のプロセス及び技術モード(例えば45nm)に対しては、幅寸法が一定値より小さいフィーチャを適切に写像することがより困難になり、その値は、所与の発明ではクリティカル値として言われる。前述したように、このクリティカル値は、プロセスからプロセスへだけでなく、異なる技術モードに対しても変化し得る。
ステップ24は、目標パターンを写像するために使用される写像システムの空間像モデル又は較正モデルを使用して、達成することができることに留意されたい。そのようなモデルを使用することによって、目標パターンの所与のフィーチャが基板上にどのように写像されるかを模擬し、次いで模擬の結果に基づき、フィーチャのCDを決定することが可能であり、そのフィーチャは、クリティカル・フィーチャとして適切になるはずである。そのモデルの使用は、本技術ではよく知られており、本明細書でさらに詳細には議論されない。空間像モデル又は較正モデルは、目標パターンを水平及び垂直レイアウト中に変換するために、使用することもできることに、さらに留意されたい。これは、照明のダイポールX又はダイポールYに対して低コントラスト方向で処理縁部を指定することによって、達成することができる。
クリティカルな幾何形状が、Hレイアウト及びVレイアウト両方の中で同定されると、次のステップ(ステップ26)は、所望の伝達率(例えば6%伝達率)及び位相(例えば180°)を、例えば減衰位相シフト材料を使用して、Hレイアウト及びVレイアウト両方の中のクリティカル・フィーチャ毎に、割り当てることである。最適伝達率は、例えば、2004年11月5日出願の米国特許出願第10/981,762号に開示された伝達同調技術を使用して、決定することができ、その出願は、本明細書にその全体が組み込まれることに、留意されたい。もちろん、6%など、使用される所望の伝達率を単に選択することも許容可能である。さらに、180°が、クリティカル・フィーチャに適用される所望の位相シフトとして特定されたとき、他の角度の位相シフトを使用することも可能であり、したがって、本発明は、180°の位相シフトの使用に限定されると見なすべきでない。
クリティカル・フィーチャに割り当てられた伝達率及び位相は、クリティカル・フィーチャが、例えば適切な減衰位相シフト材料又はクロム非含有メサ構造を使用して、それぞれのマスク中でどのように形成されるかを定義することに、留意されたい。所与の実施例では、マスク製作プロセスの複雑性を最小にするために、同じ伝達率及び位相が、クリティカル・フィーチャすべてに適用されることに、さらに留意されたい。しかし、異なる伝達率及び位相をクリティカル・フィーチャに割り当てると写像性能が改良されることになるときは、そのようにすることも可能である。最適伝達率は、NA及びピッチに依存することに、留意されたい。
Hレイアウト及びVレイアウト両方の中の非クリティカル・フィーチャ(即ち、幅がクリティカルな幾何形状を定義する幅より広いようなフィーチャ)に関しては、これらのフィーチャは、クロムを使用して写像することができる。もちろん、なんらかの理由によって望ましい場合、そのような非クリティカル・フィーチャは、クリティカル・フィーチャを形成するために使用される位相シフト材料と同じ材料を使用して、写像することもできるはずである。
次のステップ(ステップ28)は、Hレイアウト中の垂直縁部及びVレイアウト中の水平縁部に、仮のクロム・シールドを加えることである。クロム・シールドは、例えば多数の知られたOPCモデル又は光学的モデルのどれを使用しても、加えることができる。仮のクロム・シールドが加えられると、次のステップ(ステップ30)は、Hレイアウト及びVレイアウトのそれぞれに、仮のSBを加えることである。Hレイアウトでは、SBは、写像される水平縁部と平行に延在して水平に配置されるはずであり、Vレイアウトでは、SBは、写像される垂直縁部と平行に延在して垂直に配置されるはずである。
本発明と関連付けられる利点の1つは、本発明のプロセスから得られるSBの互いのトリミングによって、大きなSBを使用することができる能力であることに、留意されたい。殊に、所与のプロセスでは、Hマスク及びVマスク両方が、回路フィーチャ及びSBを含むが、それらは、異なる対応する方向にあり、したがって二重露光中に、Hマスク及びVマスクのためにSBが互いにトリミングされる。言い換えると、各露光のバックグランド露光が、各マスク中のSBの印刷を防止するために、使用される。このプロセスは、極めて低いk印刷を達成するために、専用のSBトリミング露光を使用することより有効である。正確には、SBをどの程度大きくすることができるかは、焦点深度要求及び使用される所与のプロセスにある程度依存する。許容される最大SBサイズを決定するための一方法は、最終像の中にSB残渣を少しも残さないことになる最大許容可能なSB幅を決定するために、最初に模擬を行うことである。
最初にシールド要求を決定するとき、それぞれのレイアウト中にSBが存在しないので、次のステップ(ステップ32)では、Hレイアウト及びVレイアウト両方の中に仮にSBが配置されると、次いで、要求される/最適なシールドに関して、SBの光学的重みを考慮することができるように、シールドを施すためのモデルが再実行される。同様に、シールドが完成されると、それぞれのマスクに加えられる完成されたシールドを考慮して、最適SBを決定することができるように、SBを加えるためのOPCプログラムが再実行される。
SBが完成されると、最後のステップ(ステップ34)は、マスク製造ルールのチェック及び検証に加えて、最終モデルのOPCを実行することである。それぞれのレイアウト(即ち、マスク)がルールのチェック及び検証に合格した場合、プロセスは、完了し、Hレイアウト及びVレイアウトが、二重露光写像プロセス中に使用されるマスクを表す。
前述のプロセスは、二重ダイポール照明に限定されないことに、留意されたい。例えば、他のタイプの照明、例えば、X(水平)又はY(垂直)方向いずれかに対称的なポールを有するが、XとY方向では非対称なポールを有するカスタマイズされたQUASAR照明などに適用することもできる。
図3(a)から3(d)に、本発明の方法による、Vレイアウト(図3(b)参照)及びHレイアウト(図3(c)参照)中への目標パターン(図3(a)参照)の分解の実施例、及びその結果得られた空間像(図3(d)参照)を示す。図3(b)を参照すると、それは、Vレイアウトであり、水平に配向されたフィーチャ33が、クロム・シールドによって被覆され、写像される垂直フィーチャ35が、6%の伝達率及び180°の位相シフトを有するAttPSM材料から形成されていることが、示されている。Vレイアウトは、垂直に配置されたSB37も含む。同様に、図3cを参照すると、それはHレイアウトであり、垂直に配向されたフィーチャ35が、クロム・シールドによって被覆され、写像される水平フィーチャ33が、6%の伝達率及び180°の位相シフトを有するAttPSM材料から形成されていることが、示されている。Hレイアウトは、水平に配置されたSB39も含む。前述の実施例の写像されるフィーチャすべてが、クリティカルと見なされたので、フィーチャすべては、AttPSM材料から形成されることに、留意されたい。しかし、上記に示すように、幅がクリティカル寸法を超える、目標パターン中のいずれのフィーチャについても、マスク製造プロセスを単純化するようにクロムを使用して、このフィーチャを形成することが可能である。図3(d)に、模擬された写像結果を示す。
前述の実施例の一変形例では、照明の偏光とともに本発明の二重露光プロセスを使用することによって、写像性能をさらに高めることが可能である。より具体的に言うと、高NA及び強い軸外照明条件下で、ピッチが波長より短いとき、0番目と±1番目の回折成分の間の角度が、ベクトル効果が重要になるように、極めて大きい。直線偏光が、コントラストを高める有効な方法であり、露光システム中で実施することが、比較的簡単である。図4(a)に示すように、TM(即ち、トラバース磁気波)から生じる不完全な干渉によって、像コントラストが減少し得る。しかし、図4(b)に示すように、直線偏光源と組み合わせてダイポール照明を使用することによって、不要なTM成分を減少することができ、それによって像コントラストが向上する。図4cに、0.85のNA、並びにσIN=0.68及びσOUT=0.93のDXによって露光された9%AttPSMマスクの場合、DX源に直線y偏光を施すことによって、NILSが3倍改良されることを示す。
図4(d)は、偏光された場合、及びされない場合の露光焦点ずれ(ED)のグラフの図である。直線y偏光されたDXは、最小輝度がより低いので、EDウインドウは、中央線量がより大きいことに、留意されたい。図4(e)に、同じダイポール角度及びσパラメータを使用し、直線y偏光を加えることによって、垂直フィーチャについて、露光ラチチュードが51%向上することを示す。
上記に述べたように、本発明の二重露光技術は、以前に知られた技術に勝る改良された性能をもたらす。図5(a)は、DX及びDY照明にそれぞれ直線y及び直線xの偏光を使用する、0.93のNAの乾燥系の露光システムを仮定し、隔置された45nmのラインを写像した場合について、本発明の二重露光技術の性能の模擬された比較を示す図である。DX及びDYのダイポール設定は、ともにσIN=0.68及びσOUT=0.93であり、ポール角度が35°である。図5(b)に、最適位置に配置され、幅が図5(a)の25nmから図5(c)の50nmの範囲にある、3対のSBを示す。模擬されたFEMグラフによって、25nmのSBに比較して、フルサイズのSBが、100%のDOF向上をもたらすことが、示される。
実用的なこととして、ArFを使用して45nmのフィーチャを印刷するために、浸漬及び偏光が、DOFを改良するために使用されることが、予想される。図6(a)及び6(b)に、45nmの密集し隔置されたラインについて、単一露光プロセス対二重露光プロセスの比較を示す。模擬設定は、DX単一露光には、NAが0.93であり、σIN=0.76及びσOUT=0.96である。二重露光の場合、DX及びDYは、ともに同じNA及び照明設定を使用する。模擬すべてに、Prolith v9.01 EMF1が使用され、模擬は、ケースA(密集)、ケースC(隔置)及びケースE(隔置)、ただし密集ピッチが120nmである、単一露光の実施例を含み、並びにケースB(密集)及びケースD(隔置)の二重露光の実施例を含む。ケースA及びBは、単一露光及び本発明による二重露光について、密集ライン性能を比較する。ここに示すように、適切なシールドによって、二重露光は、プロセス・ウインドウが、単一露光と同じである。単一露光による隔置ライン、ケースEでは、プロセス・ウインドウが極めて限定される。しかし、フルサイズ55nmのSB及び二重露光技術を使用したケースDでは、DOFの著しい向上が示されている。
本発明がもたらす他の利点に関し、いくつかの極めて密集したデバイス構成について、ライン末端部間において良好に制御して、非常に狭いスペースを印刷することが必要であることに、留意されたい。そのような狭いスペースの印刷を試みたとき、本発明のプロセスは、改良されたプロセス・ラチチュードをもたらす。例として、本発明のDDL/DET技術が使用されて6FのDRAMコアが印刷され、その結果得られたプロセス・ラチチュードは、単一露光プロセスを使用して得られたそれと比較された。図7(a)に、DRAMセルの能動層上の主なフィーチャがトリミングされ、kが0.1である、本発明のDDL/DET技術の使用を示す。この実施例では、DX及びDY中それぞれ角度90°を有する2つのダイポール・モデルが使用されて、元の目標レイアウト71が、9%AttPSMコア・パターン73中に変換され、及び接続しているレジスト・ラインから不要物を除去して2つのライン末端部に分離するために使用されるBIMトリム・マスク75中にも変換された。図7(a)に、模擬された写像結果77も示す。図7(b)に、同じセル上で主なフィーチャがトリミングされるが、kがより積極的な027であるDEL実施例を示す。この場合、第1の露光への最適源が、X−Y非対称QUASARであり、第2の露光源は、σINがσOUTより広い、ダイポールである。図8(a)及び8(c)に、二重露光技術を使用して形成され、その結果得られたレジスト輪郭を示す。図8(b)及び8(d)に、最適化された照明器による単一露光技術を使用して形成されたレジスト輪郭を示す。これらの図に示すように、単一露光の場合、ライン末端部中でNILSが乏しいため、極めて積極的なOPC修正が必要になる、ライン末端部の厳しいプル・バックが存在する。単一露光プロセスが、二重露光プロセスと同じ印刷結果を達成することは、極めて困難である。図8(e)に、0.85のNAの乾燥系で、能動領域のCDを横切る切断ライン上に偏光がない条件を使用して、模擬されたプロセス・ラチチュードを示す。これに示すように、0.18μmのDOFの場合、二重露光プロセスは、最適単一露光に比べて、露光ラチチュードが33%だけ、より高い結果になる。
本発明の前述の例示する実施例の変形例も、可能である。例えば、上記にすでに述べたように、本発明の二重露光技術は、ダイポール照明に限定されない。2ステップの照明プロセス中で、異なる源形状を使用することができる。さらに、第1の照明のための照明源の形状は、第2の形状のための照明源の形状と異なってよい。
写像結果をさらに高めるための努力で、目標パターンの周波数に最善で整合するように、SBが、マスクによって位置付けられることにも留意されたい。したがって、Vマスクでは、写像される垂直フィーチャの周波数に最善で整合するように、垂直SBが位置付けられ、Hマスクでは、写像される水平フィーチャの周波数に最善で整合するように、水平SBが位置付けられる。
他の変形例では、Hマスク及びVマスク中のクリティカル・フィーチャに、異なる位相シフト及び伝達率を割り当てることが、可能である。さらに、同じマスク(即ち、Hマスク又はVマスク)中のクリティカル・フィーチャに、異なる位相シフト及び伝達率を割り当てることも、可能である。例えば、幅が異なり、そのすべてがクリティカル寸法より小さいフィーチャは、異なる位相シフト及び/又は異なる伝達率を使用して、改良された写像性能を示すことができる。
他の変形例では、写像システムから閃光の衝撃を減少するために、下位の分解能の格子ブロックが、設計フィーチャ(SBを含む)を含まない開いた領域に加えられる。
図9は、上記に説明された照明の最適化を実行することができるコンピュータ・システム100を表すブロック図である。コンピュータ・システム100は、情報を交換するためのバス102又は他の通信メカニズムと、情報を処理するために、バス102に結合されたプロセッサ104とを含む。コンピュータ・システム100は、情報及びプロセッサ104が実行する命令を格納するために、ランダム・アクセス・メモリ(RAM)や他のダイナミック記憶装置など、バス102に結合されたメイン・メモリ106も含む。メイン・メモリ106は、プロセッサ104が実行する命令の実行中、一時的な変数や他の中間情報を格納するために、使用することもできる。コンピュータ・システム100は、プロセッサ104のためのスタティックな情報及び命令を格納するために、バス102に結合されたリード・オンリー・メモリ(ROM)108や他のスタティック記憶装置をさらに含む。情報及び命令を格納するために、磁気ディスクや光ディスクなどの記憶装置110が設けられ、バス102に結合される。
コンピュータ・システム100は、コンピュータ・ユーザに情報を表示するために、ブラウン管(CRT)、フラット・パネルやタッチ・パネルの表示装置などの表示装置112に、バス102を介して結合することができる。プロセッサ104へ情報及びコマンド選択を通信するために、英数字及び他のキーを含んだ入力装置114が、バス102に結合される。他のタイプのユーザ入力装置は、プロセッサ104へ指示情報及びコマンド選択を通信し、表示装置112上のカーソル移動を制御するためのマウス、トラック・ボールやカーソル指示キーなどのカーソル制御器116である。この入力装置は、通常、第1の軸(例えばX)及び第2の軸(例えばY)の2軸に2自由度を有し、それによって装置は平面上で位置指定することが、可能になる。タッチ・パネル(スクリーン)表示装置は、入力装置として使用することもできる。
本発明の一実施例によれば、分解プロセスは、メイン・メモリ106中に収納された1つ又は複数の命令の1つ又は複数のシーケンスを実行するプロセッサ104に応答して、コンピュータ・システム100によって実施することができる。その命令は、記憶装置110などの他のコンピュータ可読の媒体からメイン・メモリ106に読み込むことができる。メイン・メモリ106中に収納された命令シーケンスが実行されて、プロセッサ104は、本明細書に述べられたプロセス・ステップを実施する。メイン・メモリ106中に収納された命令シーケンスを実行するために、マルチ処理構成の1つ又は複数のプロセッサを使用することもできる。代替の実施例では、本発明を実施するためのソフトウェア命令の代わりに、又はそれと組み合わせて、配線で接続された回路を使用することができる。したがって、本発明の実施例は、ハードウェア回路とソフトウェアのどのような特定の組合せにも限定されない。
用語「コンピュータ可読媒体」は、本明細書で使用されるとき、実行するために命令をプロセッサ104へ供給することに参画する、どのような媒体も言う。その媒体は、これらに限定されないが、不揮発性媒体、揮発性媒体や通信媒体を含め、多くの形を取ることができる。不揮発性媒体は、例えば記憶装置110などの光や磁気のディスクを含む。揮発性媒体は、メイン・メモリ106などのダイナミック・メモリを含む。通信媒体は、バス102を含む配線を含め、同軸ケーブル、銅線やファイバ光学要素を含む。通信媒体は、無線周波(RF)及び赤外線(IR)のデータ通信中に発生されるような、音波や光波の形を取ることもできる。コンピュータ可読媒体の一般の形は、例えば、フロッピー(登録商標)ディスク、フレキシブル・ディスク、ハード・ディスク、磁気テープや他のすべての磁気媒体、CD−ROM、DVDや他のすべての光媒体、穿孔カード、紙テープや孔のパターンを有する他のすべての物理的媒体、RAM、PROM、EPROM、フラッシュEPROMや他のすべてのメモリ・チップ又はカートリッジ、以降に述べる搬送波、或いはコンピュータがそこから読むことができる他のすべての媒体を含む。
様々な形のコンピュータ可読媒体は、実行するためにプロセッサ104へ、1つ又は複数の命令の1つ又は複数のシーケンスを搬送することに、関与することができる。例えば、命令は、最初、遠隔コンピュータの磁気ディスク上に生まれる。遠隔コンピュータは、そのダイナミック・メモリに命令をロードし、モデムを使用して電話線を介してその命令を送ることができる。コンピュータ・システム100にローカルなモデムが、電話線でデータを受け取り、赤外線送信機を使用してそのデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器が、赤外線信号で搬送されたデータを受け取り、そのデータをバス102上に出すことができる。バス102は、メイン・メモリ106へデータを搬送し、そこからプロセッサ104が、命令を取り出し実行する。メイン・メモリ106が受け取った命令は、プロセッサ104がそれを実行する前、又はその後いずれかで、記憶装置110に任意選択で格納することができる。
コンピュータ・システム100は、バス102に結合される通信インターフェース118も含むことが好ましい。通信インターフェース118は、ローカル・ネットワーク122に接続されたネットワーク・リンク120に結合して、双方向データ通信を実現する。例えば、通信インターフェース118は、対応するタイプの電話ラインへデータ通信接続を実現するための統合サービス・デジタル・ネットワーク(ISDN)カード又はモデムであってよい。他の実施例として、通信インターフェース118は、互換性のあるLANへデータ通信接続を実現するためのローカル・エリア・ネットワーク(LAN)カードとしてもよい。無線リンクを実装することもできる。そのような任意の実装状態では、通信インターフェース118は、様々なタイプの情報を表すデジタル・データ・ストリームを搬送する、電気、電磁気や光の信号を送受信する。
ネットワーク・リンク120は、通常、1つ又は複数のネットワークを介して他のデータ装置へのデータ通信を実現する。例えば、ネットワーク・リンク120は、ローカル・ネットワーク122を介して、ホスト・コンピュータ124、又はインターネット・サービス・プロバイダ(ISP)126によって運用されるデータ装置への接続を実現することができる。次いで、ISP126は、今は「インターネット」128として一般に言われる、全世界的なパケット・データ通信ネットワークを介して、データ通信サービスを提供する。ローカル・ネットワーク122及びインターネット128はともに、デジタル・データ・ストリームを搬送する、電気、電磁気や光の信号を使用する。様々なネットワークを通る信号、及びネットワーク・リンク120上や通信インターフェース118を通る信号は、情報を伝達する搬送波の例示の形であり、それらネットワーク等は、コンピュータ・システム100とデジタル・データをやり取りする。
コンピュータ・システム100は、ネットワーク、ネットワーク・リンク120及び通信インターフェース118を介して、プログラム・コードを含め、メッセージを送り、データを受け取ることができる。インターネットの例では、サーバ130が、インターネット128、ISP126、ローカル・ネットワーク122及び通信インターフェース118を介して、アプリケーション・プログラムのために要求されたコードを送信することができるはずである。本発明によれば、例えば、1つのそのようなダウンロードされたアプリケーションによって、照明の最適化の実施例が実現される。受け取られたコードは、そのコードが受け取られたとき、プロセッサ104が実行することができ、及び/又は後で実行するために、記憶装置110又は他の不揮発性記憶装置に格納することができる。このようにして、コンピュータ・システム100は、搬送波の形でアプリケーション・コードを得ることができる。
図10に、本発明の助けによって設計されるマスクとともに使用するのに適した、リソグラフィ投射装置を概略的に示す。装置は、
放射の投射ビームPBを供給するための放射システムE、ILであって、この特別の場合では、放射システムは、放射源LAも含む、放射システムと、
マスクMA(例えばレチクル)を保持するためのマスク・ホールダを備え、品目PLに対してマスクを正確に位置決めするための第1の位置決め手段に接続された、第1の対物テーブル(マスク・テーブル)MTと、
基板W(例えばレジスト被覆シリコン・ウェハ)を保持するための基板ホールダを備え、品目PLに対して基板を正確に位置決めするための第2の位置決め手段に接続された、第2の対物テーブル(基板テーブル)WTと、
基板Wの目標部分C(例えば1つ又は複数のダイを含む)上に、マスクMAの照射された部分を写像するための投射システム(「レンズ」)PL(例えば、屈折、反射や反射屈折の光学的システム)と
を含む。
本明細書に示すように、装置は、透過タイプ(即ち、透過型マスクを含む)のものである。しかし、一般に、それは、例えば反射タイプ(反射型マスクを有する)のものでもよい。或いは、装置は、マスク使用の代替として、他の種類のパターン形成手段を使用してもよく、その実施例には、プログラム可能なミラー・アレイやLCDマトリックスが含まれる。
放射源LA(例えば、水銀ランプやエキシマ・レーザ)が、放射ビームを生成する。このビームは、直接に、又は例えばビーム・エクスパンダEなどの調整手段を横切った後いずれかで、照明システム(照明器)IL中に送られる。照明器ILは、ビーム中の輝度分布の外側及び/又は内側の半径方向限度(通常、それぞれ外側σ及び内側σと言われる)を設定するための調節手段AMを含むことができる。さらに、それは、一般に、インテグレータIN及びコンデンサCOなど、他の様々な構成要素を含むことになる。このようにして、マスクMA上に衝突するビームPBは、その断面において所望の一様性及び輝度分布を有する。
図10に関して、放射源LAは、リソグラフィ投射装置の筺体内にあってもよく(例えば、放射源LAが水銀ランプであるときは、このようなケースがしばしばである)、或いは放射源LAは、リソグラフィ投射装置から遠隔にあって、それが生成する放射ビームが、装置中に誘導されてもよく(例えば適切な誘導ミラーの助けを得て)、この後者のシナリオは、放射源LAがエキシマ・レーザ(例えばKrF、ArFやFによるレーザ光線発生)であるときは、しばしばこのケースであることに、留意すべきである。本発明は、これらのシナリオをともに含む。
その後、ビームPBは、マスク・テーブルMT上に保持されたマスクMAによってさえぎられる。マスクMAを横切った後、ビームPBは、レンズPLを通過し、それは、ビームPBを基板Wの目標部分C上に合焦する。第2の位置決め手段(及び干渉的測定手段IF)の助けを受けて、例えばビームPBの経路中に異なる目標部分Cを位置付けるために、基板テーブルWTを正確に移動することができる。同様に、第1の位置決め手段は、例えばマスク・ライブラリからマスクMAを機械的に取り出した後、又は走査中に、ビームPBの光路に対してマスクMAを正確に位置決めするために、使用することができる。一般に、対物テーブルMT、WTの移動は、長行程モジュール(粗い位置決め用)及び短行程モジュール(微小な位置決め用)の助けによって実現されることになり、それらは、図10に明示していない。しかし、ウェハ・ステッパ(ステップ走査式ツールとは異なる)の場合、マスク・テーブルMTは、短行程アクチュエータだけに接続する、又は固定することができる。
示したツールは、2つの異なるモードで使用することができる。
ステップ・モード:
マスク・テーブルMTは、基本的に静止状態に保たれ、マスク像全体が、目標部分C上に1回(即ち、1回の「閃光」)で投射される。次に、基板テーブルWTは、ビームPBによって異なる目標部分Cを照射することができるように、X及び/又はY方向にシフトされる。
走査モード:
所与の目標部分Cが、1回の「閃光」では露光されないことを除き、基本的には同じシナリオが適用される。その代わり、投射ビームPBがマスク像の上を走査し、それと並行して、基板テーブルWTが、同じ方向又はそれとは反対の方向に、速度V=Mνで同時に移動されるように、マスク・テーブルMTが、所与の方向(いわゆる「走査方向」、例えばy方向)に、速度νで可動である。ここでMは、レンズPLの倍率(通常、M=1/4又は1/5)である。このようにして、分解能の面で妥協する必要がなく、比較的広い目標部分Cを露光することができる。
本発明が詳細に述べ例示されたが、同発明は、例示及び例としてだけであり、限定するものと取るべきでなく、本発明の範囲は、特許請求の範囲の記載のみによって限定されることを、はっきりと理解すべきである。
33 水平フィーチャ
35 垂直フィーチャ
37 垂直に配置されたSB
39 水平に配置されたSB
100 コンピュータ・システム
102 バス
104 プロセッサ
106 メイン・メモリ
108 リード・オンリー・メモリ、ROM
110 記憶装置
112 表示装置
114 入力装置
116 カーソル制御器
118 通信インターフェース
120 ネットワーク・リンク
122 ローカル・ネットワーク
124 ホスト・コンピュータ
126 インターネット・サービス・プロバイダ、ISP
128 インターネット
130 サーバ
LA 放射源
放射システム、ビーム・エクスパンダ
IL 放射システム、照明システム、照明器
AM 調節手段
IN インテグレータ
CO コンデンサ
PL 品目、投射システム、レンズ
PB 投射ビーム
W 基板
WT 基板テーブル、対物テーブル、第2の対物テーブル
IF 干渉的測定手段
MA マスク
C 目標部分
MT 第1の対物テーブル、マスク・テーブル
TM トラバース磁気波

Claims (18)

  1. 基板上に写像されるフィーチャを有する目標パターンに基づく相補的マスクを生成する、コンピュータで行われる方法であって、
    前記相補的マスクは、それぞれ異なる少なくとも第1及び第2の照明設定を使用する多重露光リソグラフィ写像プロセス中で使用されるためのものであり、
    前記方法が、
    前記第1の照明設定とともに使用するための、前記目標パターンに対応する最初のHマスクを定義するステップと、
    前記第2の照明設定とともに使用するための、前記目標パターンに対応する最初のVマスクを定義するステップと、
    前記Hマスク中の水平クリティカル・フィーチャを同定するステップと、
    記Vマスク中の垂直クリティカル・フィーチャを同定するステップと、
    前記Hマスクを使用して前記水平クリティカル・フィーチャを印刷するために第1の透過性マスク材料を割り当てるステップと、
    前記Vマスクを使用して前記垂直クリティカル・フィーチャを印刷するために第2の透過性マスク材料を割り当てるステップと、
    前記コンピュータにより実行されるOPCモデルを使用して、前記Hマスクに付与する第1の散乱バーを決定するステップと、
    前記OPCモデルを使用して、前記Vマスクに付与する第2の散乱バーを決定するステップと
    を含み、
    前記Hマスクが前記Vマスク中の前記第2の散乱バーのトリミング用に構成され、前記Vマスクが前記Hマスク中の前記第1の散乱バーのトリミング用に構成される、方法。
  2. 前記Hマスク及び前記Vマスク中のクリティカルでないフィーチャすべてにクロムを割り当てるステップをさらに含み、
    前記クリティカルでないフィーチャが、所定のクリティカルな幅より広い又はそれと等しい幅を有し、
    前記クリティカルでないフィーチャが、クロムを使用して、前記Hマスク及び前記Vマスク中に形成される、請求項1に記載の相補的マスクを生成する方法。
  3. 前記第1の透過性マスク材料は第1の位相シフト及び第1の伝達率を有し、前記第2の透過性マスク材料は第2の位相シフト及び第2の伝達率を有し、
    前記第1の位相シフト及び前記第2の位相シフトが等しく、
    前記第1の伝達率及び前記第2の伝達率が等しい、請求項1又は2に記載の相補的マスクを生成する方法。
  4. 前記Hマスク中の第1の垂直フィーチャにクロム・シールドを加えるステップをさらに含む、請求項1乃至3のいずれかに記載の相補的マスクを生成する方法。
  5. 前記Vマスク中の第1水平フィーチャにクロム・シールドを加えるステップをさらに含む、請求項1乃至4のいずれかに記載の相補的マスクを生成する方法。
  6. 前記OPCモデルを使用して、前記Vマスク中の第2水平フィーチャに加えるクロム・シールドを決定するステップと、
    前記OPCモデルを使用して、前記Hマスク中の第2の垂直フィーチャに加えるクロム・シールドを決定するステップと、
    をさらに含み、
    前記Hマスクが前記Vマスク中の前記第2の水平フィーチャのトリミング用に構成され、前記Vマスクが前記Hマスク中の前記第2の垂直フィーチャのトリミング用に構成される、請求項1乃至5のいずれかに記載の相補的マスクを生成する方法。
  7. 前記第1及び第2の散乱バーの光学的重要性を考慮に入れながら、前記Hマスクに加えるクロム・シールドの決定及び前記Vマスクに加えるクロム・シールドの決定を繰り返すステップをさらに含む、請求項6に記載の相補的マスクを生成する方法。
  8. コンピュータが可読の記録媒体を含む、前記コンピュータを制御するためのコンピュータ・プログラム製品であって、
    前記記録媒体上に記録された手段が、前記コンピュータに命令して、基板上に写像されるフィーチャを有する目標パターンに基づく相補的マスクを表すファイルを生成するプロセスを実行させるためのものであり、
    前記相補的マスクが、それぞれ異なる少なくとも第1及び第2の照明設定を使用する多重露光リソグラフィ写像プロセス中で使用されるものであり、
    前記プロセスが、
    前記第1の照明設定とともに使用するための、前記目標パターンに対応する最初のHマスクを定義するステップと、
    前記第2の照明設定とともに使用するための、前記目標パターンに対応する最初のVマスクを定義するステップと、
    前記Hマスク中の水平クリティカル・フィーチャを同定するステップと、
    記Vマスク中の垂直クリティカル・フィーチャを同定するステップと、
    前記Hマスクを使用して前記水平クリティカル・フィーチャを印刷するために第1の透過性マスク材料を割り当てるステップと、
    前記Vマスクを使用して前記垂直クリティカル・フィーチャを印刷するために第2の透過性マスク材料を割り当てるステップと、
    OPCモデルを使用して、前記Hマスクに付与する第1の散乱バーを決定するステップと、
    前記OPCモデルを使用して、前記Vマスクに付与する第2の散乱バーを決定するステップと
    を含み、
    前記Hマスクが前記Vマスク中の前記第2の散乱バーのトリミング用に構成され、前記Vマスクが前記Hマスク中の前記第1の散乱バーのトリミング用に構成される、コンピュータ・プログラム製品。
  9. 前記OPCモデルを使用して、前記Vマスク中の水平フィーチャに加えるクロム・シールドを決定するステップと、
    前記OPCモデルを使用して、前記Hマスク中の垂直フィーチャに加えるクロム・シールドを決定するステップと、
    をさらに含み、
    前記Hマスクが前記Vマスク中の前記水平フィーチャのトリミング用に構成され、前記Vマスクが前記Hマスク中の前記垂直フィーチャのトリミング用に構成される、請求項8に記載のコンピュータ・プログラム製品。
  10. デバイス製造方法であって、
    (a)放射感受性材料層によって少なくとも部分的に被覆された基板を設けるステップと、
    (b)写像システムを使用して、放射の投射ビームを設けるステップと、
    (c)前記投射ビームにパターンをその断面において与えるために使用される複数のマスクを生成するステップと、
    (d)前記生成されたマスクの少なくとも第1及び第2のマスクのための、それぞれ異なる少なくとも第1及び第2の照明設定を使用する多重露光リソグラフィ写像プロセス中に、前記放射のパターン形成されたビームを前記放射感受性材料層の目標部分上に投射するステップとを含み、
    ステップ(c)において、前記マスクが、
    前記第1の照明設定とともに使用するための、目標パターンに対応する最初のHマスクを定義するステップと、
    前記第2の照明設定とともに使用するための、前記目標パターンに対応する最初のVマスクを定義するステップと、
    前記Hマスク中の水平クリティカル・フィーチャを同定するステップと、
    記Vマスク中の垂直クリティカル・フィーチャを同定するステップと、
    前記Hマスクを使用して前記水平クリティカル・フィーチャを印刷するために第1の透過性マスク材料を割り当てるステップと、
    前記Vマスクを使用して前記垂直クリティカル・フィーチャを印刷するために第2の透過性マスク材料を割り当てるステップと、
    OPCモデルを使用して、前記Hマスクに付与する第1の散乱バーを決定するステップと、
    前記OPCモデルを使用して、前記Vマスクに付与する第2の散乱バーを決定するステップとを含む方法によって形成され、
    前記Hマスクが前記Vマスク中の前記第2の散乱バーのトリミング用に構成され、前記Vマスクが前記Hマスク中の前記第1の散乱バーのトリミング用に構成される、デバイス製造方法。
  11. ウェハに写像する方法であって、
    前記基板上に写像される目標パターンを定義するステップと、
    前記目標パターンに対応する最初のHマスクを定義するステップと、
    前記目標パターンに対応する最初のVマスクを定義するステップと、
    前記Hマスク中の水平クリティカル・フィーチャを同定するステップと、
    記Vマスク中の垂直クリティカル・フィーチャを同定するステップと、
    前記Hマスクを使用して前記水平クリティカル・フィーチャを印刷するために第1の透過性マスク材料を割り当てるステップと、
    前記Vマスクを使用して前記垂直クリティカル・フィーチャを印刷するために第2の透過性マスク材料を割り当てるステップと、
    OPCモデルを使用して、前記Hマスクに付与する第1の散乱バーを決定するステップと、
    前記OPCモデルを使用して、前記Vマスクに付与する第2の散乱バーを決定するステップと、
    前記多重露光リソグラフィ写像プロセスの第1の照明源を使用して前記Hマスクを写像するステップと、
    前記多重露光リソグラフィ写像プロセスの第2の照明源を使用して前記Vマスクを写像するステップと
    を含み、
    前記Hマスクが前記Vマスク中の前記第2の散乱バーのトリミング用に構成され、前記Vマスクが前記Hマスク中の前記第1の散乱バーのトリミング用に構成される、ウェハに写像する方法。
  12. 前記Hマスク及び前記Vマスク中のクリティカルでないフィーチャすべてにクロムを割り当てるステップをさらに含み、
    前記クリティカルでないフィーチャが、所定のクリティカルな幅より広い又はそれと等しい幅を有し、
    前記クリティカルでないフィーチャが、クロムを使用して、前記Hマスク及び前記Vマスク中に形成される、請求項11に記載のウェハに写像する方法。
  13. 前記第1の透過性マスク材料は第1の位相シフト及び第1の伝達率を有し、前記第2の透過性マスク材料は第2の位相シフト及び第2の伝達率を有し、
    前記第1の位相シフト及び前記第2の位相シフトが等しく、
    前記第1の伝達率及び前記第2の伝達率が等しい、請求項11又は12に記載のウェハに写像する方法。
  14. 前記Hマスク中の垂直フィーチャにクロム・シールドを加えるステップをさらに含む、請求項11乃至13のいずれかに記載のウェハに写像する方法。
  15. 前記Vマスク中の水平フィーチャにクロム・シールドを加えるステップをさらに含む、請求項11乃至14のいずれかに記載のウェハに写像する方法。
  16. 前記第1の照明源及び前記第2の照明源がダイポール照明である、請求項11乃至15のいずれかに記載のウェハに写像する方法。
  17. 前記第1の照明源がダイポール照明であり、
    前記第2の照明源が非ダイポール照明である、請求項11乃至15のいずれかに記載のウェハに写像する方法。
  18. 前記第2の照明源が、QUASAR照明又は環状照明のうちの1つである、請求項17に記載のウェハに写像する方法。
JP2010178970A 2005-04-12 2010-08-09 相補的マスクを生成する方法、コンピュータ・プログラム製品、デバイス製造方法及びウェハに写像する方法 Expired - Fee Related JP4717153B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US67028505P 2005-04-12 2005-04-12
US60/670,285 2005-04-12

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006134487A Division JP4617272B2 (ja) 2005-04-12 2006-04-12 二重露光リソグラフィを実行するための方法、プログラム製品及びデバイス製造方法

Publications (2)

Publication Number Publication Date
JP2010256935A JP2010256935A (ja) 2010-11-11
JP4717153B2 true JP4717153B2 (ja) 2011-07-06

Family

ID=36648642

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006134487A Expired - Fee Related JP4617272B2 (ja) 2005-04-12 2006-04-12 二重露光リソグラフィを実行するための方法、プログラム製品及びデバイス製造方法
JP2010178970A Expired - Fee Related JP4717153B2 (ja) 2005-04-12 2010-08-09 相補的マスクを生成する方法、コンピュータ・プログラム製品、デバイス製造方法及びウェハに写像する方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006134487A Expired - Fee Related JP4617272B2 (ja) 2005-04-12 2006-04-12 二重露光リソグラフィを実行するための方法、プログラム製品及びデバイス製造方法

Country Status (8)

Country Link
US (4) US7681171B2 (ja)
EP (1) EP1712954B1 (ja)
JP (2) JP4617272B2 (ja)
KR (1) KR100899359B1 (ja)
CN (1) CN1908812B (ja)
DE (1) DE602006014319D1 (ja)
SG (1) SG126877A1 (ja)
TW (1) TWI334962B (ja)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7395516B2 (en) 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
EP1889195A4 (en) * 2005-05-20 2012-09-12 Cadence Desing Systems Inc PRODUCTION-DESIGN DESIGN AND DESIGNED PRODUCTION
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
EP2267530A1 (en) * 2006-04-06 2010-12-29 ASML MaskTools B.V. Method and apparatus for performing dark field double dipole lithography
KR100735535B1 (ko) * 2006-07-10 2007-07-04 삼성전자주식회사 마스크 제작 방법
US7966585B2 (en) 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
US7802226B2 (en) 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
JP5220317B2 (ja) * 2007-01-11 2013-06-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7934177B2 (en) * 2007-02-06 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for a pattern layout split
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
TWI426343B (zh) * 2007-05-17 2014-02-11 Lg Innotek Co Ltd 一種具有多個半透射部分之半色調網點光罩及其製造方法
US8713483B2 (en) 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks
DE102007042272B4 (de) * 2007-09-06 2009-09-24 Vistec Semiconductor Systems Gmbh Verfahren zur Korrektur der durch die Verzeichnung eines Objektivs verursachten Messfehler
US7785946B2 (en) 2007-09-25 2010-08-31 Infineon Technologies Ag Integrated circuits and methods of design and manufacture thereof
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
KR101903975B1 (ko) 2008-07-16 2018-10-04 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) * 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8184897B2 (en) * 2008-10-02 2012-05-22 Synopsys, Inc. Method and apparatus for determining an optical threshold and a resist bias
US20100129617A1 (en) * 2008-11-21 2010-05-27 Corrigan Thomas R Laser ablation tooling via sparse patterned masks
KR101095680B1 (ko) * 2008-12-26 2011-12-19 주식회사 하이닉스반도체 후면 위상 격자 마스크 및 그 제조 방법
KR20100083989A (ko) * 2009-01-15 2010-07-23 삼성전자주식회사 반도체 장치의 제조에 이용되는 포토 마스크
US8327301B2 (en) * 2009-02-03 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Routing method for double patterning design
US8416393B2 (en) 2009-04-02 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Cross quadrupole double lithography method and apparatus for semiconductor device fabrication using two apertures
US8321818B2 (en) * 2009-06-26 2012-11-27 International Business Machines Corporation Model-based retargeting of layout patterns for sub-wavelength photolithography
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8146026B2 (en) * 2009-11-17 2012-03-27 International Business Machines Corporation Simultaneous photolithographic mask and target optimization
US8230372B2 (en) 2009-12-03 2012-07-24 International Business Machines Corporation Retargeting for electrical yield enhancement
US8331646B2 (en) 2009-12-23 2012-12-11 International Business Machines Corporation Optical proximity correction for transistors using harmonic mean of gate length
US20110212403A1 (en) * 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for enhanced dipole lithography
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
WO2012119105A2 (en) * 2011-03-02 2012-09-07 Texas Instruments Incorporated Hybrid pitch-split pattern-split litrography process
US8580675B2 (en) * 2011-03-02 2013-11-12 Texas Instruments Incorporated Two-track cross-connect in double-patterned structure using rectangular via
US8575020B2 (en) 2011-03-02 2013-11-05 Texas Instruments Incorporated Pattern-split decomposition strategy for double-patterned lithography process
JP5750476B2 (ja) * 2013-07-22 2015-07-22 東京応化工業株式会社 レジストパターン形成方法
CN104749873B (zh) * 2013-12-31 2019-09-03 中芯国际集成电路制造(上海)有限公司 用于多构图工艺的光学临近修正方法
TWI575306B (zh) 2014-09-16 2017-03-21 聯華電子股份有限公司 光學鄰近修正之驗證方法
TWI530988B (zh) * 2014-11-18 2016-04-21 華亞科技股份有限公司 具有非典型圖案之光阻、使用此光阻蝕刻基材之方法與所得之蝕刻洞
US9524361B2 (en) 2015-04-20 2016-12-20 United Microelectronics Corp. Method for decomposing a layout of an integrated circuit
KR102495912B1 (ko) * 2018-08-10 2023-02-03 삼성전자 주식회사 표준 셀을 포함하는 집적 회로 및 이를 제조하기 위한 방법
US11320742B2 (en) * 2018-10-31 2022-05-03 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for generating photomask patterns
CN112366203B (zh) * 2020-10-23 2023-01-03 福建省晋华集成电路有限公司 图案布局以及其形成方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04273428A (ja) * 1991-02-28 1992-09-29 Nikon Corp 露光方法、半導体素子の形成方法、及びフォトマスク
JPH07273013A (ja) * 1994-03-29 1995-10-20 Nec Corp レジストパタ−ンの形成方法
WO2000025181A1 (fr) * 1998-10-23 2000-05-04 Hitachi, Ltd. Procede de fabrication de dispositif semi-conducteur et procede de formation de masque adapte associe
JP2003178966A (ja) * 2001-08-21 2003-06-27 Asml Masktools Bv 多重可干渉性最適化露出および高透過率減衰psmを利用する、改良したリソグラフィパターニングのための方法
JP2003295413A (ja) * 2002-03-25 2003-10-15 Asml Masktools Bv クロムレス相リソグラフィのために半導体デバイス・パターンを相領域とクロム領域に分解するための方法および装置
JP2004133427A (ja) * 2002-07-26 2004-04-30 Asml Masktools Bv ダイポール照明技術とともに用いる配向依存遮蔽
JP2004177968A (ja) * 2002-11-12 2004-06-24 Asml Masktools Bv 双極子照明に使用するモデルベースのレイアウト変換を実施するための方法および装置
JP2005141242A (ja) * 2003-11-05 2005-06-02 Asml Masktools Bv プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5357311A (en) 1991-02-25 1994-10-18 Nikon Corporation Projection type light exposure apparatus and light exposure method
US5472814A (en) * 1994-11-17 1995-12-05 International Business Machines Corporation Orthogonally separated phase shifted and unphase shifted mask patterns for image improvement
US6150058A (en) * 1998-06-12 2000-11-21 Taiwan Semiconductor Manufacturing Company Method of making attenuating phase-shifting mask using different exposure doses
US20040142252A1 (en) 1999-04-29 2004-07-22 Skrobis Amy V. Method of machining glass
US6207333B1 (en) * 1999-07-29 2001-03-27 International Business Machines Corporation Mask with attenuating phase-shift and opaque regions
TW587199B (en) * 1999-09-29 2004-05-11 Asml Netherlands Bv Lithographic method and apparatus
KR100313280B1 (ko) * 1999-10-25 2001-11-07 한신혁 반도체 장치의 전도배선 마스크 제조방법
TW512424B (en) * 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6553562B2 (en) * 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US7026081B2 (en) 2001-09-28 2006-04-11 Asml Masktools B.V. Optical proximity correction method utilizing phase-edges as sub-resolution assist features
JP4171647B2 (ja) * 2001-11-28 2008-10-22 エーエスエムエル マスクツールズ ビー.ブイ. プロセス・ラチチュードを改善するために利用した補助形態を除去する方法
TWI293476B (en) * 2002-03-25 2008-02-11 Asml Masktools Bv Method and apparatus for performing rule-based gate shrink utilizing dipole illumination
US6934007B2 (en) * 2002-05-29 2005-08-23 Massachusetts Institute Of Technology Method for photolithography using multiple illuminations and a single fine feature mask
US6807662B2 (en) * 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
US6711732B1 (en) * 2002-07-26 2004-03-23 Taiwan Semiconductor Manufacturing Company Full sized scattering bar alt-PSM technique for IC manufacturing in sub-resolution era
TWI274969B (en) * 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
JP4393049B2 (ja) 2002-09-17 2010-01-06 キヤノン株式会社 走査光学系及びそれを用いた画像形成装置
DE10310137B4 (de) * 2003-03-07 2010-08-19 Qimonda Ag Satz von wenigstens zwei Masken zur Projektion von jeweils auf den Masken gebildeten und aufeinander abgestimmten Strukturmustern und Verfahren zur Herstellung der Masken
US20050214651A1 (en) * 2004-03-25 2005-09-29 Yuan-Hsun Wu Aperture plate for optical lithography systems

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04273428A (ja) * 1991-02-28 1992-09-29 Nikon Corp 露光方法、半導体素子の形成方法、及びフォトマスク
JPH07273013A (ja) * 1994-03-29 1995-10-20 Nec Corp レジストパタ−ンの形成方法
WO2000025181A1 (fr) * 1998-10-23 2000-05-04 Hitachi, Ltd. Procede de fabrication de dispositif semi-conducteur et procede de formation de masque adapte associe
JP2003178966A (ja) * 2001-08-21 2003-06-27 Asml Masktools Bv 多重可干渉性最適化露出および高透過率減衰psmを利用する、改良したリソグラフィパターニングのための方法
JP2003295413A (ja) * 2002-03-25 2003-10-15 Asml Masktools Bv クロムレス相リソグラフィのために半導体デバイス・パターンを相領域とクロム領域に分解するための方法および装置
US20040010770A1 (en) * 2002-03-25 2004-01-15 Broeke Doug Van Den Method and apparatus for decomposing semiconductor device patterns into phase and chrome regions for chromeless phase lithography
JP2004133427A (ja) * 2002-07-26 2004-04-30 Asml Masktools Bv ダイポール照明技術とともに用いる配向依存遮蔽
JP2004177968A (ja) * 2002-11-12 2004-06-24 Asml Masktools Bv 双極子照明に使用するモデルベースのレイアウト変換を実施するための方法および装置
JP2005141242A (ja) * 2003-11-05 2005-06-02 Asml Masktools Bv プロセス・ラチチュードを向上させるためにマスク・パターンの透過率調整を行う方法

Also Published As

Publication number Publication date
JP4617272B2 (ja) 2011-01-19
KR100899359B1 (ko) 2009-05-27
US20130055171A1 (en) 2013-02-28
JP2006293381A (ja) 2006-10-26
TWI334962B (en) 2010-12-21
EP1712954A1 (en) 2006-10-18
CN1908812B (zh) 2012-02-22
US20100221669A1 (en) 2010-09-02
US20150095858A1 (en) 2015-04-02
EP1712954B1 (en) 2010-05-19
US20060277521A1 (en) 2006-12-07
US8910091B2 (en) 2014-12-09
TW200702902A (en) 2007-01-16
US7681171B2 (en) 2010-03-16
CN1908812A (zh) 2007-02-07
SG126877A1 (en) 2006-11-29
US8122391B2 (en) 2012-02-21
KR20060108245A (ko) 2006-10-17
DE602006014319D1 (de) 2010-07-01
JP2010256935A (ja) 2010-11-11

Similar Documents

Publication Publication Date Title
JP4717153B2 (ja) 相補的マスクを生成する方法、コンピュータ・プログラム製品、デバイス製造方法及びウェハに写像する方法
JP4922112B2 (ja) パターン分解フィーチャのためのモデルベースopcを行うための方法および装置
KR101484146B1 (ko) 다중 패터닝 공정과 리소그래피 장치 및 마스크 최적화 공정의 통합
JP4890517B2 (ja) モデルベーススキャナ調整を実行する方法
JP4602962B2 (ja) 多重露光プロセスに用いられるモデルベースのジオメトリ分解のための方法、プログラム製品及び装置
JP4751866B2 (ja) ターゲットパターンを複数のパターンに分解するための方法、そのコンピュータプログラムを記憶するコンピュータ読取可能記憶媒体、デバイス製造方法、およびマスクを生成するための方法
JP5032948B2 (ja) Dptプロセスで用いられるパターン分解を行うための方法、プログラムおよび装置
JP2011100121A (ja) フルチップ光源およびマスク最適化のためのパターン選択
KR20110097800A (ko) 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화
JP2006065338A (ja) 1/4波長リソグラフィの焦点深さを上げるためにモデルに基づき散乱バーを配置する方法、プログラム製品および装置
US7998355B2 (en) CPL mask and a method and program product for generating the same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100831

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101013

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110113

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110302

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110329

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140408

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees