KR101226646B1 - 회절 시그너처 분석에 기초한 설계 레이아웃에서의 최적의 패턴들의 선택 - Google Patents

회절 시그너처 분석에 기초한 설계 레이아웃에서의 최적의 패턴들의 선택 Download PDF

Info

Publication number
KR101226646B1
KR101226646B1 KR1020100105521A KR20100105521A KR101226646B1 KR 101226646 B1 KR101226646 B1 KR 101226646B1 KR 1020100105521 A KR1020100105521 A KR 1020100105521A KR 20100105521 A KR20100105521 A KR 20100105521A KR 101226646 B1 KR101226646 B1 KR 101226646B1
Authority
KR
South Korea
Prior art keywords
diffraction
target patterns
peaks
patterns
peak
Prior art date
Application number
KR1020100105521A
Other languages
English (en)
Other versions
KR20110046368A (ko
Inventor
화-유 리우
루오치 첸
홍 첸
지-판 리
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20110046368A publication Critical patent/KR20110046368A/ko
Application granted granted Critical
Publication of KR101226646B1 publication Critical patent/KR101226646B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Abstract

본 발명은 일반적으로 회절 시그너처 분석에 기초하여 최적의 패턴들을 선택하는 것에 관한 것으로, 더 상세하게는 리소그래피 이미징을 위한 마스크-최적화를 위해 상기 최적의 패턴들을 사용하는 것에 관한 것이다. 각각의 회절 맵은 설계 레이아웃으로부터 타겟 패턴들의 초기 더 큰 세트로부터 복수의 타겟 패턴들 각각에 대해 생성된다. 회절 시그너처들은 다양한 회절 맵들로부터 식별된다. 복수의 타겟 패턴들은 다양한 회절-시그너처 그룹들로 그룹화되며, 특정한 회절-시그너처 그룹 내의 타겟 패턴들은 유사한 회절 시그너처를 갖는다. 타겟 패턴들의 서브세트는 상기 타겟 패턴들의 서브세트가 리소그래피 공정을 위한 설계 레이아웃의 적어도 일부분을 나타내도록, 모든 가능한 회절-시그너처 그룹들을 커버하도록 선택된다. 복수의 타겟 패턴들의 그룹화는 회절 시그너처의 유사성에 기초하여 사전정의된 규칙들에 의해 규정될 수 있다. 사전정의된 규칙들은 다양한 회절-시그너처 그룹들 사이에 존재하는 커버리지 관계들을 포함한다.

Description

회절 시그너처 분석에 기초한 설계 레이아웃에서의 최적의 패턴들의 선택{SELECTION OF OPTIMUM PATTERNS IN A DESIGN LAYOUT BASED ON DIFFRATION SIGNATURE ANALYSIS}
본 발명은 일반적으로 회절 시그너처 분석에 기초하여 최적의 패턴들을 선택하는 것에 관한 것으로, 더 상세하게는 리소그래피 이미징에 대한 마스크-최적화를 위해 상기 최적의 패턴들을 이용하는 것에 관한 것이다.
리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 이러한 경우, 마스크는 IC의 개별층에 대응하는 회로 패턴을 포함할 수 있으며, 이 패턴은 방사선-감응재(레지스트)층으로 코팅된 기판(실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 이미징될 수 있다. 일반적으로, 단일 웨이퍼는 투영 시스템을 통해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 일 형태의 리소그래피 투영 장치에서는 전체 마스크 패턴을 타겟부 상으로 한번에 노광함으로써 각각의 타겟부가 조사되며; 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔 하에서 주어진 기준 방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하는 한편, 동시에 이 방향과 평행하게(같은 방향으로 평행하게) 또는 역-평행하게(반대 방향으로 평행하게) 기판 테이블을 스캐닝함으로써 각각의 타겟부가 조사된다. 일반적으로, 투영 시스템이 배율 인자(M)(일반적으로 < 1)를 갖기 때문에, 기판 테이블이 스캐닝되는 속력()은 마스크 테이블이 스캐닝되는 속력의 인자() 배가 될 것이다.
리소그래피 투영 장치를 사용하는 제조 공정에서, 마스크 패턴은 방사선-감응재(레지스트)층에 의해 적어도 부분적으로 덮여 있는 기판 상에 이미징된다. 이러한 이미징 단계에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 과정들을 거칠 수 있다. 노광 이후, 기판은 노광후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 이미징된 피처들의 측정/검사와 같은 다른 과정들을 거칠 수 있다. 이러한 일련의 과정들은 디바이스, 예컨대 IC의 개별층을 패터닝하는 기초로서 사용된다. 그 후, 이러한 패터닝된 층은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 폴리싱 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 개별층을 마무리하도록 의도된다. 여러 층이 요구되는 경우에는, 각각의 새로운 층에 대해 전체 과정 또는 그 변형이 반복되어야 할 것이다. 최후에는, 디바이스들의 어레이가 기판(웨이퍼) 상에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어에 장착되고 핀에 연결되는 등의 단계를 거칠 수 있다.
간명함을 위해, 투영 시스템은 이후에 "렌즈"라고 언급될 수 있다; 하지만, 이 용어는 예를 들어 굴절 광학기, 반사 광학기 및 카타디옵트릭(catadioptric) 시스템을 포함하는 다양한 타입의 투영 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, 방사선 시스템은 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 설계 유형들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있으며, 이러한 구성요소들은 아래에서 집합적으로 또는 개별적으로 "렌즈"라고 언급될 수 있다. 또한, 리소그래피 장치는 2 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가 테이블들이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비 작업 단계가 수행될 수 있다.
앞서 언급된 포토리소그래피 마스크는 실리콘 웨이퍼 상에 집적화될 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 생성하는데 사용되는 패턴들은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 공정은 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 마스크를 생성하기 위해 사전설정된 설계 규칙의 세트를 따른다. 이러한 규칙들은 처리 및 설계 제한들에 의해 설정된다. 예를 들어, 설계 규칙은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 캐패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 설계 규칙 제한들은 통상적으로 "임계 치수(CD)"라고도 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 설계된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목적들 중 하나는 원래 회로 설계를 (마스크를 통해) 웨이퍼 상에 충실하게 재현(reproduce)하는 것이다.
유의되는 바와 같이, 마이크로리소그래피는 반도체 집적 회로들의 제조에 있어서 핵심 단계이며, 이때 반도체 웨이퍼 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 반도체 디바이스들의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이, MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성 시에 사용된다.
반도체 제조 공정이 계속해서 진보함에 따라, 통상적으로 '무어의 법칙(Moore's law)'이라 칭하는 추세를 따라 회로 요소들의 치수들이 계속 감소된 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 10 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 전연(leading-edge) 디바이스들의 임계 층들은 DUV(deep-ultraviolet) 레이저 광 소스로부터의 조명을 이용하여 기판 상에 마스크 이미지를 투영하는 스캐너로서 알려진 광학 리소그래피 투영 시스템을 이용하여 제조되어, 100 nm보다 훨씬 낮은 치수들, 즉 투영 광의 파장의 절반보다 작은 치수들을 갖는 개별적인 회로 피처들을 생성한다.
이 공정 - 이때, 광학 투영 시스템의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트됨 - 은 통상적으로 분해능 공식 CD = k1 x λ/NA에 따른 낮은(low)-k 1 리소그래피로서 알려져 있으며, 이때 λ는 채택된 방사선의 파장(현재 대부분의 경우 248 nm 또는 193 nm)이고, NA는 투영 광학기의 개구수(numerical aperture)이며, CD는 '임계 치수' -- 일반적으로, 프린트되는 최소 피처 크기 -- 이고, k 1 은 경험적인 분해능 인자이다. 일반적으로, k 1 이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 웨이퍼 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정 단계들이 마스크 설계뿐만 아니라 투영 시스템에도 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤(customized) 조명 방식, 위상 시프팅 마스크들의 사용, 마스크 레이아웃 내의 광 근접성 보정, 또는 일반적으로 '분해능 향상 기술들'(RET)로 정의된 다른 방법들을 포함하며, 이로 제한되지는 않는다.
중요한 일 예시로서, 광 근접성 보정(OPC, 때로는 '광학 및 공정 보정'이라고도 칭함)은 웨이퍼 상에 프린트된 피처의 최종 크기 및 배치가 단순히 마스크 상의 대응하는 피처의 크기 및 배치의 함수가 아니라는 사실에 대처한다. '마스크' 및 '레티클'이라는 용어들은 본 명세서에서 상호교환가능하게 이용된다는 것을 유의한다. 전형적인 회로 설계들에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재나 부재에 의해 어느 정도 영향을 받을 것이다. 이 근접 효과들은 한 피처에서 다른 피처로 커플링(couple)된 미세한 양의 광에 기인한다. 이와 유사하게, 근접 효과들은 일반적으로 리소그래피 노광에 이어서 일어나는 노광후 베이크(PEB), 레지스트 현상, 및 에칭 시의 확산 및 다른 화학적 영향들에 기인할 수 있다.
피처들이 주어진 타겟 회로 설계의 요건들에 따라 반도체 기판 상에 생성된다는 것을 보장하기 위하여, 정교한 수치 모델들을 이용하여 근접 효과들이 예측되어야 하고, 고성능(high-end) 디바이스들의 성공적인 제조가 가능하기 이전에 마스크의 설계에 보정들 또는 전치-왜곡(pre-distortion)들이 적용되어야 한다. 전형적인 고성능 설계에서는, 타겟 설계에 충분히 가까운 프린트된 패턴들을 달성하기 위해 거의 모든 피처 에지가 약간의 수정을 필요로 한다. 이 수정들은, 자신은 프린트되지 않고 연계된 주요 피처의 특성들에 영향을 미치도록 의도되는 '어시스트' 피처들의 적용뿐만 아니라, 에지 위치 또는 라인 폭의 시프팅 또는 바이어싱(biasing)을 포함할 수 있다.
낮은 k1 포토리소그래피에 대해, 임계 패턴들을 프린트하는 실행가능한 공정 윈도우를 보장하도록 소스 및 마스크 모두의 최적화(즉, 소스 및 마스크 최적화 또는 SMO)가 요구된다. 마스크의 설계 레이아웃이 최적화되는 경우, SMO의 전체 런타임의 감소가 달성될 수 있다. 모든 임계 마스크 피처들이 SMO 공정에서 적절히 나타날 수 있도록, 마스크 설계 레이아웃으로부터 대표적인 패턴들을 지능적으로(intelligently) 선택함으로써 마스크 최적화에 대한 요구가 존재한다.
본 발명은 회절 시그너처 분석에 기초한 지능적인 패턴 선택에 관한 것으로, 더 구체적으로는 리소그래피 장치 및 공정에서 지능적인 패턴 선택의 적용에 관한 것이다. 타겟 패턴들의 지능적으로-선택된 대표적인 세트는 선택적으로 리소그래피 공정에 사용되는 투영 광학기 및/또는 조명 소스를 최적화하는데 사용될 수 있다. 하지만, 본 발명의 범위는 리소그래피 공정 최적화로 제한되지 않는다. 본 발명의 실시예들은 설계 레이아웃 내의 타겟 패턴들의 모든 임계 피처들이 타겟 패턴들의 서브세트에 의해 적절히 나타나도록, 타겟 패턴들의 서브세트가 설계 레이아웃으로부터 생성된 타겟 패턴들의 더 큰 세트로부터 선택되어야 할 필요가 있는 여하한의 상황에 적용가능하다.
본 발명의 일 실시형태에서, 설계 레이아웃으로부터 타겟 패턴들의 서브세트를 선택하는 방법이 개시되고, 상기 방법은: 상기 설계 레이아웃으로부터 타겟 패턴들의 초기(initial) 더 큰 세트로부터 복수의 타겟 패턴들에 대한 각각의 회절 맵을 생성하는 단계; 상기 타겟 패턴들의 초기 더 큰 세트로부터의 상기 복수의 타겟 패턴들의 다양한 회절 맵들로부터 회절 시그너처들을 식별하는 단계; 상기 타겟 패턴들의 초기 더 큰 세트로부터의 상기 복수의 타겟 패턴들을 회절-시그너처 그룹들로 그룹화하는 단계 - 특정한 회절-시그너처 그룹 내의 타겟 패턴들은 유사한 회절 시그너처를 가짐 - ; 및 상기 타겟 패턴들의 서브세트가 리소그래피 공정을 위한 설계 레이아웃의 적어도 일부분을 나타내도록, 사전정의된 개수의 회절-시그너처 그룹들을 커버(cover)하도록 상기 타겟 패턴들의 서브세트를 선택하는 단계를 포함한다. 본 발명의 일 실시예에서, 상기 사전정의된 개수의 회절-시그너처 그룹들은 가능한 회절 시그너처 그룹들을 모두 포함한다. 상기 복수의 타겟 패턴들의 그룹화는 회절 시그너처의 유사성에 기초하여 사전정의된 규칙들에 의해 규정될 수 있다. 상기 사전정의된 규칙들은 다양한 회절-시그너처 그룹들 사이에 존재하는 커버리지 관계(coverage relationship)를 포함한다.
본 발명의 또 다른 실시형태에서, 설계 레이아웃 내의 타겟 패턴들의 대표적인 세트를 선택하는 방법이 개시되고, 상기 방법은: 상기 설계 레이아웃 내의 타겟 패턴들의 초기 더 큰 세트 각각에 대한 각각의 회절 맵을 생성하는 단계; 상기 회절 맵들 각각의 피크들을 식별하는 단계; 상기 회절 맵들 각각의 식별된 피크들의 1 이상의 특성 파라미터들을 저장하는 단계; 회절-시그너처 그룹들의 리스트를 생성하도록 상기 식별된 피크들의 저장된 특성 파라미터들을 분석하는 단계 - 각각의 회절-시그너처 그룹은 1 이상의 각각의 기저 벡터를 가짐 - ; 상기 타겟 패턴들의 초기 더 큰 세트의 다양한 회절 맵들로부터 다양한 회절-시그너처 그룹들 사이에 존재하는 커버리지 관계들을 검사하는 단계 - 여기서, 상기 커버리지 관계들은 사전정의된 규칙들에 의해 규정됨 - ; 회절-시그너처 그룹들이 상기 회절 맵들 모두로부터 가능한 회절-시그너처 그룹들 모두를 적절히 커버하는 타겟 패턴들의 최종 서브세트를 식별하는 단계; 및 상기 타겟 패턴들의 최종 서브세트가 리소그래피 공정을 위한 상기 설계 레이아웃의 적어도 일부분을 나타내도록, 상기 타겟 패턴들의 대표적인 세트 내에 포함될 상기 타겟 패턴들의 최종 서브세트를 선택하는 단계를 포함한다.
본 발명의 또 다른 실시형태에서, 컴퓨터 프로그램물이 개시되며, 상기 컴퓨터 프로그램물은 명령어들이 이 안에 기록된 컴퓨터-판독가능한 매체를 포함하고, 이는 실행될 때, 컴퓨터가 상기 언급된 패턴 선택 방법들을 수행하도록 한다.
당업자라면, 다음의 예시들과 상세한 설명을 참조하여, 본 발명의 이들 및 다른 특징들, 실시예들 및 장점들을 이해할 것이다.
이하, 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1a는 전형적인 리소그래피 투영 시스템을 도시한 예시적인 블록도;
도 1b는 리소그래피 시뮬레이션 모델의 기능적인 모듈들을 도시한 예시적인 블록도;
도 2 내지 도 4는 본 발명의 실시예들에 따른 다양한 예시적인 방법들을 도시한 도면;
도 5 내지 도 8은 본 발명의 실시예들에 따른 다양한 타겟 패턴들 및 대응하는 회절 맵들을 도시한 도면;
도 9a 및 도 9b는 예시적인 실시예를 이용하여 패턴 선택의 전체 원리를 도시한 도면;
도 10 및 도 11은 본 발명의 예시적인 실시예들에 따른 1-D 및 2-D 패턴들에 대한 회절-시그너처-기반 그룹화를 각각 도시한 도면;
도 12a 내지 도 12c는 본 발명의 일 실시예에 따른 반-고립된(semi-isolated) 타겟 패턴의 회절-시그너처의 일 예시를 도시한 도면;
도 13a 내지 도 13c 및 도 14는 본 발명의 실시예들에 따른 이산 및 연속 피크들에 대한 커버리지 관계들의 예시들을 도시한 도면;
도 15는 본 발명의 일 실시예에 따른 SMO 메트롤로지 공정 흐름의 일부로서 구현된 패턴 선택기 모듈의 일 실시예를 나타낸 도면;
도 16a 및 도 16b는 도 15의 패턴 선택기 모듈로부터 사용자 인터페이스들의 스크린샷;
도 17은 본 발명의 패턴 선택 알고리즘에 기인한 전체 리소그래피 성능 및 SMO 런타임의 개선을 도시한 도면;
도 18은 본 발명의 시뮬레이션 방법의 구현을 도울 수 있는 컴퓨터 시스템을 도시한 블록도; 및
도 19는 본 발명의 방법과 함께 사용하기에 적합한 리소그래피 투영 장치를 개략적으로 도시한 도면이다.
이제, 본 발명은 당업자가 본 발명을 실시할 수 있도록 본 발명의 예시적인 예시들로서 제공된 도면들을 참조하여 자세히 설명될 것이다. 주목할 것은, 아래의 도면들 및 예시들이 본 발명의 범위를 단일 실시예로 제한하려는 것을 의미하는 것이 아니라, 설명되거나 도시된 요소들 중 일부 또는 전부를 상호교환하는 방식으로 다른 실시예들이 가능하다. 더욱이, 본 발명의 소정 요소들이 알려진 구성요소들을 이용하여 부분적으로 또는 완전히 구현될 수 있다면, 본 발명의 이해에 필요한 이러한 알려진 구성요소들의 일부분들만이 설명될 것이며, 이러한 알려진 구성요소들의 다른 부분들의 상세한 설명은 본 발명을 모호하게 하지 않도록 생략될 것이다. 소프트웨어로 구현되는 것으로 설명된 실시예들은 이로 제한되는 것이 아니라, 당업자라면 이해할 수 있는 바와 같이, 여기서 다르게 언급되지 않는다면, 하드웨어, 또는 소프트웨어와 하드웨어의 조합들(또한, 이와 반대로도 가능함)로 구현되는 실시예들을 포함할 수 있다. 본 명세서에서, 단일 구성요소를 나타낸 실시예는 제한하려는 것이 아니라; 그보다는, 여기에 명시적으로 다르게 언급되지 않는다면, 본 발명은 복수의 동일한 구성요소를 포함하는 다른 실시예들을 포괄하도록 의도된다(또한, 이와 반대로도 가능함). 더욱이, 이와 같이 명시적으로 설명되지 않는다면, 본 출원인들은 본 명세서 또는 청구항들의 여하한의 용어가 특이하거나 특별한 의미를 갖는 것으로 의도하지 않는다. 또한, 본 발명은 여기에 예시의 방식으로 언급된 알려진 구성요소들과 동등한 현재 및 향후에 알려질 등가물들을 포괄한다.
본 명세서에서는, IC의 제조에 있어서 본 발명의 특정 사용예에 대하여 언급되지만, 본 발명은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이, 박막 자기 헤드 등의 제조시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "마스크", "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어로 대체되는 것으로 간주되어야 한다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는데 사용된다.
본 명세서에서 채택된 마스크라는 용어는 기판의 타겟부에 생성될 패턴에 대응하는 패터닝된 단면을 입사하는 방사선 빔에 부여하는데 사용될 수 있는 일반적인 패터닝 수단을 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 본 명세서에서 사용될 수도 있다. 전형적인 마스크[투과 또는 반사; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 이러한 다른 패터닝 수단의 예시로는 다음을 포함한다:
프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시로는 점탄성(viscoelastic) 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이 있다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들에서는 입사광을 회절광(diffracted light)으로서 반사시키는 반면, 어드레스되지 않은 영역들에서는 입사광을 비회절광으로서 반사시키는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 광만을 필터링하여 회절광만을 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 요구되는 매트릭스 어드레싱은 적합한 전자 수단을 이용하여 수행될 수 있다. 이러한 거울 어레이들에 관한 더 많은 정보는, 예를 들어 미국 특허 US 5,296,891 및 US 5,523,193으로부터 얻을 수 있으며, 이는 본 명세서에서 인용참조된다.
프로그램가능한 LCD 어레이.
본 발명의 예시적인 실시예들을 구현하기 위한 리소그래피 시스템에서의 일반적인 환경
본 발명을 설명하기에 앞서, 전반적인 설계 및 이미징 공정에 관한 간략한 설명이 제공된다. 도 1a는 예시적인 리소그래피 투영 시스템(10)을 도시한다. 주요 구성요소들은 DUV 엑시머 레이저 소스일 수 있는 광 소스(12); 부분 간섭(partial coherence)(시그마로서 나타냄)을 정의하고 특정한 소스 형상 광학기를 포함할 수 있는 조명 광학기(14, 16a 및 16b); 마스크 또는 레티클(18); 및 웨이퍼 평면(22) 상에 레티클 패턴의 이미지를 생성하는 투영 광학기(16c)이다. 퓨필 평면에서 조정가능한 필터 또는 어퍼처(20)가 웨이퍼 평면(22) 상에 입사되는 빔 각도의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수를 정의한다[NA = sin(Θmax)].
리소그래피 시뮬레이션 시스템에서, 이 주요 시스템 구성요소들은 예를 들어 도 1b에 도시된 바와 같은 별도의 기능적 모듈들에 의해 설명될 수 있다. 도 1b를 참조하면, 기능적 모듈들은 타겟 설계를 정의하는 설계 레이아웃 모듈(26); 이미징 공정에서 이용될 마스크를 정의하는 마스크 레이아웃 모듈(28); 시뮬레이션 공정 시에 이용될 마스크 레이아웃의 모델을 정의하는 마스크 모델 모듈(30); 리소그래피 시스템의 광학 구성요소들의 성능을 정의하는 광학 모델 모듈(32); 및 주어진 공정에서 이용되는 레지스트의 성능을 정의하는 레지스트 모델 모듈(34)을 포함한다. 알려진 바와 같이, 시뮬레이션 공정의 결과는 예를 들어 결과 모듈(36)에서의 예측된 윤곽(contour) 및 CD를 생성한다.
더 구체적으로, 조명 및 투영 광학기의 특성들은 NA-시그마(σ) 세팅들과, 여하한의 특정한 조명 소스 형상(예를 들어, 환형, 4-중극, 및 2-중극 등과 같은 오프-액시스 광원들)을 포함하지만 이로 제한되지는 않는 광학 모델(32)에서 캡처(capture)된다는 것을 유의한다. 또한, 기판 상에 코팅된 포토-레지스트 층의 광학 특성들 -- 즉, 굴절률, 필름 두께, 전파 및 편광 효과들 -- 도 광학 모델(32)의 일부로서 캡처될 수 있다. 마스크 모델(30)은 레티클의 설계 피처들을 캡처하며, 마스크의 상세한 물리적 특성들의 표현을 포함할 수도 있다. 최종적으로, 레지스트 모델(34)은 예를 들어 기판 웨이퍼 상에 형성되는 레지스트 피처들의 윤곽들을 예측하기 위해, 레지스트 노광, PEB 및 현상시 일어나는 화학적 공정들의 효과들을 설명한다. 시뮬레이션의 목적은, 예를 들어 이후 타겟 설계에 비교될 수 있는 에지 배치들 및 CD들을 정확히 예측하는 것이다. 타겟 설계는 일반적으로 전-OPC 마스크 레이아웃으로서 정의되며, GDSⅡ 또는 OASIS와 같은 표준화된 디지털 파일 포맷으로 제공될 것이다.
본 발명의 예시적인 방법들
전형적인 고성능 설계에서는, 타겟 설계에 충분히 가까운 프린트된 패턴들을 달성하기 위해 거의 모든 피처 에지가 약간의 수정을 필요로 한다. 이 수정들은, 자신은 프린트되지 않고 연계된 주요 피처의 특성들에 영향을 미치도록 의도되는 '어시스트' 피처들의 적용뿐만 아니라, 에지 위치 또는 라인 폭의 시프팅 또는 바이어싱을 포함할 수 있다. 또한, 조명 소스에 적용된 최적화 기술들은 상이한 에지들 및 피처들에 대해 상이한 효과를 가질 수 있다. 조명 소스들의 최적화는 광의 선택된 패턴으로 소스 조명을 제한하도록 퓨필들의 사용을 포함할 수 있다. 본 발명은 소스 및 마스크 구성들 모두에 적용될 수 있는 최적화 방법들을 제공한다.
소스-마스크 최적화(SMO)는 마스크 설계 레이아웃 및 조명 소스가 기판 상에 고-충실 이미지(high-fidelity image)를 생성하도록 함께-최적화된(co-optimized) 공정이다. 일반적으로, SMO 방법은 SMO에 사용될 클립(clip)들의 전체 세트로부터 임계 설계 패턴들의 작은 세트를 지능적으로 선택함으로써 연산 비용을 절감시키면서도 풀 칩(full chip) 패턴 커버리지를 달성하는 것이 목표이다. SMO는 이러한 선택된 패턴들 상에서만 수행되어 최적화된 소스를 얻는다. 그 후, 최적화된 소스는 (예를 들어, OPC 및 LMC를 이용하여) 풀 칩에 대해 마스크를 최적화하는데 사용되며, 결과치들이 비교된다. 결과치들이 종래의 풀-칩 SMO에 필적하는 경우, 공정이 종료되며, 다르게는 성공적인 결과에 반복적으로 수렴하기 위해 다양한 방법들이 제공된다.
본 발명은 타겟 패턴들의 더 큰 세트로부터 타겟 패턴들의 더 작은 대표적인 세트를 선택하는 기술을 제공하며, 타겟 패턴들의 대표적인 세트는 전체 설계 레이아웃의 모든 임계 피처들을 바람직하게 나타낸다. 상기 타겟 패턴들의 더 큰 세트는 마스크의 전체 설계 레이아웃, 또는 설계 레이아웃의 실질적으로 큰 부분을 포함할 수 있다. 비록, 본 발명의 실시예들이 SMO에 특히 적합하게 되어 있지만, 당업자라면, 설계 레이아웃으로부터 타겟 패턴들의 지능적으로 선택된 더 작은 세트에 의해 더 큰 설계 레이아웃이 적절히 표현되어야 할 필요가 있는 여하한의 상황에 패턴 선택 알고리즘들이 보편적으로 적용가능하다는 것을 이해할 것이다. 실제로, 여기에 설명된 회절 차수 기반의 패턴 선택 방법의 몇몇 장점들은, 시작 조건이 요구되지 않고(예를 들어, 시작 조명 소스는 조정된 편광 분포를 갖는 최적화된 조명 소스라기보다는 균일한 조명일 수 있음), 레지스트 모델이 요구되지 않으며, OPC 모델들 또는 서브-분해능 어시스트 피처(SRAF)가 요구되지 않는다는 점이다. 이는 오직 타겟 패턴만을 필요로 하며, 따라서 공정-독립적이다.
타겟 패턴들의 대표적인 세트는 수동으로 뽑힌(picked) 사용자-선택된 클립들, 및 자동으로 뽑힌 패턴-선택 알고리즘-선택된 클립들을 포함한다. 예를 들어, 앵커 클립(anchor clip) - 이는 통상적으로 라인/공간 피처들의 알려진 최고 밀도를 갖는 클립임 - 은 항상 대표적인 세트의 일부분이 되도록 사용자에 의해 수동으로 선택될 수 있다.
타겟 패턴들의 대표적인 세트는 리소그래피 공정에 사용되는 조명 소스를 최적화하는데 사용될 수 있다. 조명 소스를 최적화하는 것은 마스크 상에 입사되는 방사선 빔의 편광 분포를 조정하는 것을 포함할 수 있다. 타겟 패턴들의 대표적인 세트는 리소그래피 공정에 사용되는 투영 광학기 시스템을 최적화하는데 사용될 수 있다. 투영 광학기 시스템을 최적화하는 것은 방사선 빔이 마스크를 통과한 후에 퓨필 평면에서 방사선 빔의 파면을 조작하는 것을 포함할 수 있다. 이와 관련하여, 최적화된 투영 광학기는 최적화된 투영 광학기 시스템이 수차로부터 자유롭거나 이 특정한 투영 광학기 시스템에 대해 가능한 가장 낮은 수차 레벨을 갖는 것을 반드시 요구하지는 않는다는 점을 언급하는 것이 중요하다. 이보다는, 투영 광학기의 최적화는 투영 광학기가 이러한 투영 광학기들을 사용하여 이미징될 타겟 패턴들에 대해 최적의 이미징 세팅들을 나타내도록 조정되거나 최적화된다는 것을 의미한다. 이와 같이, 최적화된 투영 광학기는 잔여 수차들을 포함할 수 있거나, 심지어는 이러한 최적화된 투영 광학기가 타겟 패턴을 이미징하는데 유익하다면 가능한 가장 낮은 수차 상태와는 거리가 멀게 조정될 수 있다. 투영 광학기가 특정한 타겟 패턴에 대해 최적인지 여부를 결정하기 위해, 타겟 패턴을 이미징하는 리소그래피 성능 파라미터들이 사용될 수 있다. 이러한 리소그래피 성능 파라미터들은 초점심도, 공정 윈도우의 치수, 마스크 오차 향상 팩터, 임계 치수 균일성, 에지 배치 오차 및 (정규화된) 이미지 로그 슬로프를 포함할 수 있으나, 이로 제한되지 않는다.
리소그래피 공정이 최적화될 타겟 설계 레이아웃(전형적으로, OASIS, GDSⅡ 등과 같은 표준 디지털 포맷의 레이아웃을 포함함)은 메모리, 테스트 패턴들 및 로직을 포함할 수 있다. 이 설계 레이아웃으로부터, 타겟 패턴들(클립들)의 초기 더 큰 세트가 식별된다. 본 발명의 특정한 실시예에서는, 클립들의 풀 세트가 추출되며, 이는 설계 레이아웃 내의 모든 복잡한 패턴들(전형적으로, 약 50 내지 1000 개의 클립들; 하지만, 여하한의 개수의 클립들이 사용될 수 있음)을 나타낸다. 당업자라면 이해하는 바와 같이, 이러한 패턴들 또는 클립들은 설계의 작은 부분들(즉, 회로들, 셀들 또는 패턴들)을 나타내며, 특히 클립들은 특별한 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다.
클립들의 초기 더 큰 세트는 특정 이미지 최적화를 필요로 하는 설계 레이아웃 내의 알려진 임계 피처 영역들에 기초하여 고객에 의해 연역적으로 제공될 수 있다. 대안적으로, 본 발명의 또 다른 실시예에서는, 임계 피처 영역들을 식별하는 몇몇 종류의 자동화된[이를테면, 머신 비전(machine vision)] 또는 수동 알고리즘을 이용함으로써 클립들의 초기 더 큰 세트가 전체 설계 레이아웃으로부터 추출될 수 있다.
패턴들의 더 큰 세트는 예를 들어 게이트 또는 로직 패턴들과 같은 상이한 패턴 타입들을 포함할 수 있거나, 예를 들어 특정한 방위를 갖는 패턴들을 포함할 수 있다. 또한, 패턴들의 더 큰 세트는, 예를 들어 소정 레벨의 복잡성을 포함하는 패턴들, 또는 리소그래피 처리 시에 특별한 주의 및/또는 검증을 필요로 하는 패턴들, 예를 들어 1D 스루 피치(through pitch), 엇갈려 배치된 스루 피치(staggered through pitch), 통상적으로 사용되는 설계 구조물 또는 프리미티브(primitive)[예컨대, 엘보(elbow)-형상, T-형상, H-형상), 메모리 셀들[예컨대, 브릭 월(brick wall)]과 같은 반복적으로 사용되는 레이아웃 구조체들, 메모리 주변 구조체들[예컨대, 메모리 셀들에 거는 훅(hook)], 및 이전의 생성으로부터 알려진 이미징 이슈(imaging issue)들을 갖는 패턴들 등을 포함할 수 있다. 패턴들의 더 큰 세트는, 예를 들어 사전정의된 공정 윈도우 성능을 갖는 패턴들을 더 포함할 수 있거나, 예를 들어 패턴의 공정 파라미터 변동들에 대한 민감도를 포함한 패턴들을 포함할 수도 있다.
패턴들 또는 클립들의 작은 서브세트(예를 들어, 15 내지 50 개의 클립들; 하지만, 여하한의 개수가 사용될 수 있음)는 클립들의 초기 더 큰 세트로부터 선택된다. 아래에 더 자세히 설명되는 바와 같이, 패턴들 또는 클립들의 서브세트의 선택은 선택된 패턴들의 공정 윈도우가 임계 패턴들의 더 큰 세트에 대한 공정 윈도우와 가능한 한 가깝게 일치하도록 바람직하게 수행된다. 또한, 이러한 선택의 유효성은 조합된 패턴-선택 및 후속 SMO 공정에서 소요 시간(turn-around time) 또는 실행 시간 단축에 의해 측정된다.
도 2의 흐름도 200은 본 발명의 일 실시예에 따른 회절-기반 패턴 선택 방법의 몇몇 핵심 단계들을 도시한 예시적인 흐름도이다.
단계 202에서, 복수의 타겟 패턴들 각각으로부터 각각의 회절 맵이 생성된다. 복수의 타겟 패턴들 각각은 대응하는 클립 내에 포함될 수 있다. 클립들은 이전에 설명된 바와 같이 타겟 패턴들의 초기 더 큰 세트를 구성한다. 클립들은 고객에 의해 제공될 수 있거나, 패턴 선택 방법의 선행 단계로서 설계 레이아웃으로부터 추출될 수 있다.
단계 204에서, 다양한 회절 맵들로부터 타겟 패턴들의 특성 회절 시그너처들이 식별된다.
단계 206에서, 복수의 타겟 패턴들은 다양한 회절-시그너처 그룹들로 그룹화되며, 특정한 회절-시그너처 그룹 내의 타겟 패턴들은 유사한 회절 시그너처를 갖는다. 회절-시그너처 그룹화는 주파수 도메인 또는 공간 도메인에서 행해질 수 있다.
단계 208에서, 가능한 모든 회절-시그너처 그룹들을 커버하는 타겟 패턴들 또는 클립들의 서브세트가 선택되어, 설계 레이아웃의 적어도 일부분(또는 전체 설계 레이아웃)이 설계 최적화 공정(리소그래피 이미지 전사 공정 흐름에서 사용되는 SMO 공정을 포함하나 이로 제한되지 않음)에서 적절히 나타난다.
도 3의 흐름도 300은 본 발명의 일 실시예에 따른 회절-기반 패턴 선택 방법의 단계들을 더 자세히 도시한 또 다른 예시적인 흐름도이다
단계 302에서, 타겟 패턴들의 초기 더 큰 세트가 얻어진다[또는 고객-공급(customer-supplied)되거나, 설계 레이아웃으로부터 추출된다].
단계 304에서, 타겟 패턴들 각각에 대해 회절 맵이 생성된다.
단계 306에서, 회절 맵들 각각에서 모든 피크들이 식별된다. 통상적으로, 0-차 피크들이 회절 맵들로부터 제거된다. 몇몇 경우들에서는, 피크 진폭이 사전정의된 소정의 임계 범위 아래인 피크들이 폐기되어, 이미지 최적화에서 이들의 기여도가 두드러지지 않을 것임에 따라 패턴 선택 알고리즘을 단순화한다.
단계 308에서, 회절-시그너처 그룹들의 리스트가 생성된다. 회절-시그너처 그룹들은 공간 도메인 또는 주파수 도메인에서의 회절-차수 맵핑에 기초할 수 있다. 이후에 설명되겠지만, 각각의 회절-시그너처 그룹은 1 이상의 기저 벡터들을 갖는다. 회절-시그너처 그룹화는 단계 306에서 식별된 피크들의 특성 파라미터들을 분석함으로써 행해진다. 피크들의 특성 파라미터들의 예시는 각각의 피크의 위치, 각각의 피크의 폭, 각각의 피크의 진폭, 각각의 피크의 고조파 지수(harmonic index), 1 이상의 이웃하는 피크들로부터 각각의 피크의 거리 등을 포함하나, 이로 제한되지 않는다. 또한, 추가의 특성 파라미터들이 저장될 수 있으며, 예를 들어 퓨필 평면에서 식별된 피크들을 생성하는 광의 위상, 또는 상이한 피크들 간의 위상 차이를 포함할 수 있다. 이 위상 정보는, 예를 들어 방사선 빔이 마스크를 통과한 이후에 퓨필 평면에서 방사선 빔의 파면을 최적화하거나 개선하기 위해 대표적일 수 있는 타겟 패턴들의 대표적인 세트를 선택하는데 사용될 수 있다.
단계 310에서, 다양한 회절 맵들로부터 다양한 회절 시그너처 그룹들 간에 존재하는 커버리지 관계가 검사된다. 이후에 설명되겠지만, 커버리지 관계들은 사전정의된 규칙들의 세트에 의해 규정된다.
단계 312에서, 타겟 패턴들(또는 클립들)의 서브세트가 식별되며, 이 회절-시그너처 그룹들은 다양한 타겟 패턴들로부터, 즉 클립들의 초기 더 큰 세트로부터 생성된 다양한 회절 맵들로부터 가능한 모든 회절-시그너처 그룹들을 커버한다.
단계 314에서, 타겟 패턴들의 서브세트는 전체 설계 레이아웃 또는 설계 레이아웃의 적어도 일부분을 적절히 나타낸 타겟 패턴들의 대표적인 세트 내에 포함된다. 타겟 패턴들의 대표적인 세트는 사용자-선택된 타겟 패턴들도 포함할 수 있다. 예를 들어, 사용자가 (고밀도 라인/공간 패턴들을 갖는 앵커 클립과 같은) 특정한 클립(또는 특정한 클립들의 세트)이 SMO 최적화에 사용되어야 하는 타겟 패턴을 갖는 것을 이미 알고 있다면, 상기 클립(들)은 항상 대표적인 세트 내에 포함된다.
본 발명은 리소그래피 최적화 공정으로 제한되지 않지만, 패턴-선택 알고리즘의 결과들은 마지막의 선택 단계 316에 나타낸 바와 같이, SMO와 같은 리소그래피 공정을 최적화하는데 흔히 사용된다.
도 4의 흐름도 400은 흐름도 300의 단계 308에서 설명된 바와 같은 회절-시그너처-기반 그룹화의 단계들을 나타낸 예시적인 흐름도이다. 흐름도 400은 여기에서 회절-차수 플롯이라고도 칭해지는 회절 맵이 생성된 후에, 0-차 피크가 제거되고, 다른 피크들이 식별된 단계들을 나타낸다. 각각의 피크의 위치(x,y), x 및 y 방향들로의 폭(sx,sy) 및 진폭(h)을 기록한 리스트('피크_리스트'라고도 칭해짐)가 생성될 수 있다.
단계 402에서, 회절-차수 플롯의 가장 강한 피크, v=(x,y,sx,sy,h)가 식별된다.
단계 404에서, 1-D 패턴에 대응하는 각각의 공선 피크(collinear peak)에 대해 각각의 1-D 기저 벡터가 구성된다.
단계 406에서, 2-D 패턴에 의해 생성된 비-공선 피크들의 각각의 쌍에 대해 각각의 2-D 기저 벡터들이 구성된다. 예를 들어, 피크 쌍(v1,v2)에 대해, 2 개의 기저 벡터들이 구성된다.
단계 408에서, 피크_리스트로부터 가장 강한 피크 'v'의 모든 고조파들이 제거된다. 예를 들어, 모든 정수 'n'에 대해 (nx,ny)에서의 피크들은 공선 피크들에 대해 제거된다. 이와 유사하게, 형태 'n1v1 + n2v2'의 피크들이 비-공선 피크 쌍(v1,v2)에 대하여 모든 정수 쌍들(n1,n2)에 대해 제거된다.
이러한 단계들은 피크_리스트가 빌 때까지 반복될 수 있으며, 모든 1-D 및 2-D 기저 벡터들은 '기저_리스트'라고 칭해질 수 있는 리스트로 변위된다.
단계 410에서, 기저_리스트 내에 포함된 비-반복적 1-D 및 2-D 기저 벡터들에 의해 회절-시그너처 그룹들의 리스트가 채워진다(populate). 이제, 회절-시그너처 그룹들은 커버리지 관계의 후속 검사를 위한 준비가 되어 있다.
당업자라면, 도 2 내지 도 4에 나타낸 방법들이 예시적인 단계들만을 도시한 것이라는 것을 이해할 것이다. 단계들 모두가 모든 실시예마다 포함될 필요는 없으며, 적용가능하다면 추가적인 중간/마지막 단계들이 상기 방법들에 포함될 수 있다.
도 5 내지 도 8은 본 발명의 실시예들에 따른 다양한 타겟 패턴들 및 대응하는 회절 맵들의 예시들을 도시한다. 도 5a는 직사각형 피처들의 엇갈려 배치된 2-D 어레이를 포함하는 타겟 패턴 또는 클립(502)을 나타낸다. 클립(502)의 회절 맵(504)은 도 5b에 도시된다. 회절 맵(504)의 피크들(중심 지점 주위에 에너지 분포를 가짐)은 국부화된 밝은 직사각형 영역들로서 나타나 있다. 전형적으로, 피크 진폭이 소정 임계치 이상인 피크들만이 패턴 선택 알고리즘으로 고려된다. 또한, 중심에서의 0-차 피크가 제거되어 패턴 선택 알고리즘을 단순화한다.
도 6a는 직사각형 피처들의 규칙적인 2-D 어레이를 포함하는 타겟 패턴 또는 클립(602)을 나타낸다. 클립(602)의 회절 맵(604)은 도 6b에 나타나 있다.
도 6a는 직사각형 피처들의 규칙적인 2-D 어레이를 포함하는 타겟 패턴 또는 클립(602)을 나타낸다. 클립(602)의 회절 맵(604)은 도 6b에 나타나 있다.
도 7a는 직사각형 피처들의 1-D 어레이를 포함하는 타겟 패턴 또는 클립(702)을 나타낸다. 클립(702)의 회절 맵(704)은 도 7b에 나타나 있다. 회절 맵(704)의 피크들이 y-축에 대해 평행한 대응하는 라인들을 따라 서로 오버랩된다는 점을 유의한다.
도 8a는 유한한 길이의 라인들의 1-D 어레이를 포함하는 타겟 패턴 또는 클립(802)을 나타낸다. 클립(802)의 회절 맵(804)은 도 8b에 나타나 있다.
도 9a 및 도 9b는 예시적인 실시예를 이용하여 패턴 선택의 전반적인 원리를 도시한다. 902 및 904는 2 개의 클립들, 즉 클립 1 및 클립 2로부터 얻어진 각각의 회절 맵들이다. 클립 1은 240 nm 피치를 갖는 피처들의 1-D 어레이이다. 클립 2는 80 nm 피치를 갖는 피처들의 1-D 어레이이다. 이러한 특정한 치수들은 비-제한적인 예시 목적을 위해서만 사용된다. 클립 2가 클립 1의 회절 시그너처를 커버하는 것이 입증될 것이므로, 클립 1 및 클립 2 둘 모두를 선택할 필요가 없다. 클립 2를 선택하는 것으로도 SMO 및 의도된 다른 것들에 대해 충분하다. 회절 맵들(902 및 904)은 대칭적이므로, 회절-차수 그룹화의 절반만이 회절-시그너처 그룹화 플롯들 920(클립 1에 대응함) 및 930(클립 2에 대응함)에 나타나 있다. 0-차 피크들이 각각의 회절 맵(902 및 904)의 중심으로부터 제거된다.
플롯 920에서 라인들 908, 910 및 912는 클립 1에 대한 1-차, 2-차 및 3-차 회절-차수 피크들의 진폭 및 위치를 지칭한다. 플롯 930에서 라인 914는 클립 2에 대한 1차 회절-차수 피크의 진폭 및 위치를 지칭한다. 이러한 플롯들은 주파수 도메인에 있다. 그러므로, 클립 2의 1-차 피크 914는 클립 2의 더 조밀한 피치로 인해 클립 1의 1-차 피크 908보다 더 높은 주파수를 갖는다(x-축을 따라 더 멀다). 식별된 3 개의 피크들 908, 910 및 912는 그룹 A로서 함께 그룹화된다. 고유한(unique) 기저 벡터 918이 그룹 A의 3 개의 피크들 908, 910 및 912 모두에 나타난다. 그룹 B는 클립 2로부터 식별된 피크 914만을 포함한다. 고유한 기저 벡터 922가 그룹 B의 피크 914에 나타난다.
도 9b에서는, 클립 1로부터의 피크 912 및 클립 2로부터의 피크 914의 오버랩으로 인해 그룹 A 및 그룹 B 간의 커버리지 관계가 존재한다는 것을 나타낸다. 피크들 912 및 914를 포괄하는 점선 라인은 오버랩의 존재를 나타낸다. 오버랩으로 인해, (더 높은 기저 주파수를 갖는) 그룹 B가 (더 낮은 기저 주파수를 갖는) 그룹 A를 커버한다. 따라서, 클립 2만을 선택함으로써, 두 클립들 1 및 2의 타겟 패턴 피처들의 특성들이 나타난다.
도 10 및 도 11은 본 발명의 예시적인 실시예들에 따른 1-D 및 2-D 패턴들에 대한 회절-시그너처-기반 그룹화를 각각 도시한다. 도 9a 및 도 9b의 예시들은 전체적인 각각의 클립을 나타낸 단일 회절-시그너처 그룹을 나타냈지만, 단일 클립 내에서도 복수의 회절-시그너처 그룹들이 존재할 수 있음을 유의한다. 예를 들어, 도 10에는, 도시된 바와 같이 2 개의 상이한 피치들 P1 및 P2를 갖는 1-D 타겟 패턴 1000이 도시된다. 회절 맵이 상기 패턴 1000으로부터 생성되고 회절 피크들이 주파수 도메인에 플롯될 때, 플롯 1002이 생성된다. 중심선 1004에 대해 대칭적으로 위치된 피크들 1006 및 1012는 가장 높은 진폭들을 갖는다. 중심선 1004에 대해 대칭적으로 위치된 피크들 1008 및 1014는 이 다음으로 가장 높은 진폭들을 갖는다. 중심선 1004에 대해 대칭적으로 위치된 피크들 1010 및 1016은 가장 낮은 진폭들을 갖는다. 도 10에 나타낸 바와 같이, 그룹 B는 기저 벡터 1020을 갖는 더 조밀한 피치 P1에 대응하고, 그룹 A는 기저 벡터 1022를 갖는 비교적 느슨한 피치 P2에 대응한다. 피크 1006(및 1012)이 가장 높은 진폭을 갖는데, 이는 이 위치에서(즉, 이 주파수 값에서) 그룹 B의 1-차 피크 및 그룹 A의 2-차 피크가 중첩되기 때문이다.
도 11에는, 도시된 바와 같이 x 및 y 두 방향 모두로 동일한 피치 P를 갖는 2-D 타겟 패턴 1100이 도시된다. 본 발명의 범위가 여하한의 특정한 종류의 타겟 패턴으로 제한되지 않음에 따라, 두 방향들로 상이한 피치들이, 그리고 심지어는 한 방향으로 다수의 피치들이 또한 수용될 수 있다. 회절 맵이 패턴 1100으로부터 생성되고 회절 피크들이 주파수 도메인에 플롯될 때, 2-D 플롯 1120이 생성된다. 1102, 1104, 1106 및 1108은 가장 높은 진폭 피크들의 위치들이다. 1110, 1112, 1114 및 1116은 이 다음으로 가장 높은 진폭 피크들의 위치들이다. 회절-시그너처 그룹화는 도 11에서 저부 좌측 플롯에 점선으로 나타낸 바와 같이 4 개의 그룹들, 그룹 1, 그룹 2, 그룹 3 및 그룹 4로 그룹화된 가장 높은 진폭 피크들에서 시작한다. (이 다음으로 가장 높은 진폭 피크들을 제외한) 이웃하는 아래의 진폭 피크들은 가장 가깝고 가장 높은 진폭 피크들을 포함한 각각의 그룹 내에 포함된다. 도 11의 저부 우측 플롯에 나타낸 바와 같이, 이 다음으로 가장 높은 진폭 피크들의 기저 벡터들은 2 개의 이웃하는 가장 높은 진폭 그룹 기저 벡터들의 기저 벡터들의 벡터 합이다. 이 다음으로 가장 높은 진폭 피크들을 포함한 점선 원 내부의 피크들(그룹 5) 모두는 v = nx v 1 + ny v 2 에 의해 표현될 수 있는 기저 벡터들을 가지며, 여기서 nx 및 ny는 정수이다. 점선 원 내의 피크들의 차수는 (nx + ny)로 표현되므로, 이들은 1-차 피크들이라기보다는 더 높은 고차 고조파들로서 고려된다. 이러한 피크들은 함께 그룹화된다.
도 12a 내지 도 12c는 본 발명의 일 실시예에 따른 반-고립된(semi-isolated) 타겟 패턴의 회절-시그너처의 예시도이다. 도 12a에 나타낸 바와 같이, 타겟 패턴 1200은 반-고립된 피처(1202)를 포함한다. 타겟 패턴 1200의 반-고립된 부분 1202뿐만 아니라 조밀하게 이격된 부분 1204를 커버하는 커트라인 1206이 배치된다. 회절 스폿 1250은 회절 맵 피크들의 주파수-도메인 플롯팅으로부터 기인한다. 여기서 주목할 피처는 이전의 예시들에 도시된 바와 같은 이산 피크들이라기보다는 연속적인 피크들의 존재이다. 다시 말해, 각각의 연속 피크는 유한한 피크 폭을 갖는다, 즉 중심 지점 주위에 소정의 에너지 분포를 갖는다. x 및 y 축선들은 임의의 유닛들에서 회절 차수 피크들의 정규화된 주파수 및 진폭이다. 작은 피크들 1220은 유한한 셀 윈도우 크기의 가공물(artifact)들이며, 별도의 회절 피크들로서 고려되지 않는다. 포락선 1210은 0-차 연속 피크를 나타내며, 버려진다(discarded). 포락선들 1212, 1214, 1216 및 1218은 고차(0보다 더 높음) 연속 피크들을 나타낸다. 도 12b에 구체적으로 나타나 있지는 않지만, 유사한 연속 피크들이 대칭선 1208의 다른 쪽 상에도 존재한다. 도 12c는 플롯 1250으로부터 얻어진 최종 그룹화 결과 1260을 나타내며, 여기서 라인 세그먼트들은 반-고립된 타겟 패턴 1200에 의해 생성된 연속 피크들을 나타낸다.
도 13a 내지 도 13c 및 도 14는 본 발명의 실시예들에 따른 이산 및 연속 피크들에 대한 커버리지 관계들의 예시도이다. 이전에 설명된 바와 같이, 이산 및 연속 피크들 간에 존재하는 커버리지 관계들을 검사하기 위해 규칙 세트가 사용된다. 각각의 피크에 대해, 위치, (연속 피크들에 대한) 피크의 폭, 피크의 진폭과 같은 특성 파라미터들이 저장된다. 저장된 피크 데이터 상에 규칙 세트가 적용된다. 사전정의된 허용오차 값은 상기 규칙 세트 내에 포함된다. 임계 진폭 값 범위 밖의 피크들은 버려진다. 소스 퓨필 평면에서 임계 반경 범위 밖의 피크들은 고려되지 않는다. 상기 규칙 세트 내에 포함된 규칙들 중 몇몇은 다음과 같다:
- 피크 'v'가 또 다른 피크 'u'와 오버랩되도록 고려되고(단, 'v'와 'u' 간의 거리가 사전정의된 허용오차와 피크들의 평균 폭을 더한 값보다 더 낮은 경우)(예를 들어, 거리 1306이 허용오차 값보다 낮음에 따라, 그룹 1로부터의 피크 1302 및 그룹 2로부터의 피크 1304가 커버리지 관계를 갖는 도 13a를 참조);
- 1-차원 회절-시그너처 그룹 'v1'이 또 다른 1-차원 회절-시그너처 그룹 'u1'에 의해 커버되도록 고려되며(단, 정수 'n'이 존재하여 'v1'이 'n*u1'과 오버랩되는 경우에만);
- 2-차원 회절-시그너처 그룹 (v1,v2)이 또 다른 2-차원 회절-시그너처 그룹 (u1,u2)에 의해 커버되도록 고려되고(단, 정수 쌍 (n1,n2)이 존재하여 'v1'이 'n1*u1 + n2*u2'와 오버랩되고, 또한 이와 유사하게, 정수 쌍 (m1,m2)이 존재하여 'v2'가 'm1*u1 + m2*u2'와 오버랩되는 경우에만);
- 1-차원 회절-시그너처 그룹은 2-차원 회절-시그너처 그룹을 커버할 수 없으며;
- 이산 피크는 연속 피크를 커버할 수 있고[예를 들어, (만약, 간격 < 폭/2 + 허용오차인 경우) 그룹 2로부터 폭 1312를 갖는 연속 피크 1310은 이 사이에 간격 거리 1314를 갖는 그룹 1로부터의 이산 피크 1308에 의해 커버되는 도 13b를 참조한다];
- 더 낮은 차수의 피크들이 더 높은 차수의 피크들을 커버하나, 역은 성립되지 않는다. 다시 말해, 가장 높은 기저 주파수를 갖는 그룹이 가장 낮은 기저 주파수를 갖는 그룹을 커버한다.
도 13c는 2 개의 상이한 그룹들에 속한 2 개의 연속 피크들 1318 및 1320을 갖는 또 다른 예시를 나타낸다. 연속 피크 1318의 피크 폭 1322는 폭 1이고, 연속 피크 1320의 피크 폭 1324는 폭 2이다. 상기 피크들의 중심선들 사이의 간격은 1326이다. 만약, 간격 < [(폭 1 + 폭 2)/2 + 허용오차]인 경우, 2 개의 피크들이 오버랩되었다고 말할 수 있다. 2 개의 오버랩된 피크들은 커버리지 관계를 갖는다고 말할 수 있다.
도 14는 이산 및 연속 피크들 모두가 회절 맵에 존재하는 커버리지 관계의 규칙-기반 설명의 예시를 나타낸다. 여기서는, 연속 피크들 모두가 1-차 피크들이라고 가정한다. 도 14에 나타낸 바와 같이, 그룹 A는 그룹 D와 동일한 차수로 되어 있다. 그러므로, 그룹 D는 그룹 A에 의해 커버되며, 그룹 A를 갖는 클립만이 선택된다. 그룹 E는 여하한의 다른 그룹과 오버랩되지 않는다. 그러므로, 그룹 E는 여하한의 다른 클립으로부터 여하한의 다른 그룹에 의해 커버되지 않는다. 그러므로, 그룹 E(및 그룹 E를 포함하는 클립)가 선택되어야 한다. 그룹 B는 그룹 C와 오버랩된다. 그룹 C는 1-차로 되어 있다. 그룹 C는 그룹 B의 3-차와 오버랩된다. 그러므로, 그룹 B는 그룹 C에 의해 커버될 수 있다. 하지만, 그룹 B는 그룹 A에 의해 커버된다. 그룹 C가 고립되며, 어느 그룹에 의해서도 커버되지 않는다. 그러므로, 그룹 C(및 그룹 C를 포함하는 클립)가 선택되어야 할 필요가 있다. 최종 결과는 그룹들 A, C 및 E(및 이에 대응하는 클립들)가 선택된다는 것이다.
일반적인 규칙으로서, 상이한 선택 선정(selection choice)들 사이에 저하가 존재할 때, 패턴 선택 알고리즘은 오버랩된 회절 차수들 간의 차이에 기초하여 최적의 커버리지를 제공하는 대안을 찾을 것이다. 예를 들어 동일한 피치들을 가지나 상이한 CD들을 갖는 2 개의 패턴들 간의 거리가 동일한 경우, 더 작은 클립 지수(clip index)를 갖는 클립이 선택될 것이다.
앞서 설명된 패턴 선택 알고리즘은 패턴 선택기 모듈에서 구현될 수 있으며, 이는 리소그래피 작업에서 SMP 공정 흐름의 일부분일 수 있다. 도 15는 본 발명의 일 실시예에 따른 SMO 메트롤로지 공정 흐름의 단계로서 구현된 패턴 선택기 모듈의 실시예 1500를 나타낸다. 블록 1502에서, 패턴들의 초기 더 큰 세트[후보 세트(candidate set)]가 선택된다. 앵커 클립은 항상 선택된다. 블록 1504에서, 사용자는 초기 세트 내로 더 많은 클립들 수동으로 입력하는 옵션을 갖는다. 그 후, 패턴 선택 알고리즘은 블록 1506에서 실행된다. 상기 알고리즘의 출력은 블록 1508에서 얻어진다. 패턴들의 서브세트는 초기 더 큰 세트로부터 선택된다. 블록 1510에서, 패턴들의 선택된 서브세트를 이용하여 SMO가 수행된다. 블록 1512에서, 마스크-최적화 알고리즘이 SMO의 출력으로부터 모든 클립들 또는 선택된 클립들 상에서 선택적으로 실행된다.
도 16a 및 도 16b는 도 15의 패턴 선택기 모듈로부터 사용자 인터페이스들의 스크린샷이다. 도 16a는 패턴 선택 알고리즘이 실행되기 이전의 업무 설정 인터페이스(job setup interface)를 나타내고(블록들 1502 및 1504), 도 16b는 패턴 선택 알고리즘이 실행된 이후의 패턴 검토 인터페이스를 나타낸다(블록 1508). 선택된 클립들은 블록 1510 동안에 후속 SMO 메트롤로지 윈도우에서 검사된다.
도 17은 본 발명의 패턴 선택 알고리즘으로부터 기인한 SMO 런타임 및 전체 리소그래피 성능의 개선을 나타낸다.
도 17은 (50 개의 칩 모두를 사용하는) 종래의 풀-칩 SMO 방법에 대하여, (50 개의 클립들의 더 큰 세트로부터 패턴 선택기 모듈에 의해 자동으로 선택된 12 개의 선택된 패턴들을 사용하는) 앞서 설명된 패턴 선택 방법에 대한 리소그래피 성능의 비교를 나타낸다. 알 수 있는 바와 같이, 5 %의 고정된 노광관용도(EL)에서 초점심도(DOF) 그래프 1700에 의해 나타낸 바와 같이, 패턴 선택 방법은 풀-칩 SMO 방법의 원래 리소그래피 성능을 재현한다(즉, 공정 윈도우를 손상시키지 않는다).
또한, 도 17은 종래의 풀-칩 SMO 방법 및 베이스라인 기록 공정에 대하여, 앞서 설명된 패턴 선택 방법들에 대한 처리 런 타임 성능을 비교한 차트를 나타낸다. 알 수 있는 바와 같이, 패턴 선택 방법은 SMO 런 타임을 현저히 감소시킨다.
본 발명의 실시예들을 구현하기 위한 컴퓨터 시스템의 상세설명
도 18은 본 명세서에 개시된 최적화 방법 및 흐름을 구현하는데 도움이 될 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수(temporary variable)들 또는 다른 매개 정보(intermediate information)를 저장하는데 사용될 수도 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는, 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함한다. 정보 및 명령어들을 저장하는 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되며 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판(flat panel) 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 형태의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키와 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 명시하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
본 발명의 일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스들을 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 최적화 공정의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터 판독가능한 매체로부터 주 메모리(106)로 판독될 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수도 있다. 대안적인 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 본 발명을 구현하는 소프트웨어 명령어들과 조합하거나 이를 대신하여 사용될 수 있다. 따라서, 본 발명의 실시예들은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터 판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이로 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어(wire)들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유(fiber optics)를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터 판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 본 명세서에 설명된 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스들을 프로세서(104)로 전달하는데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 지원(bear)될 수 있다. 상기 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩(load)할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 대한 모뎀 로컬(modem local)은 전화선 상의 데이터를 수신할 수 있으며, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 검색하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 이전 또는 이후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 바람직하게 버스(102)에 커플링된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 쌍방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 형태의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성(compatible) LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 그 결과 ISP(126)는, 이하 통상적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호를 사용한다. 다양한 네트워크를 통한 신호, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호는 정보를 전달하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지를 송신하고 데이터를 수신할 수 있다. 인터넷 예시에서 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 응용 프로그램에 대한 요청된 코드를 전송할 수 있다. 본 발명에 따르면, 예를 들어 이러한 다운로드된 어플리케이션은 본 실시예의 조명 최적화에 대해 제공된다. 수신된 코드는 수신되고, 및/또는 저장 디바이스(110) 또는 추후 실행을 위한 다른 비휘발성 저장소에 저장됨에 따라 프로세서(104)에 의해 실행될 수 있다. 이러한 방식으로 컴퓨터 시스템(100)은 반송파의 형태로 응용 코드를 얻을 수 있다.
예시적인 리소그래피
도 19는 본 발명의 공정을 이용하여 그 조명 소스가 최적화될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선의 투영 빔(PB)을 공급하는 방사선 시스템(Ex, IL)- 이러한 특정한 경우, 방사선 시스템은 방사선 소스(LA)도 포함함 -;
- 마스크(MA)(예를 들어, 레티클)를 지지하는 마스크 홀더가 제공되고, 아이템(PL)에 대하여 마스크를 정확히 위치시키는 제 1 위치설정 수단에 연결된 제 1 대상물 테이블(마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PL)에 대하여 기판을 정확히 위치시키는 제 2 위치설정 수단에 연결된 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 마스크(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PL)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭(catadioptric) 광학 시스템]을 포함한다.
본 명세서에 서술된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 마스크를 가짐). 하지만, 일반적으로 상기 장치는 예를 들어 (반사 마스크를 갖는) 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 마스크의 사용의 대안예로서 또 다른 종류의 패터닝 수단을 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
상기 소스(LA)[예를 들어, 수은 램프 또는 엑시머 레이저(excimer laser)]는 방사선 빔을 생성한다. 이 빔은 곧바로 또는, 예를 들어 빔 익스팬더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명 시스템(일루미네이터)(IL)으로 공급된다. 상기 일루미네이터(IL)는 빔의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AM)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(PB)은 그 단면에 원하는 균일성 및 세기 분포를 갖는다.
도 19와 관련하여, 상기 소스(LA)는 [흔히 상기 소스(LA)가, 예를 들어 수은 램프인 경우와 같이] 리소그패피 투영 장치의 하우징 내에 놓이지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성한 방사선 빔은 (예를 들어, 적절한 지향 거울의 도움으로) 장치 내부로 들어올 수도 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 상기 소스(LA)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다. 본 발명은 적어도 이 시나리오 둘을 포함한다.
이후, 상기 빔(PB)은 마스크 테이블(MT) 상에 유지되어 있는 마스크(MA)를 통과(intercept)한다. 마스크(MA)를 가로질렀으면, 상기 빔(PB)은 렌즈(PL)를 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(PB)을 포커스한다. 제 2 위치설정 수단[및 간섭계 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(PB)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 마스크 라이브러리(mask library)로부터의 마스크(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(PB)의 경로에 대해 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 대상물 테이블(MT, WT)들의 이동은, 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 19에 명확히 도시되지는 않는다. 하지만, [스텝-앤드-스캔 툴(step-and-scan tool)과는 대조적으로] 웨이퍼 스테퍼의 경우 마스크 테이블(MT)은 단지 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:
- 스텝 모드에서, 마스크 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 마스크 이미지가 한번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 그 후, 상이한 타겟부(C)가 상기 빔(PB)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다;
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(PB)이 마스크 이미지 전체에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PL)의 배율(통상적으로, M = 1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 점점 더 작은 크기의 파장들을 생성할 수 있는 신흥(emerging) 이미징 기술들을 이용하는데 특히 유용할 수 있다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(extreme ultra violet) 리소그래피를 포함한다. 또한, EUV 리소그래피는 싱크로트론(synchrotron)을 이용하거나, 이 범위 내의 광자(photon)를 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격함(hit)으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다. 대부분의 재료들이 이 범위 내에서 흡수성이기 때문에, 다중-스택의 몰리브덴 및 실리콘을 갖는 반사 거울들에 의해 조명이 생성될 수 있다. 다중-스택 거울은 각 층의 두께가 1/4 파장인 40 층의 몰리브덴 및 실리콘 쌍을 갖는다. X-선 리소그래피를 이용하여 훨씬 더 작은 파장들이 생성될 수 있다. 전형적으로, 싱크로트론은 X-선 파장을 생성하는데 사용된다. 대부분의 재료가 X-선 파장들에서 흡수성이기 때문에, 얇은 조각의 흡수성 재료는 피처들이 프린트되거나(포지티브 레지스트) 또는 프린트되지 않는(네거티브 레지스트) 곳을 정의한다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징을 위해 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
본 발명은 다음의 항목들에 따라 더욱 설명될 수 있다.
1. 리소그래피 공정을 통해 기판 상으로 이미징되도록 구성된 설계 레이아웃 내의 타겟 패턴들의 대표적인 세트를 선택하는 방법에 있어서, 상기 방법은: 상기 설계 레이아웃 내의 타겟 패턴들의 초기 더 큰 세트 각각에 대한 각각의 회절 맵을 생성하는 단계;
상기 회절 맵들 각각 내의 피크들을 식별하는 단계;
상기 회절 맵들 각각 내의 식별된 피크들의 1 이상의 특성 파라미터들을 저장하는 단계;
회절-시그너처 그룹들의 리스트를 생성하도록 상기 식별된 피크들의 저장된 특성 파라미터들을 분석하는 단계 - 각각의 회절-시그너처 그룹은 1 이상의 각각의 기저 벡터들을 가짐 -;
타겟 패턴들의 초기 더 큰 세트의 다양한 회절 맵들로부터 다양한 회절-시그너처 그룹들 사이에 존재하는 커버리지 간계들을 검사하는 단계 - 상기 커버리지 관계들은 사전정의된 규칙들에 의해 규정됨 -;
상기 회절-시그너처 그룹들이 상기 회절 맵들 모두로부터 모든 가능한 회절-시그너처 그룹들을 적절히 커버하는 타겟 패턴들의 최종 서브세트를 식별하는 단계; 및
상기 타겟 패턴들의 최종 서브세트가 상기 리소그래피 공정을 위한 상기 설계 레이아웃의 적어도 일부분을 나타내도록, 상기 타겟 패턴들의 대표적인 세트 내에 포함될 상기 타겟 패턴들의 최종 서브세트를 선택하는 단계를 포함한다.
2. 일 실시예에서, 상기 방법은:
상기 타겟 패턴들의 대표적인 세트를 사용하여, 상기 리소그래피 공정에 사용되는 조명 소스를 최적화하는 단계를 더 포함한다.
3. 일 실시예에서, 상기 방법은:
상기 타겟 패턴들의 대표적인 세트에 의해 나타난 상기 설계 레이아웃의 일부분을 이미징하도록 최적화된 조명 소스를 이용하는 단계를 더 포함한다.
4. 일 실시예에서, 상기 설계 레이아웃의 일부분은 전체 설계 레이아웃, 또는 상기 전체 레이아웃의 실질적으로 큰 부분을 포함한다.
5. 일 실시예에서, 상기 방법은:
상기 타겟 패턴들의 대표적인 세트를 사용하여, 상기 리소그래피 공정에 사용되는 투영 광학기 시스템을 최적화하는 단계를 더 포함한다.
6. 일 실시예에서, 상기 방법은:
상기 타겟 패턴들의 대표적인 세트에 의해 나타난 상기 설계 레이아웃의 일부분을 이미징하도록 최적화된 투영 광학기를 사용하는 단계를 더 포함한다.
7. 일 실시예에서, 상기 설계 레이아웃의 일부분은 전체 설계 레이아웃, 또는 상기 전체 레이아웃의 실질적으로 큰 부분을 포함한다.
8. 일 실시예에서, 상기 패턴들의 대표적인 세트는 1 이상의 사용자-선택된 클립들을 포함한다.
9. 일 실시예에서, 상기 사용자-선택된 클립들 중 적어도 하나는 추가적인 마스크 최적화가 추천되지 않는 앵커 클립이다.
10. 일 실시예에서, 상기 앵커 클립은 가장 조밀한 라인/공간 타겟 패턴을 포함한다.
11. 리소그래피 공정을 통해 기판 상으로 이미징되도록 구성된 설계 레이아웃으로부터 타겟 패턴들의 서브세트를 선택하는 방법에 있어서, 상기 방법은:
상기 설계 레이아웃으로부터의 타겟 패턴들의 초기 더 큰 세트로부터 복수의 타겟 패턴들에 대한 각각의 회절 맵을 생성하는 단계;
상기 타겟 패턴들의 초기 더 큰 세트로부터의 상기 복수의 타겟 패턴들의 다양한 회절 맵들로부터 회절 시그너처들을 식별하는 단계;
상기 타겟 패턴들의 초기 더 큰 세트로부터의 상기 복수의 타겟 패턴들을 회절-시그너처 그룹들로 그룹화하는 단계 - 특정한 회절-시그너처 그룹 내의 상기 타겟 패턴들은 유사한 회절 시그너처를 가짐 -; 및
타겟 패턴들의 대표적인 세트가 상기 리소그래피 공정을 위한 상기 설계 레이아웃의 적어도 일부분을 나타내도록, 사전정의된 개수의 회절-시그너처 그룹들을 커버하도록 상기 타겟 패턴들의 대표적인 세트인 상기 서브세트를 선택하는 단계를 포함한다.
12. 일 실시예에서, 상기 방법은:
상기 타겟 패턴들의 대표적인 세트를 사용하여, 상기 리소그래피 공정에 사용되는 조명 소스를 최적화하는 단계를 더 포함한다.
13. 일 실시예에서, 상기 조명 소스를 최적화하는 방법은 마스크 상에 입사되는 방사선 빔의 편광 분포를 조정하는 단계를 포함한다.
14. 일 실시예에서, 상기 방법은:
상기 타겟 패턴들의 대표적인 세트에 의해 나타난 상기 설계 레이아웃의 일부분을 이미징하도록 최적화된 조명 소스를 이용하는 단계를 더 포함한다.
15. 일 실시예에서, 상기 방법은:
상기 타겟 패턴들의 대표적인 세트를 사용하여, 상기 리소그래피 공정에 사용되는 투영 광학기 시스템을 최적화하는 단계를 더 포함한다.
16. 일 실시예에서, 상기 투영 광학기 시스템을 최적화하는 방법은 방사선 빔이 상기 마스크를 통과한 후에 퓨필 평면에서 방사선 빔의 파면을 조작하는 단계를 포함한다.
17. 일 실시예에서, 상기 방법은:
상기 타겟 패턴들의 대표적인 세트에 의해 나타난 상기 설계 레이아웃의 일부분을 이미징하도록 최적화된 투영 광학기를 사용하는 단계를 더 포함한다.
18. 일 실시예에서, 상기 타겟 패턴들의 초기 더 큰 세트는 1 이상의 사전정의된 이미지 최적화 기준에 기초하여 상기 설계 레이아웃으로부터 추출되거나, 또는 상기 타겟 패턴들의 초기 더 큰 세트는 상기 설계 레이아웃 내의 몇몇 알려진 위치들에서 1 이상의 사전정의된 이미지 최적화 기준에 기초하여 연역적으로 제공된다.
19. 일 실시예에서, 상기 1 이상의 사전정의된 이미지 최적화 기준은:
- 상기 타겟 패턴의 타입;
- 상기 타겟 패턴의 복잡성;
- 상기 타겟 패턴의 위치;
- 상기 타겟 패턴의 리소그래피 공정 윈도우 성능;
- 리소그래피 공정 파라미터 변수들에 대한 상기 타겟 패턴의 알려진 민감도;
- 상기 타겟 패턴 내의 피처들의 치수;
- 상기 타겟 패턴 내의 피처들의 피치;
- 상기 설계 레이아웃에서의 상기 타겟 패턴의 임계도(degree of criticality);
중 1 이상에 기초한다.
20. 일 실시예에서, 상기 회절-시그너처 그룹들은 공간 도메인에서 또는 주파수 도메인에서 존재한다.
21. 일 실시예에서, 상기 타겟 패턴들의 대표적인 세트는 1 이상의 사용자-선택된 클립들을 포함한다.
앞선 설명들은 예시를 위한 것이며, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에서 설명되는 청구항들의 범위를 벗어나지 않고 설명된 본 발명에 대한 변형예들이 수행될 수 있다는 것을 이해할 것이다.

Claims (15)

  1. 리소그래피 공정을 통해 기판 상으로 이미징되도록 구성된 설계 레이아웃으로부터 타겟 패턴들의 서브세트를 선택하는 방법에 있어서,
    상기 설계 레이아웃으로부터 타겟 패턴들의 초기 더 큰 세트로부터 복수의 타겟 패턴들에 대한 각각의 회절 맵을 생성하는 단계;
    상기 타겟 패턴들의 초기 더 큰 세트로부터의 상기 복수의 타겟 패턴들의 다양한 회절 맵들로부터 회절 시그너처(diffraction-signature)들을 식별하는 단계;
    상기 타겟 패턴들의 초기 더 큰 세트로부터의 상기 복수의 타겟 패턴들을 회절-시그너처 그룹들로 그룹화하는 단계 - 특정한 회절-시그너처 그룹 내의 타겟 패턴들은 유사한 회절 시그너처를 가짐 - ; 및
    타겟 패턴들의 대표적인 세트가 상기 리소그래피 공정을 위한 상기 설계 레이아웃의 적어도 일부분을 나타내도록, 사전정의된 개수의 회절-시그너처 그룹들을 커버(cover)하도록 상기 타겟 패턴들의 대표적인 세트인 상기 서브세트를 선택하는 단계를 포함하는 타겟 패턴들의 서브세트를 선택하는 방법.
  2. 제 1 항에 있어서,
    상기 복수의 타겟 패턴들의 그룹화는 회절 시그너처의 유사성에 기초하여 사전정의된 규칙들에 의해 규정되는 타겟 패턴들의 서브세트를 선택하는 방법.
  3. 제 2 항에 있어서,
    상기 사전정의된 규칙들은 상기 다양한 회절-시그너처 그룹들 사이에 존재하는 커버리지 관계(coverage relationship)들을 포함하는 타겟 패턴들의 서브세트를 선택하는 방법.
  4. 삭제
  5. 제 1 항에 있어서, 상기 선택하는 단계 이후에,
    상기 타겟 패턴들의 대표적인 세트를 사용하여, 마스크 상에 입사되는 방사선 빔의 편광 분포를 조정하는 단계를 포함하는 타겟 패턴들의 서브세트를 선택하는 방법.
  6. 삭제
  7. 제 1 항에 있어서, 상기 선택하는 단계 이후에,
    상기 타겟 패턴들의 대표적인 세트를 사용하여, 방사선 빔이 마스크를 통과한 후에 퓨필 평면에서 상기 방사선 빔의 파면을 조작하는 단계를 포함하는 타겟 패턴들의 서브세트를 선택하는 방법.
  8. 제 1 항에 있어서,
    상기 회절 시그너처들을 식별하는 단계는:
    상기 회절 맵들 각각 내의 피크들을 식별하는 단계;
    상기 회절 맵들 각각 내의 식별된 피크들의 1 이상의 특성 파라미터들을 저장하는 단계; 및
    상기 회절 맵들 각각에 대해 각각의 회절-시그너처 그룹들을 생성하도록, 상기 식별된 피크들의 저장된 특성 파라미터들을 분석하는 단계를 더 포함하는 타겟 패턴들의 서브세트를 선택하는 방법.
  9. 제 8 항에 있어서,
    각각의 회절-시그너처 그룹은 1 이상의 각각의 기저 벡터들을 포함하는 타겟 패턴들의 서브세트를 선택하는 방법.
  10. 제 9 항에 있어서,
    1-차원 기저 벡터는 공선 피크(collinear peak)를나타내고, 2-차원 기저 벡터들은 상기 회절 맵에서 식별된 비-공선 피크들의 쌍을 나타내는 타겟 패턴들의 서브세트를 선택하는 방법.
  11. 제 8 항에 있어서,
    식별된 피크들에 대해 저장될 상기 특성 파라미터들은: 상기 피크의 위치, 상기 피크의 폭, 상기 피크의 진폭, 상기 피크의 고조파 지수(harmonic index), 및 1 이상의 이웃하는 피크들로부터 상기 피크의 거리 중 1 이상을 포함하는 타겟 패턴들의 서브세트를 선택하는 방법.
  12. 제 1 항에 있어서,
    상기 각각의 회절 맵을 생성하는 단계는:
    상기 타겟 패턴들의 초기 더 큰 세트 각각 내의 상기 타겟 패턴에 대응하는 각각의 회절-차수 플롯을 생성하는 단계; 및
    상기 회절-차수 플롯들 각각으로부터 0-차 피크(zero-eth order peak)를 제거하는 단계를 포함하는 타겟 패턴들의 서브세트를 선택하는 방법.
  13. 제 12 항에 있어서,
    상기 식별된 피크들의 저장된 특성 파라미터들을 분석하는 단계는:
    상기 회절-차수 플롯 내의 가장 강한 피크를 식별하는 단계;
    상기 회절-차수 플롯 내의 상기 가장 강한 피크를 포함한 각각의 공선 피크에 대해 각각의 1-차원 기저 벡터를 구성하는 단계;
    상기 회절-차수 플롯 내의 비-공선 피크들의 각각의 쌍에 대해 각각의 2-차원 기저 벡터들을 구성하는 단계;
    상기 회절-차수 플롯 내에 존재하는 모든 고조파들을 무시하는 단계; 및
    비-반복적인 1-차원 및 2-차원 기저 벡터들을 선택함으로써 회절-시그너처 그룹의 리스트를 채우는(populate) 단계를 포함하는 타겟 패턴들의 서브세트를 선택하는 방법.
  14. 제 13 항에 있어서,
    커버리지 관계들을 규정한 사전정의된 규칙들은:
    - 상기 식별된 피크들 중 제1피크('v')가 제2피크('u')와 오버랩되도록 고려되고(단, 'v'와 'u' 간의 거리가 사전정의된 허용오차와 피크들의 평균 폭을 더한 값보다 더 낮은 경우);
    - 제1의 1차원 회절-시그너처 그룹('v1')이 제2의 1-차원 회절-시그너처 그룹('u1')에 의해 커버되도록 고려되며(단, 정수 'n'이 존재하여 'v1'이 'n*u1'과 오버랩되는 경우에만);
    - 제1의 2-차원 회절-시그너처 그룹(v1,v2)이 제2의 2-차원 회절-시그너처 그룹(u1,u2)에 의해 커버되도록 고려되고(단, 정수 쌍 (n1,n2)이 존재하여 'v1'이 'n1*u1 + n2*u2'와 오버랩되고, 또한 이와 유사하게, 정수 쌍 (m1,m2)이 존재하여 'v2'가 'm1*u1 + m2*u2'와 오버랩되는 경우에만);
    - 1-차원 회절-시그너처 그룹은 2-차원 회절-시그너처 그룹을 커버할 수 없으며;
    - 이산 피크는 연속 피크를 커버할 수 있고;
    - 더 낮은 차수의 피크들이 더 높은 차수의 피크들을 커버하는;
    규칙들 중 1 이상을 포함하는 타겟 패턴들의 서브세트를 선택하는 방법.
  15. 제 1 항 내지 제 3 항, 제 5 항, 및 제 7 항 내지 제 14 항 중 어느 한 항에 따른 타겟 패턴들의 대표적인 세트를 선택하는 방법을 수행하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
KR1020100105521A 2009-10-28 2010-10-27 회절 시그너처 분석에 기초한 설계 레이아웃에서의 최적의 패턴들의 선택 KR101226646B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US25573809P 2009-10-28 2009-10-28
US61/255,738 2009-10-28
US36040410P 2010-06-30 2010-06-30
US61/360,404 2010-06-30

Publications (2)

Publication Number Publication Date
KR20110046368A KR20110046368A (ko) 2011-05-04
KR101226646B1 true KR101226646B1 (ko) 2013-01-25

Family

ID=43602819

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127013745A KR101800758B1 (ko) 2009-10-28 2010-10-26 소스 및 마스크 최적화를 위한 패턴 선택 방법
KR1020100105521A KR101226646B1 (ko) 2009-10-28 2010-10-27 회절 시그너처 분석에 기초한 설계 레이아웃에서의 최적의 패턴들의 선택

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020127013745A KR101800758B1 (ko) 2009-10-28 2010-10-26 소스 및 마스크 최적화를 위한 패턴 선택 방법

Country Status (7)

Country Link
US (5) US8739082B2 (ko)
JP (3) JP5156075B2 (ko)
KR (2) KR101800758B1 (ko)
CN (3) CN102597872B (ko)
NL (2) NL2005523A (ko)
TW (3) TWI463245B (ko)
WO (1) WO2011051249A1 (ko)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
US8667427B2 (en) * 2011-02-24 2014-03-04 International Business Machines Corporation Method of optimization of a manufacturing process of an integrated circuit layout
US8607170B2 (en) 2011-03-02 2013-12-10 Texas Instruments Incorporated Perturbational technique for co-optimizing design rules and illumination conditions for lithography process
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
US8504949B2 (en) * 2011-07-26 2013-08-06 Mentor Graphics Corporation Hybrid hotspot detection
EP2570854B1 (en) * 2011-09-16 2016-11-30 Imec Illumination-source shape definition in optical lithography
NL2009982A (en) * 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
US9940427B2 (en) 2012-02-09 2018-04-10 Asml Netherlands B.V. Lens heating aware source mask optimization for advanced lithography
US8555211B2 (en) * 2012-03-09 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Mask making with error recognition
JP6039910B2 (ja) * 2012-03-15 2016-12-07 キヤノン株式会社 生成方法、プログラム及び情報処理装置
US8631360B2 (en) * 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
US9489479B2 (en) * 2012-05-04 2016-11-08 Asml Netherlands B.V. Rule and lithographic process co-optimization
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
CN104395828B (zh) * 2012-05-31 2018-02-02 Asml荷兰有限公司 基于梯度的图案和评价点选择
CN102692814B (zh) * 2012-06-18 2013-09-11 北京理工大学 一种基于Abbe矢量成像模型的光源-掩模混合优化方法
WO2014025936A2 (en) 2012-08-08 2014-02-13 Dcg Systems, Inc. P and n region differentiation for image-to-cad alignment
US8667428B1 (en) * 2012-10-24 2014-03-04 GlobalFoundries, Inc. Methods for directed self-assembly process/proximity correction
JP6095334B2 (ja) * 2012-11-26 2017-03-15 キヤノン株式会社 マスクパターンおよび露光条件を決定する方法、ならびにプログラム
US20140214192A1 (en) * 2013-01-25 2014-07-31 Dmo Systems Limited Apparatus For Design-Based Manufacturing Optimization In Semiconductor Fab
US8782582B1 (en) * 2013-03-13 2014-07-15 Atrenta, Inc. Efficient method to analyze RTL structures that cause physical implementation issues based on rule checking and overlap analysis
US8782569B1 (en) * 2013-03-14 2014-07-15 United Microelectronics Corp. Method for inspecting photo-mask
US9857676B2 (en) 2013-05-27 2018-01-02 International Business Machines Corporation Method and program product for designing source and mask for lithography
US9170501B2 (en) 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9009634B2 (en) 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
TWI528201B (zh) * 2013-08-28 2016-04-01 旺宏電子股份有限公司 進階修正方法
KR102137072B1 (ko) 2013-10-01 2020-07-24 에이에스엠엘 네델란즈 비.브이. 프로파일 인식 소스-마스크 최적화
US20150112649A1 (en) * 2013-10-18 2015-04-23 International Business Machines Corporation Clustering Lithographic Hotspots Based on Frequency Domain Encoding
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
JP6386569B2 (ja) * 2014-02-12 2018-09-05 エーエスエムエル ネザーランズ ビー.ブイ. プロセスウィンドウを最適化する方法
KR102227127B1 (ko) * 2014-02-12 2021-03-12 삼성전자주식회사 리소그래피 시뮬레이션을 이용한 디자인룰 생성 장치 및 방법
US9395622B2 (en) * 2014-02-20 2016-07-19 Globalfoundries Inc. Synthesizing low mask error enhancement factor lithography solutions
US10386727B2 (en) 2014-03-18 2019-08-20 Asml Netherlands B.V. Pattern placement error aware optimization
WO2015158444A1 (en) 2014-04-14 2015-10-22 Asml Netherlands B.V. Flows of optimization for lithographic processes
US9262578B2 (en) * 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US9552964B2 (en) * 2014-06-20 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with a pattern density-outlier-treatment for optimized pattern density uniformity
WO2015197313A1 (en) 2014-06-25 2015-12-30 Asml Netherlands B.V. Etch variation tolerant optimization
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
US10228320B1 (en) 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets
KR102084048B1 (ko) 2014-10-02 2020-03-03 에이에스엠엘 네델란즈 비.브이. 어시스트 피처들의 규칙-기반 배치
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
US10409165B2 (en) 2014-12-15 2019-09-10 Asml Netherlands B.V. Optimization based on machine learning
US10372043B2 (en) 2014-12-17 2019-08-06 Asml Netherlands B.V. Hotspot aware dose correction
US10685158B2 (en) 2014-12-18 2020-06-16 Asml Netherlands B.V. Lithography model for 3D features
US9405186B1 (en) * 2015-02-23 2016-08-02 GlobalFoundries, Inc. Sample plan creation for optical proximity correction with minimal number of clips
WO2016142169A1 (en) 2015-03-06 2016-09-15 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
WO2016184664A1 (en) 2015-05-20 2016-11-24 Asml Netherlands B.V. Coloring aware optimization
KR102441582B1 (ko) 2015-07-23 2022-09-07 삼성전자주식회사 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
JP6536813B2 (ja) * 2015-09-15 2019-07-03 株式会社ニコン 評価方法
CN108369412B (zh) * 2015-10-08 2020-10-16 Asml荷兰有限公司 用于控制工业过程的方法和设备
WO2017067755A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US9697310B2 (en) * 2015-11-02 2017-07-04 Winbond Electronics Corporation Level faults interception in integrated circuits
US9965901B2 (en) * 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
CN108700818B (zh) * 2015-12-22 2020-10-16 Asml荷兰有限公司 用于过程窗口表征的设备和方法
US9823994B2 (en) 2015-12-22 2017-11-21 International Business Machines Corporation Dynamically identifying performance anti-patterns
US9898572B2 (en) * 2016-02-17 2018-02-20 Globalfoundries Inc. Metal line layout based on line shifting
US10146124B2 (en) * 2016-02-23 2018-12-04 Xtal, Inc. Full chip lithographic mask generation
US10796063B2 (en) 2016-04-14 2020-10-06 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
CN107797375B (zh) 2016-08-31 2020-11-03 中芯国际集成电路制造(上海)有限公司 目标图形的修正方法
CN110446980B (zh) * 2017-03-21 2022-05-27 Asml荷兰有限公司 对象识别和比较
CN107133944B (zh) * 2017-04-27 2020-02-07 上海华虹宏力半导体制造有限公司 用于opc验证的图形分类方法
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
WO2019063206A1 (en) 2017-09-27 2019-04-04 Asml Netherlands B.V. METHOD FOR DETERMINING CONTROL PARAMETERS OF DEVICE MANUFACTURING PROCESS
KR102516045B1 (ko) 2017-10-11 2023-03-30 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
KR102438502B1 (ko) * 2017-12-04 2022-09-01 에이에스엠엘 네델란즈 비.브이. 측정 방법, 패터닝 디바이스 및 디바이스 제조 방법
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
WO2019121486A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
CN116482939A (zh) 2017-12-22 2023-07-25 Asml荷兰有限公司 涉及光学像差的图案化过程改进
CN108829948A (zh) * 2018-05-30 2018-11-16 中国科学院微电子研究所 坏点库的建立方法和建立系统
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
CN109061999B (zh) * 2018-09-12 2022-03-18 上海华力集成电路制造有限公司 预估潜在热点的方法及增大热点工艺窗口的方法
TW202020577A (zh) * 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
CN112969968A (zh) 2018-11-08 2021-06-15 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
US11580289B2 (en) 2018-11-30 2023-02-14 Asml Netherlands B.V. Method for determining patterning device pattern based on manufacturability
KR102656552B1 (ko) 2018-12-28 2024-04-12 에이에스엠엘 네델란즈 비.브이. 패치 경계에서 패터닝 디바이스 패턴을 생성하는 방법
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
WO2021115766A1 (en) * 2019-12-13 2021-06-17 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
WO2021165419A1 (en) 2020-02-21 2021-08-26 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
CN111399336B (zh) * 2020-04-17 2021-07-27 中国科学院上海光学精密机械研究所 基于轮廓表征的全芯片光源掩模优化关键图形筛选方法
CN111338179B (zh) * 2020-04-17 2021-07-06 中国科学院上海光学精密机械研究所 基于多宽度表征的全芯片光源掩模优化关键图形筛选方法
CN111624850B (zh) * 2020-06-08 2021-07-27 中国科学院上海光学精密机械研究所 用于全芯片光源掩模优化的关键图形筛选方法
CN116648672A (zh) 2020-12-18 2023-08-25 Asml荷兰有限公司 用于确定掩模图案和训练机器学习模型的方法
CN113514910B (zh) * 2021-04-13 2023-04-18 长江存储科技有限责任公司 衍射光学组件及获取方法、光学系统
US11714951B2 (en) * 2021-05-13 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Geometric mask rule check with favorable and unfavorable zones
EP4341750A1 (en) * 2021-05-21 2024-03-27 Synopsys, Inc. Machine learning for selecting initial source shapes for source mask optimization
CN117480449A (zh) 2021-06-18 2024-01-30 Asml荷兰有限公司 使用机器学习模型产生辅助特征的计算机可读介质
CN113536408B (zh) * 2021-07-01 2022-12-13 华蓝设计(集团)有限公司 基于cad外部参照协同模式的住宅核心筒面积计算方法
KR20240031314A (ko) * 2021-07-13 2024-03-07 에이에스엠엘 네델란즈 비.브이. 소스 마스크 최적화 및 타겟 최적화를 위한 패턴 선택
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
CN113741140B (zh) * 2021-08-27 2024-02-13 中国科学院上海光学精密机械研究所 基于深度优先搜索的全芯片光源掩模优化关键图形筛选方法
WO2023066657A1 (en) 2021-10-19 2023-04-27 Asml Netherlands B.V. Pattern matching method
EP4261616A1 (en) * 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
WO2023131476A1 (en) * 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
CN115469512B (zh) * 2022-09-13 2023-04-11 武汉宇微光学软件有限公司 一种光源掩模联合优化中光源校准方法及系统
CN115758699B (zh) * 2022-11-09 2023-06-20 武汉宇微光学软件有限公司 面向全芯片光源掩模优化的关键图形快速筛选方法和装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040065188A (ko) * 2003-01-14 2004-07-21 에이에스엠엘 마스크툴즈 비.브이. 딥 서브-파장 광학 리소그래피용 레티클 패턴에 광근접성피처들을 제공하는 방법 및 장치
KR100902711B1 (ko) 2007-07-20 2009-06-15 주식회사 동부하이텍 반도체 소자의 제조 방법
KR100944347B1 (ko) 2008-01-11 2010-03-02 주식회사 하이닉스반도체 노광 마스크 제작 방법

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
DE59105735D1 (de) 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
EP0890136B9 (en) 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US6178533B1 (en) * 1997-06-30 2001-01-23 Sun Microsystems, Inc. Method and system for design verification
US6335130B1 (en) 2000-05-01 2002-01-01 Asml Masktools Netherlands B.V. System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features
JP2002055433A (ja) 2000-06-13 2002-02-20 Asml Masktools Netherlands Bv 可変寸法を有するセリフを用いる光学的近接修正方法
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
DE10228103A1 (de) 2002-06-24 2004-01-15 Bayer Cropscience Ag Fungizide Wirkstoffkombinationen
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
JP2004128108A (ja) * 2002-10-01 2004-04-22 Oki Electric Ind Co Ltd 投影露光装置のアパーチャ形状の最適化方法
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP4192618B2 (ja) * 2003-02-17 2008-12-10 ソニー株式会社 マスクの補正方法
US20040181768A1 (en) 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
US7010804B2 (en) * 2003-03-20 2006-03-07 Sony Corporation System and method for facilitating TV channel programming
US20040265707A1 (en) * 2003-03-31 2004-12-30 Robert Socha Source and mask optimization
EP3226073A3 (en) * 2003-04-09 2017-10-11 Nikon Corporation Exposure method and apparatus, and method for fabricating device
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7342646B2 (en) 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US7669158B2 (en) * 2004-09-30 2010-02-23 Cadence Design Systems, Inc. Method and system for semiconductor design hierarchy analysis and transformation
US20060147821A1 (en) 2004-12-30 2006-07-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102005005591B3 (de) 2005-02-07 2006-07-20 Infineon Technologies Ag Verfahren zur Optimierung der Geometrie von Strukturelementen eines Musters eines Schaltungsentwurfs für eine Verbesserung der optischen Abbildungseigenschaften und Verwendung des Verfahrens zur Herstellung einer Photomaske
SG125232A1 (en) 2005-02-23 2006-09-29 Asml Masktools Bv Method, program product and apparatus for optimizing illumination for full-chip layer
US7548302B2 (en) * 2005-03-29 2009-06-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7374957B2 (en) * 2005-07-11 2008-05-20 Asml Netherlands B.V. Method of calibrating or qualifying a lithographic apparatus or part thereof, and device manufacturing method
US7266803B2 (en) * 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
US7370313B2 (en) * 2005-08-09 2008-05-06 Infineon Technologies Ag Method for optimizing a photolithographic mask
US7695876B2 (en) * 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
CN102662309B (zh) 2005-09-09 2014-10-01 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US20070121090A1 (en) 2005-11-30 2007-05-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8214775B2 (en) * 2007-09-14 2012-07-03 Luminescent Technologies, Inc. System for determining repetitive work units
US8450046B2 (en) * 2008-02-25 2013-05-28 Globalfoundries Singapore Pte. Ltd. Methods for enhancing photolithography patterning
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
JP4594994B2 (ja) * 2008-03-24 2010-12-08 株式会社東芝 マスクパターンデータ生成方法、マスクの製造方法、半導体装置の製造方法及びパターンデータ生成プログラム
US8578313B2 (en) * 2008-04-24 2013-11-05 Synopsys, Inc. Pattern-clip-based hotspot database system for layout verification
US8566754B2 (en) * 2008-04-24 2013-10-22 Synopsys, Inc. Dual-purpose perturbation engine for automatically processing pattern-clip-based manufacturing hotspots
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
CN102224459B (zh) * 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
JP4838866B2 (ja) * 2009-02-10 2011-12-14 キヤノン株式会社 露光パラメータ及びレチクルパターンを決定する決定方法、露光方法及びデバイス製造方法。
US8479125B2 (en) * 2009-03-31 2013-07-02 Christophe Pierrat Lithography modeling and applications
US20110047519A1 (en) 2009-05-11 2011-02-24 Juan Andres Torres Robles Layout Content Analysis for Source Mask Optimization Acceleration
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040065188A (ko) * 2003-01-14 2004-07-21 에이에스엠엘 마스크툴즈 비.브이. 딥 서브-파장 광학 리소그래피용 레티클 패턴에 광근접성피처들을 제공하는 방법 및 장치
KR100902711B1 (ko) 2007-07-20 2009-06-15 주식회사 동부하이텍 반도체 소자의 제조 방법
KR100944347B1 (ko) 2008-01-11 2010-03-02 주식회사 하이닉스반도체 노광 마스크 제작 방법

Also Published As

Publication number Publication date
NL2005523A (en) 2011-05-02
TWI463245B (zh) 2014-12-01
US9183324B2 (en) 2015-11-10
US20110099526A1 (en) 2011-04-28
US8438508B2 (en) 2013-05-07
CN102054092A (zh) 2011-05-11
US8739082B2 (en) 2014-05-27
JP5433550B2 (ja) 2014-03-05
CN102053504B (zh) 2013-01-02
TW201142488A (en) 2011-12-01
CN102597872A (zh) 2012-07-18
TWI435165B (zh) 2014-04-21
KR20110046368A (ko) 2011-05-04
JP2011100122A (ja) 2011-05-19
WO2011051249A1 (en) 2011-05-05
JP5156075B2 (ja) 2013-03-06
JP2011100121A (ja) 2011-05-19
US20130311958A1 (en) 2013-11-21
US20160026750A1 (en) 2016-01-28
US9934350B2 (en) 2018-04-03
NL2005522A (en) 2011-05-02
JP5666609B2 (ja) 2015-02-12
JP2013509604A (ja) 2013-03-14
TW201124871A (en) 2011-07-16
CN102597872B (zh) 2014-12-10
CN102053504A (zh) 2011-05-11
CN102054092B (zh) 2014-08-20
US8543947B2 (en) 2013-09-24
KR101800758B1 (ko) 2017-11-23
KR20120098754A (ko) 2012-09-05
US20110107280A1 (en) 2011-05-05
US20120216156A1 (en) 2012-08-23
TW201131287A (en) 2011-09-16
TWI466171B (zh) 2014-12-21

Similar Documents

Publication Publication Date Title
KR101226646B1 (ko) 회절 시그너처 분석에 기초한 설계 레이아웃에서의 최적의 패턴들의 선택
JP6055436B2 (ja) 高速自由形式ソース・マスク同時最適化方法
US7493589B2 (en) Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US9262579B2 (en) Integration of lithography apparatus and mask optimization process with multiple patterning process
US7681171B2 (en) Method, program product and apparatus for performing double exposure lithography
JP5033859B2 (ja) モデルベースの汎用マッチング及びチューニングのための方法及びシステム
JP4707701B2 (ja) 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
US20140101625A1 (en) Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions
KR20120050392A (ko) 소스, 마스크 및 투영 광학기의 최적화 플로우
KR102063229B1 (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
US8040573B2 (en) Method, program product and apparatus for translating geometrical design rules into boundary conditions in the imaging space so as to define test patterns for use in optical model calibration
TWI835008B (zh) 產生光罩圖案之方法
KR101198348B1 (ko) 풀-칩 소스 및 마스크 최적화를 위한 패턴 선택
TW202220028A (zh) 產生光罩圖案之方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160108

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170113

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180112

Year of fee payment: 6