KR102438502B1 - 측정 방법, 패터닝 디바이스 및 디바이스 제조 방법 - Google Patents

측정 방법, 패터닝 디바이스 및 디바이스 제조 방법 Download PDF

Info

Publication number
KR102438502B1
KR102438502B1 KR1020207015912A KR20207015912A KR102438502B1 KR 102438502 B1 KR102438502 B1 KR 102438502B1 KR 1020207015912 A KR1020207015912 A KR 1020207015912A KR 20207015912 A KR20207015912 A KR 20207015912A KR 102438502 B1 KR102438502 B1 KR 102438502B1
Authority
KR
South Korea
Prior art keywords
regions
features
target
focus
zones
Prior art date
Application number
KR1020207015912A
Other languages
English (en)
Other versions
KR20200074221A (ko
Inventor
프랭크 스타알스
에릭 조스 안톤 브로우버
카를로 코르넬리스 마리아 루이첸
잔-피에르 아그네스 헨리쿠스 마리 바에센
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP17205144.3A external-priority patent/EP3492984A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20200074221A publication Critical patent/KR20200074221A/ko
Application granted granted Critical
Publication of KR102438502B1 publication Critical patent/KR102438502B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/93Detection standards; Calibrating baseline adjustment, drift correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Liquid Crystal (AREA)
  • Drying Of Semiconductors (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

포커스 메트롤로지 타겟이 피처들의 1 이상의 주기적 어레이(TH, TV, T)를 포함한다. 리소그래피 장치의 포커스 성능의 측정이 포커스 메트롤로지 타겟으로부터 얻어지는 회절 신호들에 적어도 부분적으로 기초한다. 피처들의 각각의 주기적 어레이는 제 2 구역들과 인터리빙되는 제 1 구역들의 반복 구성을 포함하고, 제 1 구역들 및 제 2 구역들에서 피처 밀도가 상이하다. 각각의 제 1 구역은 제 1 피처들(806, 906, 1106, 1108, 1206, 1208, 1210, 1406, 1408, 1506, 1508, 1510)의 반복 구성을 포함한다. 각각의 제 1 피처의 최소 치수는 주어진 공정 환경에서 디자인 규칙을 따르기 위해, 리소그래피 장치에 의한 프린팅의 분해능 한계에 가깝지만 이보다 작지는 않다. 높은 피처 밀도의 구역은 더 큰 피처들(1420, 1520)의 반복 구성을 더 포함할 수 있다.

Description

측정 방법, 패터닝 디바이스 및 디바이스 제조 방법
본 출원은 2017년 12월 4일에 출원된 EP 출원 17205144.3, 2018년 4월 3일에 출원된 EP 출원 18165518.4, 및 2018년 6월 5일에 출원된 EP 출원 18175874.9의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은 제 1 실시형태에서, 예를 들어 리소그래피 기술들에 의한 디바이스들의 제조 시에 메트롤로지를 수행하는 데 이용가능한 장치 및 방법들에 관한 것이다. 또한, 본 발명은 이러한 방법들에서 사용하기 위한 타겟 구조체들 및 패터닝 디바이스들에 관한 것이다. 또한, 본 발명은 리소그래피 공정 시 포커스 파라미터를 모니터링하는 방법들 및 디바이스들을 제조하는 방법들에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 다이의 부분, 한 개 또는 수 개의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다.
리소그래피 공정들에서는, 예를 들어 공정 제어 및 검증(verification)을 위해, 흔히 생성되는 구조체들의 측정들을 수행하는 것이 바람직하다. 이러한 측정들을 수행하는 다양한 툴들이 알려져 있으며, 임계 치수(CD)를 측정하는 데 흔히 사용되는 스캐닝 전자 현미경 및 오버레이, 즉 디바이스 내의 두 층들 간의 정렬 정확성을 측정하는 특수 툴들을 포함한다. 최근에는, 리소그래피 분야에서의 사용을 위해 다양한 형태의 스케터로미터(scatterometer)들이 개발되었다. 이 디바이스들은 타겟 상으로 방사선 빔을 지향하고, 산란된 방사선의 1 이상의 속성 - 예를 들어, 파장의 함수로서 단일 반사 각도에서의 세기; 반사된 각도의 함수로서 1 이상의 파장에서의 세기; 또는 반사된 각도의 함수로서 편광(polarization) - 을 측정하여, 타겟의 관심 속성(property of interest)이 결정될 수 있는 회절 "스펙트럼"을 얻는다.
알려진 스케터로미터들의 예시들은 US2006033921A1 및 US2010201963A1에서 설명된 타입의 각도-분해 스케터로미터(angle-resolved scatterometer)들을 포함한다. 이러한 스케터로미터들에 의해 사용되는 타겟들은 비교적 큰, 예를 들어 40㎛×40㎛ 격자들이며, 측정 빔은 격자보다 작은 스폿을 발생시킨다[즉, 격자가 언더필링(underfill)됨]. 각도-분해 스케터로메트리는, 예를 들어 본 명세서에서 그 전문이 인용참조되는 국제 특허 출원들 US20100328655A1 및 US2011069292A1에서 설명된 바와 같은 다크 필드 이미징 메트롤로지(dark field imaging metrology)와 조합될 수 있다. 기술의 추가 개발들이 공개된 특허 공개공보들 US20110027704A, US20110043791A, US2011102753A1, US20120044470A, US20120123581A, US20130258310A, US20130271740A 및 WO2013178422A1에서 설명되었다. 다크 필드 이미징은 조명 스폿보다 작은 타겟들의 사용을 가능하게 하고, 웨이퍼 상의 제품 구조체(product structure)들에 의해 둘러싸일 수 있다. 다수 격자들이 복합(composite) 격자 타겟을 이용하여 하나의 이미지에서 측정될 수 있다. 또한, 이 모든 출원들의 내용들은 본 명세서에서 인용참조된다.
모니터링을 필요로 하는 리소그래피 공정의 한 가지 중요한 파라미터는 포커스이다. IC 내에 계속 증가하는 많은 전자 구성요소들을 집적하려는 바람이 존재한다. 이를 실현하기 위해, 구성요소들의 크기를 감소시키고, 이에 따라 점점 더 작은 세부사항들 또는 라인 폭들이 기판의 타겟부 상에 투영될 수 있도록 투영 시스템의 분해능(resolution)을 증가시킬 필요가 있다. 리소그래피에서의 임계 치수(CD)가 줄어듦에 따라, 기판에 걸친 포커스 및 기판들 간의 포커스의 일관성(consistency)이 점점 중요해진다. CD는 피처(feature) 또는 피처들의 치수(예컨대, 트랜지스터의 게이트 폭)이며, 이에 대한 변동들이 피처의 물리적 속성들에 있어서 바람직하지 않은 변동을 야기할 것이다. 통상적으로, "센드-어헤드 웨이퍼들(send-ahead wafers)", 즉 생산 가동에 앞서 노광, 현상 및 측정되는 기판들에 의해 최적 세팅들이 결정되었다. 센드-어헤드 웨이퍼들에서는, 테스트 구조체들이 소위 포커스-에너지 매트릭스(FEM)에서 노광되었고, 그 테스트 구조체들의 검사로부터 최적 포커스 및 에너지 세팅들이 결정되었다.
현재의 테스트 구조체 디자인들 및 포커스 측정 방법들은 많은 단점들을 갖는다. 포커스 메트롤로지를 위해 디자인된 많은 테스트 구조체들은 분해능-이하 피처들(레지스트에 프린트되기에 너무 작은 레티클 상의 피처들), 또는 큰 피치(pitch)를 갖는 격자 구조체들을 필요로 한다. 이러한 구조체들은 리소그래피 장치의 사용자들의 디자인 규칙들을 위반하므로, 실제 제품 레티클에서의 사용으로부터 제외될 수 있다. 특수한, 포커스 의존적 타겟 구조체들에 의해 산란되는 반대의 고차(예를 들어, 1 차) 방사선에서의 비대칭을 측정하고 이 비대칭으로부터 포커스를 결정하는 것을 포함하는 회절-기반 포커스 측정 기술들이 알려져 있다. EUV 리소그래피에 대해, 레지스트 두께 및 이에 따른 타겟 구조체들의 두께는 더 작다(예를 들어, 절반 두께). 그러므로, EUV 리소그래피에서 이러한 비대칭 방법들을 사용하기에는 포커스 민감도 및 신호 강도가 불충분할 수 있다. 또한, 비대칭 기반 기술들은 비대칭과 포커스 사이의 원하는 관계(예를 들어, 선형)를 보장하기 위해 타겟 지오메트리들의 신중한 선택을 필요로 할 수 있다. 이 선택 과정은 복잡할 수 있으며, 적절한 타겟 지오메트리를 찾기 위해 상당한 노력을 필요로 할 수 있다. 적절한 타겟 지오메트리가 존재하지 않는 경우가 있을 수도 있다.
US2016363871A1에서, 한 쌍의 타겟들 사이의 "최상의 포커스 오프셋" dF로 형성된 1 이상의 타겟 쌍을 사용하는 것이 제안되었다. 그 후, 포커스 측정은 제 1 타겟 상에서 측정된 회절 신호 및 제 2 타겟 상에서 측정된 대응하는 회절 신호의 함수로서 도출될 수 있다. 특수한 분해능-이하 피처들은 필요하지 않다. 최상의 포커스 오프셋을 도입하는 특정한 방식은 투영 시스템에서 0이 아닌 비점수차 설정을 사용하면서 상이한 방위들로 격자들을 프린트하는 것이다. ABF로서 알려진 이 비점수차 기반 포커스 측정 방법이 EUV 리소그래피에서의 포커스 메트롤로지를 위한 선택의 방법이 되었다. ABF는 종래의 리소그래피에서도 사용될 수 있다. ABF 방법에 대한 다양한 개선들 및 대안예들이 2017년 8월 21일자 유럽 특허 출원 EP17187069.4에 개시되었으며, 이는 현재 우선권일에 공개되지 않았다.
본 발명은 제 1 실시형태에서 리소그래피 제조 공정들에서 포커스 메트롤로지에 이용가능한 기술들 및 타겟들의 범위를 개선하는 것을 목표로 한다. 본 발명은 특히 포커스 측정들이 스케터로메트리에 의해 대량으로 수행되게 할 수 있는 한편, 제품 디자인 규칙들과도 양립가능한 타겟들을 제공하는 것을 목표로 한다.
제 1 실시형태에서, 본 발명은 리소그래피 장치의 포커스 성능을 측정하는 방법을 제공하고, 상기 방법은:
(a) 리소그래피 장치를 사용하여 기판 상에 적어도 제 1 포커스 메트롤로지 타겟을 프린트하는 단계 -프린트된 포커스 메트롤로지 타겟은 적어도 피처들의 제 1 주기적 어레이를 포함함- ;
(b) 검사 방사선을 사용하여 프린트된 포커스 메트롤로지 타겟 내의 제 1 주기적 어레이로부터 1 이상의 회절 신호를 얻는 단계; 및
(c) 단계 (b)에서 얻어진 회절 신호들에 적어도 부분적으로 기초하여 포커스 성능의 측정을 도출하는 단계를 포함하며,
상기 제 1 주기적 어레이는 적어도 제 1 주기 방향으로 제 2 구역들과 인터리빙(interleave)되는 제 1 구역들의 반복 구성을 포함하고, 제 1 구역들 및 제 2 구역들에서 피처 밀도가 상이하며,
상기 제 1 구역들 각각은 제 1 피처들의 반복 구성을 포함하고, 각각의 제 1 피처의 최소 치수는 프린팅 단계의 분해능 한계에 가깝지만 이보다 작지는 않다.
프린팅 단계의 분해능 한계에 가깝지만 이보다 작지는 않은 각각의 제 1 피처의 최소 치수는 디자인 규칙의 일반적인 예시의 준수를 가능하게 한다. 단계 (a) 내지 단계 (c)는 비점수차 기반 포커스 메트롤로지, 또는 선출원에서 설명된 대안적인 방법들을 수행하도록 순응될 수 있다. 포커스 메트롤로지 타겟의 디자인은 선택된 방법에 따라 순응될 수 있다. 포커스 메트롤로지 타겟의 디자인은 추가적인 디자인 규칙들을 포함하는 상이한 공정 환경들에 대해 최적화될 수 있다.
제 1 실시형태에서, 본 발명은 리소그래피 장치에서 사용하기 위한 패터닝 디바이스를 더 제공하고, 패터닝 디바이스는 상기 리소그래피에 의해 프린트될 때 1 이상의 디바이스 패턴 및 1 이상의 메트롤로지 패턴의 피처들을 정의하는 대조적인 부분(contrasting portion)들을 포함하며, 메트롤로지 패턴들은 적어도 제 1 포커스 메트롤로지 타겟을 포함하고, 포커스 메트롤로지 타겟은 적어도 피처들의 제 1 주기적 어레이를 포함하며,
상기 제 1 주기적 어레이는 적어도 제 1 주기 방향으로 제 2 구역들과 인터리빙되는 제 1 구역들의 반복 구성을 포함하고, 제 1 구역들 및 제 2 구역들에서 피처 밀도가 상이하며,
상기 제 1 구역들 각각은 제 1 피처들의 반복 구성을 포함하고, 각각의 제 1 피처의 최소 치수는 상기 리소그래피 장치에 의한 프린팅의 분해능 한계에 가깝지만 이보다 작지는 않다.
독립적인 제 2 실시형태에서, 본 발명은 타겟 구조체를 검사하는 방법을 제공하고, 상기 방법은:
(a) 조명 시스템을 사용하여 검사 방사선으로 타겟 구조체를 조명하는 단계;
(b) 검출 시스템을 사용하여 2 이상의 회절 방향으로 상기 타겟 구조체에 의해 회절된 방사선의 선택된 부분들을 포함하는 회절 신호들을 포착하는 단계; 및
(c) 회절 신호들로부터 도출되는 정보를 처리하여 타겟 구조체의 속성을 결정하는 단계를 포함하며,
상기 조명 시스템은 조명된 구역들 및 어두운 구역들을 갖는 세그먼트 조명 프로파일(segmented illumination profile)을 제공하고, 각각의 조명된 구역은 상기 회절 방향들 중 어느 하나에서 반사될 때 어두운 구역에 대칭적으로 대향하며, 조명된 구역들의 반경 크기는 회절 방향들 사이의 중간 방향들보다 상기 회절 방향들에서 더 크다.
중간 방향들에서 조명된 구역들의 반경 크기를 감소시킴으로써, 상이한 회절 신호들 간의 오버랩 위험이 감소된다. 그러므로, 제 2 실시형태에서 본 발명은 이전보다 더 넓은 범위의 작동 조건들에 걸쳐 두 방향에서 동시에 회절 신호들의 측정을 허용한다. 본 발명의 제 2 실시형태의 방법은 본 발명의 제 1 실시형태에 의해 제공되는 것과 같은 포커스 메트롤로지 방법들에서 사용될 수 있다. 본 발명의 제 2 실시형태의 방법은 다양한 다른 검사 목적으로 사용될 수 있다.
첨부된 도면들을 참조하여, 본 발명의 다양한 실시예들의 구조 및 작동뿐만 아니라, 본 발명의 또 다른 실시형태들, 특징들 및 장점들이 아래에서 상세하게 설명된다. 본 발명은 본 명세서에서 설명되는 특정한 실시예들에 제한되지 않는다는 것을 유의한다. 본 명세서에서, 이러한 실시예들은 단지 예시적인 목적으로만 제시된다. 당업자라면, 본 명세서에 포함되는 교시에 기초하여 추가적인 실시예들을 명백히 알 것이다.
이제 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 리소그래피 장치를 도시하는 도면;
도 2는 본 발명에 따른 검사 장치가 사용될 수 있는 리소그래피 셀(lithographic cell) 또는 클러스터(cluster)를 도시하는 도면;
도 3은 검사 장치의 제 1 예시로서 분광 스케터로미터(spectroscopic scatterometer)의 작동 원리들을 예시하는 도면;
도 4는 검사 장치의 또 다른 예시로서 각도-분해 스케터로미터를 개략적인 형태로 예시하는 도면;
도 5는 각도-분해 스케터로메트리 및 다크-필드 이미징 검사 방법들을 수행하도록 구성된 검사 장치를 개략적으로 예시하는 도면;
도 6은 알려진 DBF 기술에 따라, 포커스 의존적 비대칭을 갖는 기판 상의 격자를 형성하기에 적절한 레티클 상의 타겟 형성 요소들을 예시하는 도면;
도 7은 (a) 상대적 최고 포커스 오프셋을 갖는 2 개의 타겟들에 대한, 포커스에 대한 타겟 속성의 측정 값(y-축)의 플롯; 및 (b) 포커스(x-축)에 대한 제 1 타겟 및 제 2 타겟으로부터의 타겟 파라미터의 측정 값들 간의 차이(y-축)의 플롯 -이 플롯들은 앞서 언급된 US2016363871A1로부터 알려진 ABF 포커스 측정 방법을 예시함- 을 나타내는 도면;
도 8은 (a) 본 발명의 제 1 실시예의 일부로서, 도 3 또는 도 5의 스케터로미터를 사용하여 한 쌍의 타겟들로부터 회절 신호들을 얻는 과정, 및 (b) 변화하는 포커스에 따라 한 쌍의 타겟들에 대해 얻을 수 있는 회절 신호의 플롯, 및 (c) 포커스 측정을 계산하는 데 사용하기 위한 도 8(b)의 회절 신호들부터 얻어진 차이 메트릭(difference metric)을 예시하는 도면;
도 9는 타겟 구조체들의 (a) 조밀한 구역들 및 (b) 희박한 구역들에서 사용하기 위한 셀 패턴(cell pattern)들의 예시들을 나타내는 도면;
도 10은 타겟 구조체들의 (a) 조밀한 구역들 및 (b) 희박한 구역들에서 사용하기 위한 셀 패턴들의 또 다른 예시들을 나타내는 도면;
도 11은 타겟 구조체들의 (a) 조밀한 구역들 및 (b) 희박한 구역들에서 사용하기 위한 극단적인 셀 패턴들을 나타내는 도면;
도 12는 타겟 구조체들의 (a) 조밀한 구역들 및 (b) 희박한 구역들에서 사용하기 위한 셀 패턴들의 또 다른 예시들을 나타내는 도면;
도 13은 타겟 구조체들의 (a) 조밀한 구역들 및 (b) 희박한 구역들에서 사용하기 위한 셀 패턴들의 또 다른 예시들을 나타내는 도면;
도 14는 타겟 구조체들의 조밀한 구역들에서 사용하기 위한 셀 패턴들의 또 다른 예시들을 나타내는 도면;
도 15는 타겟 구조체들의 (a) 조밀한 구역들 및 (b) 희박한 구역들에서 사용하기 위한 셀 패턴들의 또 다른 예시들을 나타내는 도면;
도 16은 (a) 2 개의 기판들을 사용하는 본 발명의 제 2 실시예 및 (b) 단일 기판 상의 2 번의 노광을 사용하는 본 발명의 제 3 실시예에서 양 및 음의 수차 설정들을 갖는 ABF 방법의 개선된 버전에서 사용하기 위한 추가적인 타겟 쌍들의 형성을 예시하는 도면;
도 17은 본 발명의 다양한 실시예들에 따른 방법들에서 적용될 수 있는 추가적인 개선을 예시하는 도면;
도 18은 본 발명의 제 2 및 제 3 실시예들에서 포커스 측정을 구현하는 방법의 흐름도;
도 19는 (a) 2 개의 타겟 쌍을 사용하는 본 발명의 제 4 실시예 및 (b) 4 개의 타겟 쌍을 사용하는 본 발명의 제 5 실시예에 따른 포커스 측정 방법 및/또는 수차 측정 방법을 구현하는, 단일 기판의 2 번의 노광으로 형성되는 타겟 쌍들의 형성을 예시하는 도면;
도 20은 본 발명의 제 4 및 제 5 실시예들에서 포커스 측정 및 수차 측정 방법을 구현하는 방법의 흐름도;
도 21은 본 발명의 제 6 실시예의 일부로서, 도 3 또는 도 5의 스케터로미터를 사용하여, 두 방향들에서 동시에 회절 신호들을 얻는 방법 -도 21의 과정은 본 발명의 제 2 실시형태에 따른 방법을 포함함- 을 예시하는 도면;
도 22는 본 발명의 제 6 실시예의 변형예에 따른 수정된 조명 프로파일을 예시하는 도면;
도 23은 본 발명의 제 2 실시형태에 따라 순응된, 도 16 내지 도 18의 방법에서 사용하기 위한 타겟 쌍의 형성을 예시하는 도면;
도 24는 본 발명의 제 2 실시형태에 따라 순응된, 도 19 및 도 20의 방법에서 사용하기 위한 타겟 쌍의 형성을 예시하는 도면;
도 25는 본 발명의 제 2 실시형태의 방법들에서 사용될 수 있는 상이한 타겟 디자인들 (a) 내지 (e)를 예시하는 도면; 및
도 26은 회절 신호들이 세 방향에서 동시에 얻어지는 도 21의 방법의 변형예를 예시하는 도면이다.
본 발명의 실시예들을 상세히 설명하기에 앞서, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)를 개략적으로 도시한다. 상기 장치는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 패터닝 디바이스 지지체 또는 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 각각 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 각각 연결되는 2 개의 기판 테이블(예를 들어, 웨이퍼 테이블)(WTa 및 WTb); 및 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다. 기준 프레임(reference frame: RF)이 다양한 구성요소들을 연결시키고, 패터닝 디바이스 및 기판 및 이들의 피처들의 위치들을 세팅 및 측정하기 위한 기준 역할을 한다.
조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
패터닝 디바이스 지지체는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 패터닝 디바이스 지지체는 많은 형태를 취할 수 있다. 패터닝 디바이스 지지체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 패터닝 디바이스를 채택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다. 또한, "패터닝 디바이스"라는 용어는 이러한 프로그램가능한 패터닝 디바이스를 제어하는 데 사용하기 위한 패턴 정보를 디지털 형태로 저장하는 디바이스를 언급하는 것으로 해석될 수도 있다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다.
작동 시, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 소스는 리소그래피 장치의 통합부일 수 있다. 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 칭해질 수 있다.
일루미네이터(IL)는 예를 들어 방사선 빔, 인티그레이터(IN) 및 콘덴서(CO)의 각도 세기 분포를 조정하는 조정기(AD)를 포함할 수 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 패터닝 디바이스 지지체(MT) 상에 유지되는 패터닝 디바이스(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WTa 또는 WTb)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 레티클/마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다.
패터닝 디바이스(예를 들어, 레티클/마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 하나보다 많은 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다. 또한, 디바이스 피처들 사이에서 다이들 내에 작은 정렬 마크가 포함될 수도 있으며, 이 경우 마커들은 인접한 피처들과 상이한 여하한의 이미징 또는 공정 조건들을 필요로 하지 않고, 가능한 한 작은 것이 바람직하다. 정렬 마커들을 검출하는 정렬 시스템은 아래에서 더 설명된다.
도시된 장치는 다양한 모드들에서 사용될 수 있다. 스캔 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다. 당업계에 잘 알려진 바와 같이, 다른 타입들의 리소그래피 장치 및 작동 모드들이 가능하다. 예를 들어, 스텝 모드가 알려져 있다. 소위 "마스크없는(maskless)" 리소그래피에서는, 프로그램가능한 패터닝 디바이스가 정지된 상태로 유지되지만 변화하는 패턴을 갖고, 기판 테이블(WT)은 이동되거나 스캐닝된다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
리소그래피 장치(LA)는 2 개의 기판 테이블들(WTa, WTb) 및 기판 테이블들이 교환될 수 있는 2 개의 스테이션들 - 노광 스테이션(EXP) 및 측정 스테이션(MEA) - 을 갖는 소위 듀얼 스테이지 타입으로 이루어진다. 하나의 기판 테이블 상의 하나의 기판이 노광 스테이션에서 노광되고 있는 동안, 또 다른 기판이 측정 스테이션에서 다른 기판 테이블 상으로 로딩(load)되고 다양한 준비작업 단계들이 수행될 수 있다. 이는 상기 장치의 스루풋을 상당히 증가시킬 수 있다. 준비작업 단계들은 레벨 센서(LS)를 이용하여 기판의 표면 높이 윤곽들을 매핑(map)하는 단계, 및 정렬 센서(AS)를 이용하여 기판 상의 정렬 마커들의 위치를 측정하는 단계를 포함할 수 있다. 위치 센서(IF)가 노광 스테이션뿐 아니라 측정 스테이션에 있는 동안 기판 테이블의 위치를 측정할 수 없는 경우, 기준 프레임(RF)에 대해 두 스테이션들에서 기판 테이블의 위치들이 추적될 수 있도록 제 2 위치 센서가 제공될 수 있다. 다른 구성들이 알려져 있으며, 나타낸 듀얼-스테이지 구성 대신에 이용가능하다. 예를 들어, 기판 테이블 및 측정 테이블이 제공되는 다른 리소그래피 장치들이 알려져 있다. 이들은 준비 측정들을 수행할 때 함께 도킹(dock)되고, 그 후 기판 테이블이 노광을 거치는 동안에 도킹해제(undock)된다.
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성하며, 이는 기판 상에 노광-전(pre-exposure) 및 노광-후(post-exposure) 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층들을 증착시키는 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 디벨로퍼(developer: DE), 칠 플레이트(chill plate: CH) 및 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판들을 집어올리고, 이들을 상이한 공정 장치 사이에서 이동시킨 후, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이 디바이스들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판들이 올바르고 일관성있게(consistently) 노광되기 위해서는, 후속한 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 속성들을 측정하도록 노광된 기판들을 검사하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치되는 제조 시설은 리소셀에서 처리된 기판(W)들 중 일부 또는 전부를 수용하는 메트롤로지 시스템(MET)을 포함한다. 메트롤로지 결과들은 감독 제어 시스템(SCS)에 간접적으로 또는 직접적으로 제공된다. 오차가 검출되는 경우, 특히 검사가 동일한 뱃치(batch)의 다른 기판들이 여전히 노광되도록 충분히 빠르게 행해질 수 있다면, 후속한 기판들의 노광에 대해 조정이 수행될 수 있다. 또한, 이미 노광된 기판들은 수율을 개선하도록 벗겨져서(strip) 재작업(rework)되거나, 버려져서 결점이 있다고 알려진 기판들에 또 다른 처리를 수행하는 것을 회피할 수 있다. 기판의 몇몇 타겟부들에만 결점이 있는 경우, 양호한 타겟부들 상에만 또 다른 노광들이 수행될 수 있다.
메트롤로지 시스템(MET) 내에서, 검사 장치는 기판의 속성들을 결정하는 데 사용되며, 특히 상이한 기판들 또는 동일한 기판의 상이한 층들의 속성들이 층마다 어떻게 변하는지를 결정하는 데 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수 있으며, 또는 독립형 디바이스(stand-alone device)일 수 있다. 가장 신속한 측정들을 가능하게 하기 위해, 검사 장치는 노광 직후에 노광된 레지스트 층에서 속성들을 측정하는 것이 바람직하다. 하지만, 레지스트 내의 잠상(latent image)은 매우 낮은 콘트라스트(contrast)를 갖고 - 방사선에 노광된 레지스트의 부분들과 노광되지 않은 레지스트의 부분들 사이에 굴절률에 있어서 매우 작은 차이만 존재하고 - 모든 검사 장치가 잠상의 유용한 측정들을 수행하기에 충분한 감도를 갖는 것은 아니다. 그러므로, 측정들은 통상적으로 노광된 기판들 상에서 수행되는 제 1 단계이고 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 노광-후 베이크 단계(PEB) 이후에 수행될 수 있다. 이 단계에서, 레지스트 내의 이미지는 반-잠상(semi-latent)이라고 칭해질 수 있다. 또한, 현상된 레지스트 이미지 - 이때, 레지스트의 노광된 부분 또는 노광되지 않은 부분 중 하나는 제거되었음 - 의 측정들을 수행하는 것이 가능하고, 또는 에칭과 같은 패턴 전사 단계 이후에 수행하는 것이 가능하다. 후자의 가능성은 결점이 있는 기판들의 재작업에 대한 가능성을 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
도 3은 앞서 설명된 타입의 메트롤로지 시스템에서 검사 장치로서 사용될 수 있는 알려진 분광 스케터로미터를 도시한다. 이는 기판(W) 상으로 방사선을 투영하는 광대역(백색 광) 방사선 투영기(2)를 포함한다. 반사된 방사선은 정반사된 방사선(specular reflected radiation)의 스펙트럼(6)(파장의 함수로서 세기)을 측정하는 분광계(spectrometer: 4)로 통과된다. 이 데이터로부터, 검출된 스펙트럼을 야기하는 구조체 또는 프로파일(8)이 처리 유닛(PU) 내에서의 계산에 의해 재구성될 수 있다. 재구성은, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀(non-linear regression)에 의해, 또는 사전-측정된 스펙트럼들 또는 사전-연산된(pre-computed) 시뮬레이션된 스펙트럼들의 라이브러리와 비교함으로써 수행될 수 있다. 일반적으로, 재구성을 위해 구조체의 일반적인 형태가 알려지며, 구조체가 만들어진 공정의 정보로부터 일부 파라미터들이 가정되어, 스케터로메트리 데이터로부터 결정될 구조체의 몇몇 파라미터들만이 남게 된다. 이러한 스케터로미터는 수직-입사(normal-incidence) 스케터로미터 또는 경사-입사(oblique-incidence) 스케터로미터로서 구성될 수 있다.
도 4는 분광 스케터로미터에 추가하여, 또는 이 대신에 사용될 수 있는 알려진 각도-분해 스케터로미터의 기본 요소들을 나타낸다. 이 타입의 검사 장치에서, 방사선 소스(11)에 의해 방출된 방사선은 조명 시스템(12)에 의해 컨디셔닝된다. 예를 들어, 조명 시스템(12)은 렌즈 이용 시준 시스템(collimating using lens system: 12a), 컬러 필터(color filter: 12b), 편광기(polarizer: 12c), 및 어퍼처 디바이스(13)를 포함할 수 있다. 컨디셔닝된 방사선은 조명 경로(IP)를 따르며, 여기에서 이는 부분 반사면(partially reflecting surface: 15)에 의해 반사되고, 현미경 대물 렌즈(16)를 통해 기판(W) 상의 스폿(S)으로 포커스된다. 메트롤로지 타겟(T)이 기판(W) 상에 형성될 수 있다. 렌즈(16)는 바람직하게는 적어도 0.9 및 더 바람직하게는 적어도 0.95인 높은 개구수(NA)를 갖는다. 침지 유체는 필요에 따라 1이 넘는 개구수를 얻는 데 사용될 수 있다.
리소그래피 장치(LA)에서와 같이, 측정 작업 동안 기판(W)을 유지하기 위해 1 이상의 기판 테이블이 제공될 수 있다. 기판 테이블은 도 1의 기판 테이블들(WTa, WTb)의 형태와 유사하거나 동일할 수 있다. (검사 장치가 리소그래피 장치와 통합되는 예시에서, 이들은 심지어 동일한 기판 테이블들일 수도 있음.) 개략 및 미세 위치설정기들이 측정 광학 시스템에 대해 기판을 정확히 위치시키도록 구성될 수 있다. 예를 들어, 관심 타겟의 위치를 획득하고 이를 대물 렌즈(16) 아래의 위치로 가져오기 위해 다양한 센서들 및 액추에이터들이 제공된다. 통상적으로, 기판(W)에 걸친 상이한 위치들에서 타겟들에 대한 많은 측정이 수행될 것이다. 기판 지지체는 상이한 타겟들을 획득하도록 X 및 Y 방향들로, 및 타겟 상에서 광학 시스템의 원하는 포커싱을 얻도록 Z 방향으로 이동될 수 있다. 실제로는 광학 시스템이 실질적으로 정지상태로 유지되고 기판만이 이동하는 경우, 대물 렌즈 및 광학 시스템이 기판 상의 상이한 위치들에 오는 것처럼 작동들을 생각하고 설명하는 것이 편리하다. 기판 및 광학 시스템의 상대 위치가 올바르다면, 원칙적으로 현실 세계에서 이들 중 하나 또는 둘 모두가 이동하고 있는지의 여부는 중요하지 않다.
방사선 빔이 빔 스플리터(15)에 입사하는 경우, 이 중 일부가 빔 스플리터를 통해 투과되고, 기준 거울(14)을 향해 기준 경로(RP)를 따른다.
여하한의 메트롤로지 타겟(T)에 의해 회절된 방사선을 포함하는 기판에 의해 반사된 방사선은 렌즈(16)에 의해 수집되고 수집 경로(CP)를 따르며, 여기에서 이는 부분 반사면(15)을 통해 검출기(19)로 전달된다. 검출기는 렌즈(16)의 초점 길이(F)에 존재하는 배면-영사(back-projected)된 퓨필 평면(P) 내에 위치될 수 있다. 실제로, 퓨필 평면 자체는 접근가능하지 않을 수 있고, 그 대신에 보조 광학기(도시되지 않음)를 이용하여 소위 켤레 퓨필 평면(conjugate pupil plane: P')에 위치된 검출기 상에 재-이미징(re-image)될 수 있다. 검출기는 바람직하게는 기판 타겟(30)의 2-차원 각도 산란 스펙트럼 또는 회절 스펙트럼이 측정될 수 있도록 2-차원 검출기이다. 퓨필 평면 또는 켤레 퓨필 평면에서, 방사선의 반경방향 위치(radial position)는 포커스된 스폿(S)의 평면에서의 방사선의 출발(departure)/입사 각도를 정의하고, 광학 축선(O) 주위의 각도 위치는 방사선의 방위각(azimuth angle)을 정의한다. 검출기(19)는, 예를 들어 CCD 또는 CMOS 센서들의 어레이일 수 있으며, 예를 들어 프레임당 40 밀리초의 통합 시간(integration time)을 사용할 수 있다.
기준 경로(RP)에서의 방사선은 동일한 검출기(19)의 상이한 부분 상으로, 또는 대안적으로 상이한 검출기(도시되지 않음) 상으로 투영된다. 예를 들어, 입사 방사선의 세기를 측정하기 위해 기준 빔이 흔히 사용되어, 산란 스펙트럼에서 측정된 세기 값들의 정규화를 허용한다.
조명 시스템(12)의 다양한 구성요소들은 동일한 장치 내에서 상이한 메트롤로지 '레시피(recipes)'를 구현하도록 조정가능할 수 있다. 가령 405 내지 790 nm의 범위, 또는 200 내지 300 nm와 같이 훨씬 낮은 범위에서 상이한 관심 파장들을 선택하기 위해, 예를 들어 간섭 필터들의 일 세트에 의해 컬러 필터(12b)가 구현될 수 있다. 간섭 필터는 상이한 필터들의 일 세트를 포함하기보다는 튜닝가능(tunable)할 수 있다. 간섭 필터들 대신에 격자가 사용될 수 있다. 편광기(12c)는 방사선 스폿(S)에서 상이한 편광 상태들을 구현하기 위해 회전가능하거나 교환가능(swappable)할 수 있다. 어퍼처 디바이스(13)는 상이한 조명 프로파일들을 구현하도록 조정될 수 있다. 어퍼처 디바이스(13)는 검출기(19)의 평면 및 대물 렌즈(16)의 퓨필 평면(P)과 켤레인 평면(P")에 위치된다. 이 방식으로, 어퍼처 디바이스에 의해 정의되는 조명 프로파일이 어퍼처 디바이스(13) 상의 상이한 위치들을 통과하는 방사선의 기판 상에 입사하는 광의 각도 분포를 정의한다.
검출기(19)는 단파장(또는 협파장 범위)에서의 산란 광의 세기, 다수 파장들에서의 별도 세기, 또는 파장 범위에 걸쳐 통합된 세기를 측정할 수 있다. 또한, 검출기는 횡자기(transverse magnetic)- 및 횡전기(transverse electric)-편광된 광의 세기, 및/또는 횡자기-편광된 광과 횡전기-편광된 광 간의 위상차를 별도로 측정할 수 있다.
메트롤로지 타겟(T)이 기판(W) 상에 제공되는 경우, 이는 현상 이후에 바아(bar)들이 솔리드 레지스트 라인들로 형성되도록 프린트되는 1-D 격자일 수 있다. 타겟은, 현상 이후에 격자가 솔리드 레지스트 필러(pillar)들 또는 레지스트 내의 비아(via)들로 형성되도록 프린트되는 2-D 격자일 수 있다. 대안적으로, 바아들, 필러들 또는 비아들은 기판 안으로 에칭될 수 있다. 이 패턴은 리소그래피 투영 장치, 특히 투영 시스템(PS) 내의 색수차에 민감하다. 조명 대칭성 및 이러한 수차의 존재는 프린트된 격자 내의 변동에서 드러날 것이다. 따라서, 프린트된 격자들의 스케터로메트리 데이터가 격자들을 재구성하는 데 사용된다. 프린팅 단계 및/또는 다른 스케터로메트리 공정들의 정보로부터, 라인 폭 및 형상과 같은 1-D 격자의 파라미터들, 또는 필러 또는 비아 폭 또는 길이 또는 형상과 같은 2-D 격자의 파라미터들이 처리 유닛(PU)에 의해 수행되는 재구성 공정에 입력될 수 있다.
재구성에 의한 파라미터의 측정 이외에, 각도 분해 스케터로메트리가 제품 및/또는 레지스트 패턴들 내의 피처들의 비대칭 측정에 유용하다. 비대칭 측정의 특정 적용은 포커스 의존적 비대칭으로 프린트되는 타겟들로부터 포커스 파라미터(예를 들어, 타겟의 노광 동안의 포커스 설정)를 측정하기 위한 것이다. 도 3 또는 도 4의 기구를 이용하는 비대칭 측정의 개념들이, 예를 들어 앞서 인용된 공개 특허 출원 US2006066855A1에서 설명된다. 간단히 말하면, 타겟의 회절 스펙트럼에서의 회절 차수들의 위치들은 타겟의 주기성에 의해서만 결정되는 한편, 회절 스펙트럼에서의 세기 레벨들의 비대칭이 타겟을 구성하는 개별적인 피처들에서의 비대칭을 나타낸다. 검출기(19)가 이미지 센서일 수 있는 도 4의 기구에서, 회절 차수들에서의 이러한 비대칭은 검출기(19)에 의해 기록되는 퓨필 이미지에서의 비대칭으로서 직접 나타난다. 이 비대칭이 유닛(PU)에서의 디지털 이미지 처리에 의해 측정될 수 있고, 이로부터 포커스가 결정될 수 있다.
도 5(a)는 소위 다크 필드 이미징을 수행하기 위한 추가적인 순응과 함께, 도 4의 장치와 동일한 원리들에 의한 각도-분해 스케터로메트리를 구현하는 검사 장치를 더 상세히 나타낸다. 상기 장치는 독립형 디바이스이거나, 예를 들어 측정 스테이션에서의 리소그래피 장치(LA) 또는 리소그래피 셀(LC)에 통합될 수 있다. 장치 전체에 걸쳐 수 개의 브랜치들을 갖는 광학 축선이 점선(O)으로 나타내어진다. 타겟 격자(T) 및 회절된 광선들은 도 5(b)에 더 상세히 예시된다.
도 4의 장치에서 이미 설명된 구성요소들에 대해 동일한 참조 번호들이 사용된다. 조명 경로는 이전과 같이 IP로 표시된다. 기준 경로(RP)는 명확함을 위해 생략된다. 그 장치와 비교하여, 제 2 빔 스플리터(17)가 수집 경로를 2 개의 브랜치들로 분할한다. 제 1 측정 브랜치에서는, 검출기(19)가 앞서 설명된 바와 같이 정확하게 타겟의 산란 스펙트럼 또는 회절 스펙트럼을 기록한다. 이 검출기(19)는 퓨필 이미지 검출기라고 칭해질 수 있다.
제 2 측정 브랜치에서는, 이미징 광학 시스템(22)이 센서(23)(예를 들어, CCD 또는 CMOS 센서) 상에 기판(W) 상의 타겟의 이미지를 형성한다. 수집 경로에서 퓨필-평면에 대해 켤레인 평면에 있는 평면에 어퍼처 스톱(aperture stop: 21)이 제공된다(이는 퓨필 스톱이라고도 할 수 있음). 어퍼처 스톱(21)은 조명 어퍼처가 상이한 형태들을 취할 수 있는 것과 마찬가지로 상이한 형태들을 취할 수 있다. 통상적으로, 어퍼처 스톱(21)은 센서(23) 상에 형성된 타겟의 이미지가 1차 빔(들)으로부터만 형성되도록 0차 회절 빔을 차단하는 기능을 한다. 이는 다크 필드 현미경법과 균등한 소위 다크 필드 이미지이다. 센서들(19 및 23)에 의해 포착된 이미지들은 이미지 프로세서 및 제어기(PU)로 출력되며, 이의 기능은 수행되는 측정들의 특정 타입에 의존할 것이다.
이 예시의 조명 경로에서, 타겟 및 이미지 센서(23)의 평면과 켤레인 평면에 필드 스톱(field stop: 13')이 배치될 수 있도록 추가적인 광학기가 도시된다. 이 평면은 필드 평면 또는 켤레 이미지 평면이라고 칭해질 수 있으며, 필드 평면에 걸친 각각의 공간 위치가 타겟에 걸친 위치에 대응하는 속성을 갖는다. 이 필드 스톱은 예를 들어 특정 목적을 위한 조명 스폿을 성형하거나, 또는 단순히 장치의 시야 내에 있지만 관심 타겟의 일부가 아닌 피처들의 조명을 회피하는 데 사용될 수 있다. 다음 도면들 및 논의는 예시에 의해 어퍼처 디바이스(13)의 기능의 구현을 위한 기술들을 언급하지만, 본 발명은 필드 스톱(13')의 기능을 구현하기 위한 동일한 기술들의 사용도 포괄한다.
도 5(b)에 더 상세히 나타낸 바와 같이, 타겟 격자(T)가 대물 렌즈(16)의 광학 축선(O)에 수직인 기판(W)과 배치된다. 오프-액시스(off-axis) 조명 프로파일의 경우, 축선(O)을 벗어난 각도로부터 격자(T)에 입사하는 조명 광선(I)이 0차 광선[실선(0)] 및 2 개의 1차 광선들[1점쇄선(+1) 및 2점쇄선(-1)]을 발생시킨다. 오버필링(overfill)되는 작은 타겟 격자를 이용하면, 이 광선들은 메트롤로지 타겟 격자(T) 및 다른 피처들을 포함한 기판의 영역을 덮는 많은 평행 광선들 중 하나에 불과하다는 것을 기억하여야 한다. 플레이트(13) 내의 어퍼처가 (유용한 양의 광을 수용하는 데 필요한) 유한 폭을 갖기 때문에, 입사 광선들(I)은 사실상 다양한 각도들을 차지할 것이고, 회절된 광선들(0 및 +1/-1)은 어느 정도 확산(spread out)될 것이다. 작은 타겟의 점확산 함수(point spread function)에 따르면, 각각의 차수 +1 및 -1은 나타낸 바와 같은 단일의 이상적인 광선이 아니라, 다양한 각도들에 걸쳐 더 확산될 것이다.
상이한 어퍼처들을 사용함으로써 상이한 조명 모드들이 가능하다. 어퍼처들 13N('북') 및 13S('남')이 각각 특정한 좁은 범위의 각도들로부터만 오프-액시스 조명을 제공한다. 도 5(a)로 되돌아가면, 이는 북(N) 및 남(S)으로서 환형 어퍼처의 정반대 부분들을 지정함으로써 예시된다. +1(13N)으로 표시되는 조명의 원뿔의 북쪽 부분으로부터의 +1 회절 광선들이 대물 렌즈(16)에 들어가고, [-1(13S)로 표시된] 원뿔의 남쪽 부분으로부터의 -1 회절 광선들이 렌즈(16)에 들어간다. 도입부에서 언급된 선출원들에 설명된 바와 같이, 이 타입의 어퍼처들 13N, 13S 사이에서 전환하면서 다크-필드 이미징 센서(23)를 사용하는 것이 다수의 작은 타겟들로부터 비대칭 측정들을 얻는 한 가지 방식이다. 어퍼처 스톱(21a)은 오프-액시스 조명을 사용하는 경우에 0차 방사선을 차단하기 위해 사용될 수 있다.
오프-액시스 조명이 도시되지만, 대신에 타겟들의 온-액시스(on-axis) 조명이 사용될 수 있는 한편, 오프-액시스 어퍼처를 갖는 어퍼처 스톱이 사용되어, 실질적으로 회절된 광의 단 하나의 1차를 센서로 통과시킨다. 일 예시에서, +1차 및 -1차를 센서(23) 상의 상이한 위치들로 향하게 하는 효과를 갖는 프리즘들(21b)이 어퍼처 스톱(21) 대신에 사용되어, 이들이 2 개의 이미지들을 구성하지 않고 검출 및 비교될 수 있도록 한다. 이 기술은 앞서 언급된 공개된 특허 출원 US2011102753A1에서 설명되며, 이 내용은 본 명세서에서 인용참조된다. 1차 빔들 대신에, 또는 이에 추가하여 2차, 3차 및 더 높은 차수의 빔들(도 5에 도시되지 않음)이 측정에 사용될 수 있다.
또한, 13B에 나타낸 대안적인 세그먼트 어퍼처 디자인이 동시에 다수의 회절 차수들을 포착하는 데 사용될 수 있다. 이러한 세그먼트 어퍼처를 사용하는 원리들은 특허 출원 US2010201963A1에 개시되어 있다.
리소그래피 공정을 모니터링하는 경우, 기판 상의 리소그래피 빔의 포커스를 모니터링하는 것이 바람직하다. 프린트된 구조체로부터 포커스 설정을 결정하는 한 가지 알려진 방법은 프린트된 구조체의 임계 치수(CD)를 측정하는 것이다. CD는 최소 피처(예를 들어, 요소의 라인 폭)의 측정치이다. 프린트된 구조체는 포커스 모니터링을 위해 특별히 형성된 라인-공간 격자와 같은 타겟일 수 있다. CD는 일반적으로 포커스에 대한 2차 응답을 표시하여, 포커스(x-축)에 대한 CD(y-축)의 플롯에 "보썽 곡선(Bossung curve)"으로 알려진 것을 형성하는 것으로 알려져 있다. 보썽 곡선은 실질적으로 대칭인 곡선이며, 이는 최적 포커스를 나타내는 피크 주위에서 실질적으로 대칭이다. 보썽 곡선은 실질적으로 포물선 모양일 수 있다. 이 접근법에는 몇 가지 단점이 있다. 한 가지 단점은 상기 방법이 (곡선의 포물선 모양으로 인해) 최적 포커스 근처에서 낮은 민감도를 나타낸다는 것이다. 또 다른 단점은 (곡선이 최적 포커스를 중심으로 대체로 대칭이기 때문에) 상기 방법이 여하한의 디포커스 신호에 둔감하다는 것이다. 또한, 이 방법은 특히 도즈 및 공정 변동(크로스토크)에 민감하다.
이 문제들에 대처하기 위해, 회절 기반 포커스(DBF)가 고안되었다. 회절 기반 포커스는 프린트하는 동안 포커스 설정에 의존하는 비대칭 정도를 갖는 타겟들을 프린트하는 레티클 상의 타겟 형성 피처들을 사용할 수 있다. 그 후, 이 비대칭 정도는 포커스 설정의 측정을 얻기 위해, 예를 들어 타겟으로부터 회절된 +1차 및 -1차 방사선의 세기들 간의 세기 비대칭을 측정함으로써, 스케터로메트리 기반 검사 방법을 사용하여 측정될 수 있다.
도 6은 회절 기반 포커스 측정을 위해 구성된 DBF 타겟 형성 디자인(615)을 예시한다. 이는 복수의 DBF 구조체들(620)을 포함하고, 이들 각각은 고분해능 서브구조체들(high resolution substructures: 625)을 포함한다. 기본 피치 위의 고분해능 서브구조체들(625)은 각각의 DBF 구조체(620)에 대한 비대칭 레지스트 프로파일을 생성하며, 비대칭 정도는 포커스에 의존한다. 결과적으로, 메트롤로지 툴이 DBF 타겟 형성 디자인(615)을 사용하여 형성된 타겟으로부터 비대칭 정도를 측정하고 이를 스캐너 포커스로 옮길 수 있다.
DBF 타겟 형성 디자인(615)은 회절 기반 포커스 측정을 가능하게 하지만, 모든 상황들에서 사용하기에 적절하지는 않다. EUV 레지스트 막 두께가 침지 리소그래피에서 사용되는 것들보다 상당히 더 얇고, 이는 타겟의 일부분을 형성하는 구조체들의 비대칭 프로파일로부터 정확한 비대칭 정보를 추출하는 것을 어렵게 만든다. 또한, 이러한 구조체들은 소정 제품 구조체들에 적용가능한 엄격한 디자인 제약들을 따르지 않을 수 있다. 칩 제조 공정 동안, 레티클 상의 모든 피처들이 프린트되어야 하고, 후속한 처리 단계들을 잘 견뎌야 한다. 반도체 제조자들은 프린트된 피처들이 그 공정 요건들에 따를 것을 보장하기 위해 피처 디자인들을 제한하는 수단으로서 디자인 규칙들을 이용한다. 이러한 디자인 규칙의 일 예시는 피치들 또는 구조체들의 허용가능한 크기에 관련된다. 예를 들어, 한 가지 디자인 규칙은 리소그래피 프린팅 단계의 분해능 한계 미만의 치수를 갖는 피처들을 금지할 수 있다. 또 다른 타입의 디자인 규칙은 패턴 밀도와 관련될 수 있으며, 이는 결과적인 레지스트 패턴의 밀도를 특정 범위 내에 있도록 제한할 수 있다. 이러한 한 가지 디자인 규칙은 소정 치수보다 큰 솔리드 피처들을 금할 수 있다. 이러한 또 다른 디자인 규칙은 소정 치수보다 큰 피처들 사이의 공간들을 금할 수 있다.
그러므로, 디자인 규칙들을 깰 수 있는 비-프린팅 피처들에 의존하지 않는 타겟들을 사용하여 포커스를 모니터링하는 것이 제안된다. 앞서 언급된 US2016363871A1에서, 두 타겟들 사이의 "최상의 포커스 오프셋" dF로 형성된 1 이상의 타겟 쌍을 사용하는 것이 제안되었다. 이전과 같이, 타겟 파라미터(예를 들어, CD 또는 아래에서 설명되는 바와 같은 다른 측정)에 대한 측정 값과의 포커스 응답은 제 1 및 제 2 타겟들 각각에 대해 보썽 곡선의 형태를 취한다. 하지만, 최상의 포커스 오프셋은 두 보썽 곡선이 서로 오프셋된다는 것을 의미한다. 그 후, 제 1 타겟 상에서 측정된 타겟 속성 및 제 2 타겟 상에서 측정된 타겟 속성의 함수로서 포커스 측정이 도출될 수 있다. 포커스가 도출될 수 있는 방식의 특정예가 도 7을 참조하여 아래에서 설명된다. 하지만, 당업자라면 제 1 및 제 2 타겟들로부터 얻어지는 측정된 값들로부터 포커스가 추출되게 하는 많은 대안적인 방법들이 있음을 인식할 것이다. 아래의 설명은 두 측정 값들의 차이(세기 값이든 아니든 상관없이)를 사용하는 것을 구체적으로 설명하지만, 다른 수학적 연산 및 방법이 포커스 값을 추출하는 데 사용될 수 있다. 예를 들어, (제 1 및 제 2 타겟들 중 하나로부터의) 측정 값들 중 하나를 (제 1 및 제 2 타겟들 중 다른 하나로부터의) 다른 측정 값으로 나누는 것이 가능하다.
도 7(a)는 제 1 타겟 및 제 2 타겟 모두에 대해 포커스(f)에 대한 타겟 속성(Pt)의 플롯을 나타낸다. 타겟 파라미터는 측정에 의해 얻어질 수 있는 타겟의 여하한의 속성일 수 있다. 제 1 보썽 곡선(700)이 제 1 타겟에 대응하고, 제 2 보썽 곡선(710)이 제 2 타겟에 대응한다. 또한, 최상의 포커스 오프셋(dF)[보썽 곡선들(700, 710)의 두 피크들 간의 포커스 오프셋]도 도시되어 있다. 제 1 타겟에 대한 타겟 속성(Pt1) 및 제 2 타겟에 대한 타겟 속성(Pt2)은 다음 수학식들을 갖는 보썽 곡선들에 의해 모델링될 수 있다:
Figure 112020057043599-pct00001
도 7(b)에서, 곡선(740)은 제 1 타겟에 대한 타겟 속성과 제 2 타겟에 대한 타겟 속성 간의 차이(Pt2-Pt1)의 플롯이며, 포커스에 따라 변한다. 타겟들의 보썽 곡선들이 포커스 범위(720)에서 오버랩되며, 이를 통해 제 1 타겟에 대한 타겟 속성과 제 2 타겟에 대한 타겟 속성의 차이가 포커스와 단조로운, 거의 선형인 관계를 갖는다. 알 수 있는 바와 같이, 관계(740)는 선형이다. Pt2-Pt1 메트릭은 예를 들어 도즈 및/또는 공정에 의한 크로스토크에 민감할 수 있다. 더 견고한 메트릭은 (Pt2-Pt1)/PtAV일 수 있으며, 이때 PtAV는 Pt2 및 Pt1의 평균이다. 포커스와 (Pt2-Pt1)/PtAV의 관계(750)(점선)가 또한 도 7(b)에 도시되어 있다. 이 관계도 단조롭고 충분히 선형인 한편, 크로스토크에 대해 더 견고하다.
예시된 특정예에서, 관계(740) 또는 관계(750)의 기울기는 2*dF*a에 의해 설명될 수 있으며, 이때 dF는 최상의 포커스 오프셋이고 a는 보썽 곡률이다. 따라서, 포커스(F)가 다음의 수학식(수학식 1)으로부터 얻어질 수 있다:
Figure 112020057043599-pct00002
이때, 분모의 PtAV는 선택사항이다.
본 발명에 대한 관심있는 실시예들 중 일부에서, 최상의 포커스 오프셋은 리소그래피 장치의 고의적 수차(deliberate aberration)를 사용하여 이 타겟들을 프린트함으로써 2 개의 타겟들 사이에 도입된다. 고의적인 0이 아닌 수차 파라미터 설정이 적용될 수 있고, 이는 최상의 포커스 오프셋을 유도하기 위해 상기 쌍의 타겟들 간의 디자인 차이와 조합된다. US2016363871A1에 설명된 바와 같이, 제 1 타겟과 제 2 타겟 간의 최상의 포커스 오프셋은 일 구현예에서 이러한 수차 파라미터의 일 예시로서 고의적인, 제어된 비점수차를 사용하여 타겟 쌍을 프린트함으로써 도입될 수 있다. 정상 작동에서의 비점수차는 가능한 한 0에 가깝도록 제어될 것이다. 하지만, 고의적인 0이 아닌 비점수차가 투영 광학기 내에 포함된 다수의 조작기들을 통해 투영 광학기에 도입될 수 있다. 많은 리소그래피 장치들 내의 투영 렌즈는 충분히 큰 비점수차 오프셋이 다른 원치 않는 수차들을 도입하지 않으면서 최상의 포커스 오프셋을 생성할 수 있게 한다. 이 비점수차는 상이한 방위들에서 피처들에 대한 초점 거리들의 차이를 도입할 것이다. 일 실시예에서, 비점수차는 수평 피처와 수직 피처 사이에 최상의 포커스 오프셋을 도입할 수 있다. 이를 활용하기 위해, 제 1 및 제 2 타겟들은 각각 수평 격자 및 수직 격자(또는 그 반대)를 포함할 수 있다. 이 맥락에서 "수평" 및 "수직"이라는 용어들은 기판의 평면에서 서로 직교하여 방위지정된 피처들만을 지칭하는 것으로 이해될 것이다. 여하한의 외부 기준 프레임 또는 중력에 대한 여하한의 특정 방위에 대한 언급은 함축되지 않는다.
다시 도 7을 참조하면, 곡선(700)은 수평 격자의 속성(Pt)을 나타낼 수 있는 한편, 곡선(710)은 수직 격자의 동일한 속성을 나타낸다. 그때, 두 격자들 사이의 상대적인 최상의 포커스 오프셋(dF)은 비점수차의 양에 의존한다. 포커스 민감도를 증가시키기 위해, 비점수차 설정을 증가시킴으로써 최상의 포커스 오프셋을 증가시켜, 관계(740) 또는 관계(750)의 기울기를 증가시키는 것이 가능하다.
앞선 논의에서, 포커스와 보썽 곡선 응답을 갖는다면 여하한의 타겟 속성(Pt)이 사용될 수 있다는 것을 이해하여야 한다. US2016363871A1의 방법은 포커스를 결정하기 위해 제 1 타겟 및 제 2 타겟에 의해 산란된 방사선의 회절 차수들로부터 얻어지는 세기 신호들을 사용한다. 특히, 포커스를 결정하기 위해 제 1 및 제 2 타겟들 각각으로부터의 단일 회절 차수의 세기 값들을 사용하는 것이 제안된다. 제안된 방법은 제 1 및 제 2 타겟들의 대응하는 회절 차수들로부터의 세기 값들을 사용할 수 있다. 예를 들어, 세기 값들은 제 1 및 제 2 타겟들로부터의 +1차(또는 -1차) 회절의 세기 값일 수 있다. 대안적으로 또는 조합하여, 세기 값들은 제 1 및 제 2 타겟들로부터의 0차 회절의 세기 값일 수 있다.
이러한 방법의 특정예에서, 제 1 타겟에 의해 산란된 방사선의 회절 차수의 측정된 세기 및 제 2 타겟에 의해 산란된 방사선의 대응하는 회절 차수의 측정된 세기에서의 차이(dI)를 사용하는 것이 제안된다. 이 차이는 이후 "dI 메트릭"이라고 칭해지며, 앞서 언급된 더 일반적인 차이(Pt2-Pt1)의 일 예시이다. 하지만, dI 메트릭은 (예를 들어, 감산보다는 세기 값들 중 하나를 다른 것으로 나눔으로써) 제 1 및 제 2 타겟들로부터의 회절 세기들을 비교하는 효과를 갖는 여하한의 메트릭일 수 있다. 이는 동일한 타겟으로부터의 반대 회절 차수들이 비교되어 그 타겟의 비대칭 측정들을 얻는 알려진 DBF 방법들과 매우 상이하다.
이러한 방식으로 dI 메트릭을 사용하는 것은, 타겟들이 (예를 들어, EUV 리소그래피에서 사용하기 위한) 얕은 격자들을 포함하는 경우에도 우수한 신호 강도 및 신호-대-잡음 응답으로 포커스 측정들을 제공한다.
앞서 언급된 바와 같이, dI 메트릭은 제 1 및 제 2 타겟들에 의해 산란된 방사선의 0차들의 차이를 포함할 수 있다. 이 방식으로, 더 작은 피치들을 갖는 타겟들이 사용될 수 있다. 결과적으로, 제 1 및 제 2 타겟들에 대한 타겟 피치는 여하한의 디자인 규칙들에 부합하도록 선택될 수 있다. 또한, 더 작은 타겟 피처들은 전체 타겟 크기가 감소될 수 있음을 의미한다. 또한, 다수 피치들도 가능하다.
1차 회절 방사선이 사용되는 경우, 및 측정마다 단일 1차만이 필요하기 때문에, 1차 방사선 광을 사용하는 데 필요한 피치는 개구수의 한계 NA=1에서 λ/2(이때, λ는 검출 파장임)로 감소된다. 이는 선형 타겟 치수가 2 배 감소될 수 있고, 면적(real estate)이 4 배 감소될 수 있음을 의미할 것이다. 특히, 검사 장치가 + 및 - 회절 차수들로 하여금 동시에 포착되게 하는 일 실시예에서, 계산들이 추가적인 신뢰성을 위해 두 차수들을 사용한 측정들을 조합할 수 있다.
제 1 실시예
도 8(a)는 도 5에 예시된 타입의 스케터로미터가 수평 격자(TH) 및 수직 격자(TV)로부터 양 및 음의 회절 차수들을 포착하는 데 사용되는 이러한 일 실시예를 나타낸다. 이 격자들은 예를 들어 이들 사이의 상대적인 최상의 포커스 오프셋(dF)을 유도하는 비점수차 설정을 이용하여 기판 상에 프린트된 타겟들일 수 있다. 앞선 도입부에서 언급된 본 발명의 원리들에 따르면, 타겟들은 아래에서 더 설명될 특정 디자인을 갖는다. 조명 경로(IP)의 퓨필 평면에서의 검사 조명의 분포(줄여서 조명 프로파일이라고 칭해짐)가 802에 예시되어 있다. 도 5에 나타낸 단극 어퍼처(unipolar aperture: 13S 및 13N) 대신에 세그먼트 어퍼처 디바이스(13B)가 사용된다. 이러한 세그먼트 어퍼처를 사용하는 원리들은 특허 출원 US2010201963A1에 개시되어 있으며, 이들은 여기서 간략히만 설명될 것이다. 도시된 바와 같이, 이 세그먼트 조명 프로파일은 서로 180-도 대칭인, a 및 b로 표시된 2 개의 밝은 세그먼트들을 갖는다. 각각의 밝은 세그먼트로부터 X 및 Y 방향으로는 분포가 어둡다. 이 방향들(X 및 Y)은 각각 수직 격자(TV) 및 수평 격자(TH)의 주기 방향들에 대응한다.
세그먼트 조명 프로파일(802)이 수평 격자(TH) 상에 검사 방사선의 스폿을 형성하기 위해 사용될 때, 수집 경로(CP)의 퓨필 평면에서의 방사선의 분포는 804(H)에 나타낸 바와 같이 된다. 밝은 구역들(Ha0 및 Hb0)은 타겟으로부터의 0차 회절 신호들을 나타내는 한편, 구역들(H+1 및 H-1)은 수평 격자(TH)의 주기 방향인 Y 방향으로 회절된 +1차 및 -1차 회절 신호들을 나타낸다. 동일한 세그먼트 조명 프로파일(802)이 수직 격자(TV) 상에 검사 방사선의 스폿을 형성하기 위해 사용될 때, 수집 경로(CP)의 퓨필 평면에서의 방사선의 분포는 804(V)에 나타낸 바와 같이 된다. 밝은 구역들(Va0 및 Vb0)은 타겟으로부터의 0차 회절 신호들을 나타내는 한편, 구역들(V+1 및 V-1)은 수직 격자(TV)의 주기 방향인 X 방향으로 회절된 +1차 및 -1차 회절 신호들을 나타낸다.
격자들(TH 및 TV)은 각각 피처들의 주기적 어레이를 포함한다. 본 발명의 제 1 실시형태에 따르면, 피처들의 각각의 주기적 어레이는 비교적 낮은 밀도의 피처들을 갖는 구역들(더 밝은 해칭으로 나타낸 "희박한 구역")과 인터리빙된 비교적 높은 밀도의 피처들을 갖는 구역들(더 어두운 해칭으로 나타낸 "조밀한 구역")의 반복 구성을 포함한다. 도입부 및 청구항들의 언어에서, "제 1 구역"은 조밀한 구역일 수 있는 한편, 희박한 구역은 "제 2 구역"이다. 조밀한 구역들 각각에서 제 1 피처들(806)의 반복 구성이 존재하며, 각각의 제 1 피처의 최소 치수는 프린팅 단계의 분해능 한계에 가깝지만 이보다 작지는 않다. 도 8(a)의 삽입된 세부사항에 예시된 바와 같이, 조밀한 구역에서의 제 1 피처들의 반복 구성은 810에 나타낸 예시와 같은 셀 패턴에 의해 정의된다. 이와 유사하게, 이 예시에서 희박한 구역에서의 제 1 피처들의 반복 구성은 812에 나타낸 예시와 같은 셀 패턴에 의해 정의된다. 따라서, 상이한 구역들의 반복 구성은 그 피처들과 함께 적어도 하나의 방향으로 주기적인 타겟 구조체를 정의한다.
예시된 바와 같이, "수평" 격자(TH)의 형태는 "수직" 격자(TV)의 형태와 유사하다. 비점수차 기반 방법(ABF)을 이용한 포커스의 측정을 위해, 수평 격자는 수직 격자의 회전된 버전이다. 따라서, 수평 격자 내의 셀 패턴들(810' 및 812')은 수직 격자 내의 셀 패턴들(810 및 812)의 회전된 버전들이며, 두 격자는 주어진 비점수차 설정 하에서 상이하게 영향을 받고, 결과로서 최상의 포커스 오프셋을 나타낸다. 제 1 피처들(806)이 최소 치수를 갖는 방향은 구역들의 구성(격자)에서 주기 방향과 동일하거나 상이할 수 있다. 나타낸 예시에서, 각각의 셀 패턴 내의 세절된(chopped) 라인 피처들(806)의 최소 치수는 전체적으로 격자의 주기 방향에 대해 횡방향이다. 다른 예시들에서, 그 방향들이 동일하도록 정렬될 수 있다. 이는 각 경우에 맞는 디자인 선택 및 실험의 문제이다. 비-비점수차 기반 방법들에 대해, 좁은 피처들의 방위는 회전될 필요가 없을 수 있다.
이 나타낸 제 1 예시에서, 제 1 피처들(806)은 개별적으로 조밀한 구역 셀 패턴(810)과 희박한 구역 셀 패턴(812) 사이에서 동일하며, 단지 그 수가 더 적다. 아래의 추가 예시들에서 알 수 있는 바와 같이, 제 1 피처들은 상이한 밀도들의 구역들에서 동일할 필요는 없다. 또한, 상이한 밀도들의 구역들 중 하나 또는 다른 하나는 완전히 제 1 피처들이 없을 수 있다.
이 나타낸 제 1 예시에서, 조밀한 구역들 및 희박한 구역들의 폭들은 동일하고, 셀 패턴들의 폭/높이도 동일하다. 조밀한 구역들 및 희박한 구역들의 폭들은 나타낸 것처럼 동일할 필요는 없다.
도 8(b)는 특정 비점수차 설정 하에서 타겟들(TH 및 TV)의 쌍에 대한 특정 회절 신호, 이 경우에는 +1차의 응답을 기본으로 하는 보썽 곡선들을 예시한다. 도 8(c)는 소정 포커스 측정 범위에 걸친 두 보썽 곡선들 간의 차이로부터 발생하는 dI 메트릭, 더 구체적으로는 정규화된 dI 메트릭 또는 dI/I를 예시한다. 이 dI 메트릭 곡선(850)은 도 7의 곡선(750)에 대응한다. 곡선(850)의 기울기는 상향 대신에 하향이지만, 이는 단조로운 한 중요하지 않다. 최상의 포커스 오프셋(dF)의 부호, 및 결과적으로 곡선(750/850)의 기울기 방향은 회절 차수들의 선택, 비점수차 설정의 부호, 및 dI 메트릭을 얻기 위해 감산 또는 분할이 수행되는 순서에 임의로 어느정도 의존한다.
주어진 상황에서 포커스를 측정하기 위해 도 7 및 도 8의 방법을 사용하기에 앞서, 다수의 동일한 타겟 패턴들이 상이한 알려진 포커스 오차 및 도즈 오차 값들로 프린트되는 캘리브레이션 웨이퍼 또는 웨이퍼들이 노광될 것이다. 이 타입의 웨이퍼는 잘 알려져 있으며, 예를 들어 특허 US 5,856,052(Leroux)에 기술된 FEM(포커스-노광 매트릭스) 웨이퍼로 불린다. 이 범위의 알려진 포커스 오차들로 프린트된 타겟 쌍들을 측정함으로써 얻어진 dI 메트릭을 플롯 구성하는 것이 곡선(850)을 산출하며, 이는 그 후 FEM 웨이퍼들이 아니라 공칭적으로 최상의 포커스로 프린트되는 웨이퍼들에 대한 향후 포커스 메트롤로지를 위한 캘리브레이션 곡선으로서 저장된다. FEM 웨이퍼들은 무작위 포커스 및 도즈 변동들로 노광될 수 있고, 이는 캘리브레이션으로부터 시스템적 오차들을 제거하는 데 도움이 된다. 여하한의 원하는 방식이 사용될 수 있다. 다음 예시들은 전체 레티클 이미지가 필드에 완전히 노광되는 "전체 필드 랜덤(Full Field Random)" FEM(FFRFEM) 방식을 가정한다. 대안적으로, 레티클 이미지가 필드에 부분적으로 노광되는 작은 필드 랜덤(Small Field Random) FEM이 사용될 수 있다.
상기 방법 및 타겟 디자인에 따라, 주어진 포커스 메트롤로지 방법은 제품 웨이퍼들에, 또는 성능 측정 및 고급 공정 제어를 위해 독점적으로 처리되는 모니터 웨이퍼들에만 적용가능할 수 있다. 추가적으로, 포커스 메트롤로지 기술은 웨이퍼들의 전체 로트(lot)를 처리하기에 앞서, 패터닝을 위해 선택된 제품 웨이퍼들인 "센드-어헤드(send-ahead)" 웨이퍼들에 적용가능할 수 있다. 센드-어헤드 웨이퍼들은 노광 이후 현상 및 측정된 후, 로트의 다른 웨이퍼들과 함께 처리하기 위해 벗겨져서 새로운 레지스트로 코팅된다. 도 6의 DBF 방법은 제품 피처들의 프린트와 동시에 수행될 수 있지만, 도 8의 비점수차-기반 방법(줄여서, ABF)은 통상적으로 제품 웨이퍼들을 프린트하기에 적절하지 않은 수차 설정들에 의존한다. 그러므로, ABF 방법은 지정된 모니터 웨이퍼들 또는 센드-어헤드 웨이퍼들에 더 적절한 기술이다.
이러한 방식으로, 공개된 특허 출원 US2016363871A1에 제시된 바와 같은 ABF 방법을 사용하여 스캐너-타입 리소그래피 장치의 포커스 오차를 측정하는 것이 알려져 있다. 비점수차는 두 격자들(TH 및 VH)에 상이하게 영향을 미친다. 이론적으로, 스케터로미터로부터 1차 회절 신호 및/또는 다른 신호들에 의해 측정된 바와 같은 격자들의 보썽은 도 8(b)에 나타낸 바와 같이 서로 멀어질 것이고, 보썽 정상(top)들 사이의 거리(dF)는 비점수차의 설정 값에 비례한다. 동일한 피치의 수평 및 수직 격자들 모두를 포함하는 레티클(MA)로부터 타겟 패턴들을 프린트하는 동안 수차 설정을 적용하기 위해 비점수차 제어 파라미터가 사용된다. ABF 방법에 대한 다양한 개선들 및 대안예들이 현재 우선권일에 공개되지 않은 2017년 8월 21일자 유럽 특허 출원 EP17187069.4에 개시되었다. 이러한 개선들 중 일부는, 예를 들어 시간의 경과에 따른 비점수차 및 포커스의 드리프트를 보정하기 위한 방법의 캘리브레이션과 관련된다. 그 특허 출원의 내용들은 본 명세서에 인용참조되며, 여기에 설명된 방법들은 본 발명의 교시와 함께 적용될 수 있다.
도 5의 장치 및 도 8의 ABF 방법을 이용한 스케터로메트리는 높은 정밀도 및 스루풋으로 포커스 측정을 허용한다. 현재, ABF 방법은 EUV-기반 리소그래피 제조에서 성능을 모니터링하고 고급 공정 제어 보정들을 적용하기 위해 포커스를 측정하는 데 사용된다. 하지만, 비점수차에 의존하지 않는 다른 측정 방법들이 이미 언급된 바와 같이 적용될 수도 있다.
앞선 원리들에 기초하여, 많은 상이한 포커스 메트롤로지 타겟들 및 타겟 쌍들이 디자인될 수 있으며, 이는 도 8에 예시된 방식으로 포커스에 민감한 회절 신호들을 제공할 것이다. 이 타겟 디자인들을 적절한 조명 및 신호 처리와 조합하여 사용하는 방법이 리소그래피 장치의 포커스 핑거프린트(focus fingerprint)를 정확하게 측정, 모니터링 및 제어하기 위해 적용될 수 있다. 원하는 경우, 필드 간 및/또는 필드 내 포커스 핑거프린트가 개별적으로 측정 및 보고될 수 있다. 개선된 포커스 핑거프린트들은 대량, 고-밀도 포커스 측정들을 위한 ABF 기술과 같은 기술들의 잠재력을 활용하고, 이에 따라 고급 공정 제어 및 전산(computational) 메트롤로지 기술들을 가능하게 하기 위해 사용될 수 있다. 타겟들은 아래에서 더 설명되는 바와 같이, ABF 기술로의 적용에 제한되지 않는다.
디자인 변동
도 9 내지 도 15는 도 8에 나타낸 일반적인 타입들의 포커스 메트롤로지 타겟들의 조밀한 구역들 및/또는 희박한 구역들에 배치될 수 있는 셀 패턴들에 대한 다수의 변동들을 나타낸다. 상이한 디자인들은 상이한 측정 기술들 및 상이한 공정 환경들에 적절할 수 있다. 이를 위한 상이한 공정 환경들은 물리적으로 상이한 공정들 및/또는 상이한 디자인 규칙들을 포함할 수 있다. 각각의 도면에서, 셀 패턴들(a)은 조밀한 구역들에서 사용되도록 디자인되는 한편, 셀 패턴들(b)은 희박한 구역들에 더 적절하다. "조밀한" 및 "희박한"은 상대적인 용어이며, 이러한 용어에 의해 절대 레벨의 밀도 또는 희박함이 함축되지는 않는다는 것을 이해할 것이다. 그렇긴 해도, 조밀한 구역들과 희박한 구역들 사이의 소정 레벨의 대비는 고차(+1, -1 등)에 대해 충분히 강한 회절 신호들을 얻는 데 중요한 파라미터이다.
이 도면들 모두에서, 각각의 셀 패턴에서의 피처들의 치수 및 개수는 개략적으로 도시되어 있으며, 일정한 비율로 있도록 의도되지 않는다. 실제로 사용될 수 있는 치수들에 대한 아이디어를 제공하기 위해, 각각의 타겟 구조체(격자 TH, TV 또는 T)는 각 측면에서 수십 미크론, 예를 들어 40 미크론일 수 있다. 이는 타겟이 언더필링되게 하고, 도 5의 스케터로미터에서 퓨필 이미지 센서(19)를 사용한 측정을 허용한다. 앞서 설명된 바와 같이, 상이한 회절 차수들이 스케터로미터의 필드 이미지 센서(23)를 사용한 다크-필드 이미징에 의해 포착되는 경우, 전체 복합 타겟이 오버필링되도록 더 작은 타겟들이 사용될 수 있다. 이 작은 타겟 구조체들은 예를 들어 각 측면에서 수 미크론, 예를 들어 4 또는 5 미크론일 수 있다.
구역들의 반복 구성의 피치(주기)는 350 nm보다 클 수 있으며, 예를 들어 600 nm일 수 있다. 조밀한 구역들 및 희박한 구역들의 폭이 동일한 경우, 각각의 구역은 예를 들어 폭이 300 nm일 수 있다. 각 구역에 대한 셀 패턴은 도 8(a)에 개략적으로 나타낸 바와 같이 구역과 동일한 폭이도록 정의될 수 있거나, 구역의 폭의 약수(sub-multiple)일 수 있다. 예를 들어, 셀 패턴은 100 nm 크기일 수 있어서, 셀 패턴의 3 번의 반복이 300 nm-폭 구역에 걸쳐 피팅되도록 할 것이다. 조밀한 구역들 및 희박한 구역들에 대한 셀 패턴들의 치수들이 동일할 필요는 없다. 셀 패턴들은 정사각형 또는 직사각형일 필요는 없다. 셀 패턴의 방위는 한 쌍의 타겟 구조체들(예를 들어, TH, TV) 사이에서 변할 수 있다.
도 9(a)에서, 조밀한 구역들 내의 제 1 피처들은 라인들(1106) 또는 세절된 라인들(1108)이다. 제 1 피처들(1106 또는 1108)은 제 1 피처들의 최소 치수에 대응하는 폭 및 상기 최소 치수보다 긴 길이를 갖는 라인들을 포함한다. 세절된 라인들(1108)의 경우, 각각의 피처는 상기 최소 치수에 대응하는 폭 및 상기 최소 치수보다 길지만, 조밀한 및 희박한 구역들의 구성(T, TH, TV)에 의해 형성된 주기적 어레이의 주기의, 예를 들어 1/4보다 작은 길이를 갖는다. 세절된 라인들의 경우, 세그먼트들은 정렬되거나(좌측에 도시됨) 엇갈리게(우측에 도시됨) 놓일 수 있다.
도 9(b)에서, 희박한 구역들 내의 제 1 피처들도 라인들(1106) 또는 세절된 라인들(1108)이지만, 조밀한 구역들보다 수(밀도)가 더 적다. 언급된 바와 같이, 제 1 피처들의 형태가 주어진 타겟의 조밀한 구역들과 희박한 구역들 사이에서 동일할 필요는 없다. 또한, 디자인 규칙들이 솔리드(solid)인 조밀한 구역 또는 비어 있는 희박한 구역을 허용하는 경우, 두 구역 모두가 제 1 피처들을 가질 필요가 없다. 즉, 반복 구성 내의 제 1 구역들이 제 1 피처들을 갖는 경우, 제 2 구역들도 상이한 밀도를 갖는 제 1 피처들을 갖는지의 여부는 선택적이다.
도 9의 예시들에서, 셀 패턴들은 (예시된 바와 같이 수직인) 주요 주기 방향을 갖는다. 이 패턴들이 수평 격자들(TH) 및 수직 격자들(TV) 모두에서 이 방위로 사용될지, 또는 셀 패턴의 방위가 회전되어 각각의 타겟(TH 및 TV)이 조밀한 구역들 및 희박한 구역들의 구성에서만 또는 셀 패턴들 내에서 다른 것의 회전된 버전일지의 여부는 선택적이다.
도 10(a)에서, 더 2-차원적 특징을 갖는 제 1 피처들을 갖는 상이한 셀 패턴들이 제시된다. 조밀한 구역들 내의 제 1 피처들(1206)은 두 방향들에서 동일한 최소 치수를 갖는다. 다시, 피처들은 정렬되거나(상단 좌측에 도시됨) 엇갈리게(상단 우측에 도시됨) 놓일 수 있다. 더 완전히 2-차원적 특징을 갖는 또 다른 예시에서, 제 1 피처들(1208 및 1210)은 상기 최소 치수에 대응하는 폭들을 갖고 상이한 방위들을 갖는 2 이상의 라인 세그먼트들을 포함한다. 특정예에서, 상이한 방위들을 갖는 라인 세그먼트들은 기판 상의 다른 곳에서 기능적 제품 피처들과 형태가 유사한 접합 피처(junction feature)들을 서로 형성한다. 나타낸 예시에서, 일부 제 1 피처들(1208)은 T-접합으로 결합된 상이한 라인 세그먼트들을 포함하는 한편, 다른 제 1 피처들(1210)은 엘보(elbow)를 형성하도록 결합된 상이한 라인 세그먼트들을 포함한다. 십자형 피처들이 형성될 수 있고, U-형 및 S-형 피처들도 형성될 수 있다. 셀 패턴은 동일한 기판 상의 특정 제품 패턴들의 일부를 모방하도록 디자인될 수 있거나, 일반적인 "제품-유사" 피처들이 사용될 수 있다.
도 10(b)에서, 희박한 구역들 내의 제 1 피처들도 피처들(1206, 1208 및 1210)과 동일하게 제품-유사 피처들이지만, 조밀한 구역들보다 수(밀도)가 더 적다. 언급된 바와 같이, 제 1 피처들의 형태가 주어진 타겟의 조밀한 구역들과 희박한 구역들 사이에서 동일할 필요는 없다. 또한, 디자인 규칙들이 솔리드인 조밀한 구역 또는 비어 있는 희박한 구역을 허용하는 경우, 두 구역 모두가 제 1 피처들을 가질 필요는 없다.
도 11(a)는 디자인 규칙들이 허용하는 경우, 조밀한 구역을 채우는 데 사용될 수 있는 솔리드 셀 패턴을 예시한다. 도 11(b)는 디자인 규칙들이 허용하는 경우, 희박한 구역을 채우는 데 사용될 수 있는 빈 셀 패턴을 예시한다. 이 셀 패턴들 중 어느 하나가 도 9 및 도 10에 예시된 것들 대신에 해당 구역들에서 사용될 수 있다. 반면에, 조밀한 구역들에서 완전히 솔리드이고 희박한 구역들에서 완전히 비어 있는 타겟은 단순히 종래의 격자일 것이며, 본 발명의 제 1 실시형태의 범위를 벗어날 것이다.
도 12(a)는 앞서 설명된 본 발명의 제 1 실시예에 따른 포커스 메트롤로지 타겟의 조밀한 구역들에서 사용될 수 있는 또 다른 클래스의 셀 패턴들을 예시한다. 이 셀 패턴들은 도 9의 라인들(1106)과 유사한 제 1 피처들(1406), 또는 도 9의 세절된 라인들(1108)과 유사한 제 1 피처들(1408)을 포함한다. 하지만, 추가적으로, 조밀한 구역들 내의 전체 피처 밀도는 제 2 피처들(1420, 1422)의 추가에 의해 증가되며, 이는 상당히 더 크고, 예를 들어 그 최소 치수에서 제 1 피처들보다 2 또는 3 배 더 넓다. 결과로서, 이 셀 패턴들에 기초한 타겟들에서, 조밀한 구역들은 제 2 피처들의 반복 구성을 포함하고, 각각의 제 2 피처의 최소 치수는 제 1 피처들의 최소 치수의 적어도 2 배이다. 도 12(a)에 나타낸 상이한 예시들에서, 1420으로 표시된 제 2 피처들은 제 1 피처들인 라인들 또는 세절된 라인들에 가로질러 방위지정된 넓은 라인들이다. 1422로 표시된 제 2 피처들은 제 1 피처들인 라인들 또는 세절된 라인들에 평행하게 방위지정된 넓은 라인들이다. 셀 패턴들의 중간 줄(middle row)에서 볼 수 있는 세절된 라인들의 경우, 이들은 제 2 피처들로부터 분리(좌측 셀 패턴)되거나 이들에 결합(우측 셀 패턴)될 수 있다.
제 2 피처들의 목적은 조밀한 구역들에서 밀도를 증가시키는 것이다. 이는 차례로 포커스 민감도를 반드시 늘리지 않고도 스케터로미터에 의해 검출될 수 있는 회절 신호들의 강도를 증가시킬 수 있다. 격자의 회절 효율의 관점에서 이 신호 강도들을 고려하면, 제 1 피처들은 포커스에 따라 회절 효율의 변동을 야기하는 것이다. 제 2 피처들은 그 변동이 추가되는 기준 회절 효율을 시프트하기 위해 추가될 수 있다. 제 2 피처들의 밀도가 제 1 피처들의 밀도와 독립적으로 어느 정도 제어될 수 있기 때문에, 이 타입의 실시예는 설계자가 주어진 공정 환경에서 원하는 신호 강도 및 포커스 민감도의 조합을 얻도록 추가적인 자유 파라미터들(parameters of freedom)을 제공한다. 공정의 프린트가능한 최소 치수를 고려함으로써, 타겟 디자인들이 디자인 규칙들 내에서 달성될 수 있다. (또한, 스케터로미터는 제 1 피처들의 최소 치수를 분해할 수 없으며 조밀한 구역들 및 희박한 구역들의 구성의 전체 피치만 분해함을 기억한다.)
도 12(b)는 도 12(a)의 셀 패턴들과 조합하여 사용될 수 있는 희박한 구역들에 대한 일부 셀 패턴들을 예시한다. 희박한 구역 셀 패턴들의 예시들은 조밀한 구역 셀 패턴들 중 대응하는 것으로부터 도출되었지만, 제 2 피처들을 삭제하고 제 1 피처들의 밀도를 감소시켰음을 알 수 있을 것이다. 하지만, 이는 순전히 예시일 뿐이며, 조밀한 구역들 및 희박한 구역들에서 완전히 관련없는 셀 패턴들을 사용하는 것을 막지는 않는다.
도 13(a)는 앞서 설명된 본 발명의 제 1 실시예에 따른 포커스 메트롤로지 타겟의 조밀한 구역들에서 사용될 수 있는 또 다른 클래스의 셀 패턴들을 예시한다. 이 셀 패턴들은, (도 12에서와 같이) 그 최소 치수에서 제 1 피처들보다 상당히 더 넓은 제 2 피처들(1520, 1522)의 존재와 (도 10에서와 같이) 완전히 2-차원적 특징을 갖는 제 1 피처들을 조합한다. 결과로서, 이 셀 패턴들에 기초한 타겟들에서, 조밀한 구역들은 제 2 피처들의 반복 구성을 포함하고, 각각의 제 2 피처의 최소 치수는 제 1 피처들의 최소 치수의 적어도 2 배이다. 도 13(a)에 나타낸 상이한 예시들에서, 1520으로 표시된 제 2 피처들은 제 1 피처들인 제품-유사 피처들에 대해 셀 패턴의 한 측에 또는 중심에 배치된 넓은 라인들이다. 1522 및 1524로 표시된 제 2 피처들은 그 자체로 2-차원적 특징인 넓은 피처들이다.
도 10에서와 같이, 2-차원적 특징을 갖는 제 1 피처들은 1506으로 표시된 제 1 피처들과 같이 두 방향들에서 그 최소 치수를 가질 수 있다. 대안적으로 또는 추가적으로, 2-차원적 특징을 갖는 제 1 피처들은 상기 최소 치수에 대응하는 폭들을 갖고 상이한 방위들을 갖는 2 이상의 라인 세그먼트들을 포함할 수 있다. 상이한 방위들을 갖는 라인 세그먼트들은 기판 상의 다른 곳에서 기능적 제품 피처들과 형태가 유사한 접합 피처들을 서로 형성할 수 있다. 나타낸 예시에서, 일부 제 1 피처들(1508)은 T-접합으로 결합된 상이한 라인 세그먼트들을 포함하는 한편, 다른 제 1 피처들(1510)은 엘보를 형성하도록 결합된 상이한 라인 세그먼트들을 포함한다. 크로스가 포함될 수 있는 또 다른 타입의 접합 피처일 것이다.
도 12의 예시들에 대해 설명된 바와 같이, 제 2 피처들의 목적은 조밀한 구역들에서 밀도를 증가시키는 것이다. 이는 차례로 포커스 민감도를 반드시 늘리지 않고도 스케터로미터에 의해 검출될 수 있는 회절 신호들의 강도를 증가시킬 수 있다. 제 2 피처들의 밀도가 제 1 피처들의 밀도와 독립적으로 어느 정도 제어될 수 있기 때문에, 이 타입의 실시예는 설계자가 주어진 공정 환경에서 원하는 신호 강도 및 포커스 민감도의 조합을 얻도록 추가적인 자유 파라미터들을 제공한다. 공정의 프린트가능한 최소 치수를 고려함으로써, 타겟 디자인들이 디자인 규칙들 내에서 달성될 수 있다.
도 13(b)는 도 13(a)의 셀 패턴들과 조합하여 사용될 수 있는 희박한 구역들에 대한 일부 셀 패턴들을 예시한다. 빈 구역은 하나의 선택사항인 한편, 다른 셀 패턴들은 조밀한 구역들에서와 동일한 형태의 제 1 피처들을 갖지만 단위 면적당 수가 더 적다.
도 14는 도 8 및 도 9의 공정들에서 타겟 구조체들의 조밀한 구역들에서 사용하기 위한 셀 패턴들의 또 다른 예시들을 나타낸다. 이들은 도 13과 유사한 특성들을 갖지만, 셀 패턴들 내에 공간(1630)의 영역들을 갖는다. 또한, 셀 패턴들은 전체적으로 타겟 구조체에서 포커스 민감성 비대칭을 유도할 수 있는 비대칭을 갖는다. ABF 기술 및 아래에서 설명되는 다른 기술들을 사용하면, 이 포커스 민감성 비대칭은 포커스 측정을 위한 기본(primary basis)으로서 사용되지 않는다.
도 15는 도 8 및 도 9의 공정들에서 타겟 구조체들의 (a) 조밀한 구역들 및 (b) 희박한 구역들에서 사용하기 위한 셀 패턴들의 또 다른 예시들을 나타낸다. 이들은 도 12와 유사한 특성들을 갖지만, 제 1 피처들이 더 넓은 제 2 피처들에 비대칭으로 결합된다.
도 14 및 도 15의 셀 패턴들은 도 9 내지 도 13의 예시들이 갖지 않는, 전체적으로 타겟 구조체에서 포커스 민감성 비대칭을 유도할 수 있는 비대칭을 갖는다. ABF 기술 및 아래에서 설명되는 다른 기술들을 사용하면, 이 포커스 민감성 비대칭은 포커스 측정을 위한 기본으로서 사용되지 않는다.
언급된 바와 같이, 앞선 모든 예시들에서, 셀 패턴들은 나타낸 방위로, 또는 상이한 방위로, 예를 들어 90, 180 또는 270 도만큼 회전되어 사용될 수 있다.
향상된 포커스 메트롤로지 방법들
앞서 언급된 바와 같이, 본 발명의 원리들은 현재 우선권일에 공개되지 않은 2017년 8월 21일자 유럽 특허 출원 EP17187069.4에 개시된 ABF 방법에 대한 일부 개선들 및 대안예들과 조합하여 적용될 수 있다. 그 특허 출원의 내용들은 본 명세서에서 인용참조된다. 향상된 방법들의 일부 간단한 설명이 추가 실시예들로서 제공될 것이다. 또한, 원하는 경우, 본 발명의 원리들은 기본 ABF 방법에 적용될 수 있다.
도 16은 양 및 음의 수차 설정들을 갖는 ABF 방법의 개선된 버전에서 사용하기 위한 추가적인 타겟 쌍들의 형성을 예시한다. 도 16(a)는 2 개의 기판을 사용하는 본 발명의 제 2 실시예를 나타내고, 도 16(b)는 단일 기판 상의 2 번의 노광을 사용하는 본 발명의 제 3 실시예를 나타낸다. 유럽 특허 출원에서 더 완전하게 제시된 분석에 기초하여, 수차 설정들(예를 들어, 비점수차)의 반대 값들로 프린트된 타겟 쌍들로부터의 측정들을 조합함으로써 수차 드리프트 및 다른 효과들에 대한 ABF 측정들의 견고성을 개선하는 것이 제안된다.
도 16(a)는 2 개의 기판(W1, W2)을 나타내며, 이들 각각은 ABF 방법에 의한 포커스 메트롤로지에서 타겟들로서 사용하기 위해 수평 및 수직 격자들의 쌍들로 프린트되었다. 제 1 기판(W1) 상에는, 제 1 타겟(TH+) 및 제 2 타겟(TV+)이 타겟들 사이의 상대적인 최상의 포커스 오프셋을 유도하는 제 1 수차 설정을 사용하여 프린트되었다. 라벨 +AST는 이 제 1 설정을 나타내며, 이는 예를 들어 양의 비점수차 설정이다. 제 2 기판(W2) 상에는, 제 1 타겟(TH-) 및 제 2 타겟(TV-)이 타겟들 사이의 상대적인 최상의 포커스 오프셋을 유도하는 제 2 수차 설정을 사용하여 프린트되었다. 라벨 -AST는 이 제 2 설정을 나타내며, 이는 예를 들어 음의 비점수차 설정이다.
2 개의 타겟 쌍들(TH+, TV+ 및 TH-, TV-)은 디자인이 동일하며, 반대 수차 설정 외에는 가능한 한 거의 동일한 조건들 하에 동일한 패터닝 디바이스로부터 프린트된다. 본 발명의 원리들에 따르면, 타겟들 각각은 조밀한 구역들 및 희박한 구역들의 반복 구성에 의해 형성된 피처들의 주기적 어레이를 포함한다.
도 16(b)는 2 개의 타겟 쌍들(TH+, TV+ 및 TH-, TV-)이 단일 기판(W)에 나란히 프린트되는 또 다른 구현을 나타낸다. 제 1 타겟 쌍(TH+, TV+)이 제 1 노광 단계(EX1)를 사용하여 프린트된 후, 제 2 타겟 쌍(TH-, TV-)이 동일한 레지스트 층에서 제 2 노광(EX2)을 사용하여 프린트된다. 두 노광들 사이에 작은 위치 오프셋이 적용되어 타겟들이 레지스트에 나란히 나타나고 겹치지 않도록 한다. 두 노광들 후에만 리소그래피 장치로부터 기판이 제거되며, 레지스트 재료 내의 패턴은 현상되고 스케터로미터로 측정된다. 이 방법은 속도의 이점을 갖고, 도 16(a)의 예시보다 적은 기판을 사용하며, 투영 시스템의 성능이 타겟 쌍들 사이에서 가능한 한 변하지 않을 것을 보장한다. 그렇지 않으면, 두 구현은 동일하다.
양 및 음의 수차 설정들(+AST 및 -AST)은 크기가 같고 부호가 반대일 수 있다. 동일하고 반대인 수차 설정들이 선호되지만, 크기가 동일하지 않은 경우에 적어도 부호가 상이한 수차 설정들을 선택함으로써 일부 이점이 얻어질 수 있다. 부호의 차이는 대부분의 구현들에서 양 및 음의 부호를 수반하지만, 원칙적으로 수차 설정들 중 하나는 0이고 다른 하나는 양 또는 음일 수 있다.
앞서 설명된 효과들에 대한 견고성을 개선하는 것 외에도, 양 및 음의 설정들로 프린트된 타겟들로부터의 측정들을 조합하는 것이 리소그래피 장치의 수차 성능에서 드리프트의 영향을 완화할 수 있다. 앞선 방법은 비점수차 드리프트에 대해 견고한 측정 방법을 제공하지만, 그 자체가 비점수차 드리프트의 측정을 제공하지는 않는다. 비점수차 드리프트의 측정이 필요한 경우, 방법들은 언급된 유럽 특허 출원에 기술되어 있다.
타겟들의 추가적인 쌍들이 앞서 설명된 것들과 조합된 그 측정들 및 또 다른 상이한 조건들 하에서 프린트되어, 전체 방법의 견고성을 더욱 개선할 수 있다. 추가적인 프린팅 및 측정 오버헤드(overhead)가 얻어질 수 있는 추가적인 정확성 및/또는 추가적인 진단 정보에 의해 정당화되는지의 여부가 구현자에게 중요하다. 예를 들어, 상기 방법은 예를 들어 조명 프로파일에서의 극 불균형(pole imbalance)과 같은 상이한 설정들 하에서 추가적인 타겟 쌍들을 프린트하는 단계, 또는 스캐닝 작업에서 X/Y 대비 차이를 도입하는 단계[스캔 스큐(scan skew) 또는 스캔 스케일링(scan scaling)이 도입될 수 있음]를 포함할 수 있다. 추가적으로, 스케터로미터 광학 시스템에서의 일부 타입의 오차들이 상이한 회전들 하에서 동일한 타겟들을 두 번 이상 측정함으로써 포착되고 보정될 수 있다.
일부 오차 소스들은 매우 정적일 것이므로, 측정을 수행할 때마다가 아니라 캘리브레이션 정보를 수정하기 위해서만 추가적인 노광들 및 측정들이 필요하다. 다른 경우, 매번 다수의 타겟 쌍들을 프린트하는 것이 바람직할 수 있다.
도 17은 한 쌍보다 많은 타겟 쌍을 포함하는 또 다른 타겟 디자인을 나타낸다. 제 1 타겟 쌍은 수평 격자(TH1) 및 수직 격자(TV1)를 포함한다. 제 2 타겟 쌍은 수평 격자(TH2) 및 수직 격자(TV2)를 포함한다. 각각의 격자는 본 발명의 원리들에 따라 조밀한 구역들 및 희박한 구역들의 반복 구성에 의해 형성된 피처들의 주기적 어레이이다. 제 1 타겟 쌍의 격자들은 제 2 쌍의 것들과 상이한 디자인 파라미터들을 갖는다. 차이는 조밀한 구역들 및 희박한 구역들의 치수에 있을 수 있고, 및/또는 차이는 구역들 내의 피처들의 상세한 형태 및/또는 그 피처들의 구성에 있을 수 있다.
언급된 유럽 특허 출원에서, 다양한 디자인 차이들이 그 이점들과 함께 언급되어 있다. 추가적인 타겟들을 측정하는 것은 물론 측정 시간을 증가(두 배는 아님)시킬 것이다. 상이한 타겟들의 측정들이 동시에, 예를 들어 도 3에 나타낸 검사 장치의 다크-필드 이미징 모드들을 사용하여 행해질 수 있는 경우, 측정 시간은 전혀 증가될 필요가 없다.
도 16 및 도 17의 기술들은 4 개의 타겟 쌍을 사용하여 조합될 수 있다. 언급된 유럽 특허 출원은 평균 dI/I 메트릭을 얻기 위해 4 개의 타겟 쌍들로부터의 세기들을 조합하는 방식의 예시들을 제공한다.
도 18은 도 16 및 도 17을 참조하여 설명된 기술들의 예시적인 실시예에 따라 리소그래피 공정 동안 포커스 파라미터를 모니터링하는 방법의 단계들의 흐름도이다. 단계들은 다음과 같다:
2000- 시작;
2010- 양의 수차 설정으로 적어도 제 1 타겟 쌍(H/V)을 프린트하고, 음의 수차 설정으로 적어도 제 2 타겟 쌍을 프린트하여, 도 16(a) 또는 (b)에 예시된 바와 같은 적어도 2 개의 타겟 쌍들을 프린트함. 선택적으로, 도 16을 참조하여 설명된 바와 같이 상이한 디자인 파라미터들을 갖는 2 이상의 타겟 쌍들을 프린트함;
2020- 각각의 타겟 쌍의 제 1 타겟들(예를 들어, 수평 격자들)의 검사에 의해 측정들을 수행하여 제 1 측정 값들을 얻음;
2030- 제 2 타겟 쌍의 제 2 타겟들(예를 들어, 수직 격자들)의 검사에 의해 측정들을 수행하여 제 2 측정 값들을 얻음;
2040- 제 1 및 제 2 타겟 쌍들로부터의 차이를 조합하여 조합된 차이 메트릭을 얻은 후, 캘리브레이션 정보를 사용함으로써 포커스를 계산함;
2050- 후속한 노광들에 대한 포커스 설정에서 계산된 포커스 측정을 사용함;
2060- 종료.
이 단계들 내에서의 더 상세한 내용은 언급된 유럽 특허 출원에 설명되어 있다.
포커스 오프셋들 및 다수 노광을 사용한 포커스 메트롤로지
본 발명의 제 3 실시예는 비점수차 기반 포커스 메트롤로지(ABF)에 대한 대안예로서 적용될 수 있는 기술을 적용한다. 앞서 설명된 바와 같이, ABF는 피처들이 상이한 방위들(줄여서, H 및 V)을 갖는 한 쌍의 타겟들의 프린팅에서의 차이에 의존하며, 이는 회절 신호들의 차이들을 관찰함으로써 측정될 수 있다. 이들이 스케터로미터에서 측정될 때, 한 쌍의 두 타겟들로부터의 회절 신호들은 실제로 매우 상이한 경로들을 따라 스케터로미터 광학기를 통해 진행한다. 이는 개별 스케터로미터들 사이에서 변동할 수 있는 신호 차이를 야기한다. 이것이 발생하는 경우, ABF 포커스 캘리브레이션은 개별 스케터로미터들 사이에서 변동할 것이다. 모든 스케터로미터들에 대한 단일 캘리브레이션을 갖는 것이 매우 바람직하다. 이에 대처하기 위해, 일부 타겟 쌍들은 현재 상대적인 90-도 웨이퍼 회전으로 두 번 측정된다. 두 측정들이 조합되어, 스케터로미터 광학기들의 H 및 V 응답 간의 차이들을 없앤다. 추가적인 측정들 및 웨이퍼 회전 단계의 필요성은 포커스 메트롤로지 오버헤드에 바람직하지 않게 추가된다.
도 19(a)는 다수 포커스 오프셋들 및 다수 노광 기술에 기초하는 제 3 실시예의 원리들을 예시한다. 피처들의 1 이상의 주기적 어레이를 정의하는 패턴이 동일한 레지스트에서 두 번 프린트된다(이중 노광). 이 예시에서, 패턴은 ABF 기술에서와 같이 수평 격자(TH) 및 수직 격자(TV)를 정의한다. 격자들은 본 발명의 제 1 실시형태에 따라 조밀한 구역들 및 희박한 구역들의 반복 구성들에 의해 정의된다. 이 실시예에서, 2 개의 타겟 쌍들(TH+, TV+ 및 TH-, TV-)이 단일 기판(W)에 나란히 프린트된다. 제 1 타겟 쌍(TH+, TV+)이 제 1 노광 단계(EX1)를 사용하여 프린트된 후, 제 2 타겟 쌍(TH-, TV-)이 동일한 레지스트 층에서 제 2 노광(EX2)을 사용하여 프린트된다. 상기 방법은 비점수차와 같은 여하한의 수차 설정이 적용될 필요가 없다. 상기 방법은 한 쌍의 타겟들 사이에 여하한의 최상의 포커스 오프셋이 유도될 필요가 없다(제외되지는 않음). 상기 방법은 원칙적으로 노광마다 타겟 쌍들을 전혀 필요로 하지 않지만, 별도의 H 및 V 격자들의 제공이 언급된 유럽 특허 출원에 설명된 바와 같이 추가적인 이점들을 제공한다.
수차 설정 대신에, 제 1 포커스 오프셋, 예를 들어 양의 포커스 오차(+F)가 노광(EX1)에서 패턴을 프린트할 때 적용되어 제 1 타겟 쌍(TH+, TV+)을 형성한다. 제 2 포커스 오프셋, 예를 들어 음의 포커스 오차(-F)가 노광(EX2)에서 패턴을 프린트할 때 적용되어 제 2 타겟 쌍(TH-, TV-)을 형성한다. 두 노과들 사이에 작은 위치 오프셋이 적용되어, 타겟들이 레지스트에 나란히 나타나고 겹치지 않도록 한다. 두 노광들 후에만 리소그래피 장치로부터 기판이 제거되며, 레지스트 재료 내의 패턴이 현상된다. 이러한 방식으로 생성된 타겟들은 앞서 설명된 것들과 유사한 방법들을 사용하여 스케터로미터를 사용하여 개별적으로 측정될 수 있다.
언급된 바와 같이, 두 노광들은 프로그램된 포커스 오프셋에 의해 상이하다. 이 포커스 오프셋은 리소그래피 장치를 제어할 때 소정 디포커스를 주입함으로써 직접 실현될 수 있다. 디포커스를 주입하는 것은 통상적으로 기판을 최상의 포커스보다 약간 높거나 낮게 위치시킬 것이다. 또한, 포커스 오프셋은 원하는 경우, 비점수차를 포함한 다른 방식들로 유도될 수 있다. 구현의 더 상세한 내용은 언급된 유럽 특허 출원에 기재되어 있다. 포커스 오프셋들의 여하한의 적절한 조합이 사용될 수 있지만, 동일하고 반대인 포커스 오프셋들(+F 및 -F)이 우수한 선택이다. 타겟당 포커스 오프셋의 크기는 메트롤로지 레시피에서 정의될 문제이지만, 이는 예를 들어 현재 ABF 기술에서 적용되는 비점수차 오프셋의 약 절반일 수 있다.
스케터로미터는 각각의 타겟이 방사선 스폿으로 조명될 때 소정 회절 신호들, 예를 들어 1차 회절 신호들을 측정하는 데 사용된다. 캘리브레이션 웨이퍼(예를 들어, 앞서 설명된 바와 같은 FFRFEM 웨이퍼)에 걸친 이 회절 신호들의 차이 및 평균으로부터, 앞서 설명된 것과 유사한 방식으로 포커스 캘리브레이션 곡선이 구성된다. 이는 차후 프린트되는 타겟들에서의 포커스 오차의 추론을 허용한다.
각각의 쌍 내의 타겟들이 레티클 상의 동일한 패턴으로부터 프린트되고 스케터로미터에서 실질적으로 동일한 경로를 통해 측정되기 때문에, 앞선 차이 메트릭의 캘리브레이션은 ABF 방법에 영향을 줄 수 있는 많은 오차 소스들에 둔감하다. 0이 아닌 포커스 오프셋의 필요성으로 인해, 상기 방법은 제품 웨이퍼에 대한 측정에는 적절하지 않지만, 웨이퍼들 및 센드-어헤드 웨이퍼들을 모니터링하기에는 유용할 수 있다.
보너스로, H 및 V 방위를 갖는 2 개의 타겟 쌍들을 제공함으로써, 상이한 방식으로 회절 신호들을 조합함으로써 추가적인 정보, 특히 비점수차 성능에 관한 정보가 추출될 수 있다. 그러므로, 도 19의 방법은 단지 하나의 노광을 이용하는 알려진 ABF 방법과 비교하여 상이한 포커스 오프셋들로의 2 번의 노광을 필요로 하지만, 비점수차의 비교적 직접적인 측정을 제공하는 추가 이점을 갖는다. 이 방법들의 세부사항은 언급된 유럽 특허 출원에서 제공된다.
도 19(b)에 나타낸 바와 같이, 원리는 1보다 많거나 2 개의 타겟 쌍들로 확장될 수 있다. 4 개의 타겟 쌍들을 갖는 타겟 레이아웃이 도시되며, 여기서 상이한 디자인 파라미터들을 갖는 타겟들이 각각의 노광(EX1, EX2)에서 프린트된다. 도 17에서와 같이, 모두 8 개의 타겟들이 프린트되고, TH1+, TV1+, TH1-, TV1-, TH2+, TV2+, TH2-, TV2-로 표시된다. 각 라벨에서의 문자 'H' 또는 'V'는 격자 라인들의 수평 또는 수직 방위를 나타낸다. 접미사 '1' 또는 '2'는 제 1 또는 제 2 디자인 파라미터들, 예를 들어 상이한 CD 및/또는 피치를 나타낸다. 라벨에서의 접미사 '+' 또는 '-'는 그 격자의 프린팅에서 적용된 노광 및 이에 따른 포커스 오프셋을 나타낸다. 타겟들 중 적어도 일부는 본 발명의 제 1 실시형태에 따라 조밀한 구역들 및 희박한 구역들에 의해 형성된 피처들의 주기적 어레이들을 포함한다.
도 20은 도 19(a) 및 도 19(b)를 참조하여 설명된 기술들의 예시적인 실시예에 따라 리소그래피 공정 동안 포커스 파라미터를 모니터링하는 방법의 단계들의 흐름도이다. 단계들은 다음과 같다:
2200- 시작;
2210- 두 번의 노광들에서 상이한 포커스 오프셋들, 예를 들어 양의 포커스 오프셋(F+) 및 동일하고 반대인 음의 포커스 오프셋(F-)을 사용하여 동일한 레지스트에 연속한 두 노광들에 의해 적어도 제 1 타겟 쌍을 프린트함. 선택적으로, 각각의 노광에서 2 이상의 타겟들을 프린트함;
2220- 각각의 타겟 쌍의 제 1 타겟들(예를 들어, F+ 타겟들)의 검사에 의해 측정들을 수행하여 제 1 측정 값들을 얻음;
2230- 각각의 타겟 쌍의 제 2 타겟들(예를 들어, F- 타겟들)의 검사에 의해 측정들을 수행하여 제 2 측정 값들을 얻음;
2240- 한 쌍의 타겟들의 제 1 타겟 및 제 2 타겟으로부터의 회절 신호들을 조합하여 차이 메트릭을 얻은 후, 캘리브레이션 정보를 사용함으로써 포커스의 측정을 계산함. 선택적으로, 2 이상의 타겟 쌍들로부터의 회절 신호들을 조합하여 조합된 차이 메트릭 및/또는 다수 차이 메트릭들을 얻음;
2250- 후속한 노광들에 대한 포커스 설정에서 계산된 포커스 측정을 사용함;
2270- 한 쌍의 타겟들의 제 1 타겟 및 제 2 타겟으로부터의 회절 신호들을 조합하여 차이 메트릭을 얻은 후, 캘리브레이션 정보를 사용함으로써 비점수차의 측정을 계산함. 선택적으로, 비점수차의 측정이 더 견고하도록 2 이상의 타겟 쌍들로부터의 회절 신호들을 조합하여 조합된 차이 메트릭 및/또는 다수 차이 메트릭들을 얻음;
2280- 후속한 노광들에 대한 캘리브레이션 및/또는 비점수차 설정에서 계산된 비점수차 측정을 사용함;
2290- 종료.
이 단계들 내에서의 더 상세한 내용은 언급된 유럽 특허 출원에 설명되어 있다.
제 6 실시예
도 21은 도 5에 예시된 타입의 스케터로미터가 1 이상의 포커스 메트롤로지 타겟으로부터 양 및 음의 회절 차수들을 포착하는 데 사용되는 제 6 실시예를 나타낸다. 이 실시예에서는, 제 1 방향(예를 들어, X) 및 제 2 방향(Y) 모두에서 주기적인 피처들을 조합하는 2-차원 격자(T)가 사용된다. 본 발명의 제 1 실시형태에 따르면, 격자(T)는 비교적 낮은 밀도의 피처들을 갖는 구역들(더 밝은 해칭 및 문자 S로 나타낸 "희박한 구역")과 인터리빙된 비교적 높은 밀도의 피처들을 갖는 구역들(더 어두운 해칭 및 문자 D로 나타낸 "조밀한 구역")의 반복 구성을 포함한다. 도입부 및 청구항들의 언어에서, "제 1 구역"은 조밀한 구역일 수 있는 한편, 희박한 구역은 "제 2 구역"이다. 조밀한 구역들 각각에서 제 1 피처들(2306)의 반복 구성이 존재하며, 각각의 제 1 피처의 최소 치수는 프린팅 단계의 분해능 한계에 가깝지만 이보다 작지는 않다. 도 21의 삽입된 세부사항에 예시된 바와 같이, 조밀한 구역에서의 제 1 피처들(2306)의 반복 구성은 2310에 나타낸 예시와 같은 셀 패턴에 의해 정의된다. 이와 유사하게, 이 예시에서 희박한 구역에서의 제 1 피처들의 반복 구성은 2312에 나타낸 예시와 같은 셀 패턴에 의해 정의된다. 따라서, 상이한 구역들의 반복 구성은 그 피처들과 함께 제 1 방향(예를 들어, X) 및 제 2 방향(Y) 모두에서 주기적인 타겟 구조체를 정의한다.
도 21의 셀 패턴들(2310 및 2312)은 순전히 예시를 위해 도 8에서 사용된 것과 상이하다. 다양한 셀 패턴들이 상이한 디자인들로 사용되어, 다양한 공정들에 적절한 단일 타겟 디자인에 도달하거나 특정 공정 환경에 특별히 최적화된 타겟 디자인 및 특정 포커스 측정 방법에 도달할 수 있다. 디자인의 또 다른 예시들 및 원리들은 앞서 도 9 내지 도 20을 참조하여 설명하고 예시되었다. 사용될 측정 방법 및 디자인 선택에 의존하여, 2-차원 구성의 구역들을 갖는 타겟의 구역들에서 사용하기 위해 2-차원 특징을 갖는 제 1 피처들이 선호되는지의 여부가 결정된다.
조명 경로(IP)의 퓨필 평면에서의 검사 조명의 분포(줄여서 조명 프로파일이라고 칭해짐)가 2302에 예시되어 있다. 제 1 실시예에서와 같이, 도 5에 나타낸 단극 어퍼처(13S 및 13N) 대신에 세그먼트 어퍼처 디바이스(13)가 사용된다. 이러한 세그먼트 어퍼처를 사용하는 원리들은 제 1 실시예와 실질적으로 동일하다. 하지만, 도입부에서 언급된 본 발명의 제 2 실시형태에 따르면, 조명 프로파일에서의 세그먼트들의 형태는 제 1 실시예와 상이하다. 앞서와 같이, 이 세그먼트 조명 프로파일은 서로 180-도 대칭인, a 및 b로 표시된 2 개의 밝은 세그먼트들을 갖는다. 각각의 밝은 세그먼트로부터 X 및 Y 방향으로는 분포가 어둡다. 이 방향들(X 및 Y)은, 타겟이 검사 방사선의 스폿으로 조명될 때 회절 방향들인 2-차원 격자(T)의 주기 방향들에 대응한다. 이 예시에서는 방향들이 직교하지만, 원칙적으로 이들이 직교할 필요는 없으며, 원칙적으로 2보다 많은 주기 방향들이 존재할 수 있다.
이 실시예에서 세그먼트 조명은, 조명된 구역들의 반경 크기(밝은 세그먼트 a 및 b 모두)가 주기 방향들 사이의 중간 방향들보다 주기 방향들에서 더 크다는 점에서 제 1 실시예와 상이하다. 이 특정예에서, 밝은 세그먼트들은 원의 세그먼트보다는 삼각형이다. 원(2320)이 조명 시스템 퓨필의 한계(NA = 1)를 나타낸다고 가정하면, 삼각형(b)의 코너들(2322 및 2324)은 예를 들어 X 및 Y 방향들에서 이 원까지 완전히 연장된다는 것을 알 수 있을 것이다. 반면에, 이 방향들 사이의 중간 지점(2326)에서, 밝은 세그먼트는 원(2320)의 반경 더 내부의 지점에서 종료된다. 비교를 위해, 원형 세그먼트의 윤곽들은 점선(2328)으로 도시되어 있다. 이 예시에서는 조명된 구역들 각각이 삼각형의 형태를 갖지만, 이 기준을 충족시키는 다른 형상들도 가능하다. 일 예시로서, 조명 프로파일들에서 밝은 삼각형 구역들은 뾰족한 코너들 및 직선 에지들을 갖는 것으로 예시되지만, 둥근 코너들 및/또는 구부러진 에지들이 제공되어 원치 않는 산란 효과들을 감소시킬 수 있다.
이제, 이 상이한 조명 프로파일의 이점은 제 1 방향 및 제 2 방향 모두에서 방사선의 동시 회절로부터 발생하는 회절 신호들 사이의 크로스토크를 감소시키는(이상적으로는 회피하는) 것이다. 세그먼트 조명 프로파일(2302)이 2-차원 격자(T) 상에 검사 방사선의 스폿을 형성하는 데 사용되는 경우, 수집 경로(CP)의 퓨필 평면에서의 방사선의 분포는 2304에 나타낸 바와 같이 된다. 밝은 구역들(a0 및 b0)은 타겟으로부터의 0차 회절 신호들을 나타낸다. 접미사 "+1y" 및 "-1y"로 표시된 구역들은 격자(T)의 한 주기 방향인 Y 방향으로 회절된 +1차 및 -1차 회절 신호들을 나타낸다. 동시에, 접미사 "+1x" 및 "-1x"로 표시된 밝은 구역들은 격자(T)의 또 다른 주기 방향인 X 방향으로 회절된 +1차 및 -1차 회절 신호들을 나타낸다.
주기 방향들 사이의 중간 방향들에서, 조명 프로파일에서의 밝은 세그먼트들(a 및 b)의 제한된 반경 크기로 인해, 고차 회절 신호들도 크기가 제한되어 구역들(2330)에서 오버랩되지 않도록 한다. 흰색 점선 윤곽들(2332)에 의해 예시된 바와 같이, 동일한 회절 각도들은 도 8(a)에 나타낸 더 둥근 세그먼트들의 경우에 상이한 차수들의 오버랩 및 이에 따른 상이한 회절 신호들 사이의 간섭을 야기할 것이다. 삼각형 세그먼트들이 동일한 방식으로 오버랩되지 않는다는 사실은 이 수정된 조명 프로파일이 2-차원 격자들의 존재에서도 더 넓은 범위의 파장 및 격자 피치 조건들 하에서 원하는 회절 신호들이 얻어지게 한다는 것을 나타낸다.
도 22는 수집 경로의 퓨필에서의 또 다른 수정된 조명 프로파일(2402) 및 결과적인 회절 패턴(2404)을 예시한다. 도 21에 예시된 상황과 비교하여, 방사선의 파장이 더 짧고, 및/또는 격자(T)의 주기가 더 길다고 가정한다. 회절 각도들은 더 작아져, 1차 회절 신호들(a+1y) 등이 검출된 회절 패턴(2404)에서 각 방향으로 0차 신호들에 더 가깝게 이동하도록 한다. 또한, 2차 신호들(a+2y 및 a+2x)이 검출 시스템의 어퍼처 내에 포함되기 시작한다. 도 21의 삼각형 세그먼트 조명 프로파일로도, 상이한 회절 차수들이 퓨필에서 오버랩되어 상이한 회절 신호들 사이의 간섭을 초래할 것이다. 이 상황에 대해, 조명의 분포는 원(2403)으로 나타낸 바와 같이 반경 크기가 더 제한될 수 있다. 주기 방향들 사이의 방향들에서의 밝은 세그먼트들의 감소된 반경 크기와 조합하면, 조명 프로파일은 더 짧은 파장의 방사선 및/또는 더 긴 피치의 격자로도 간섭없이 두 방향들에 대한 회절 신호들이 얻어지게 한다.
이 제 6 실시예에서 도 21 및 격자(T)의 디자인으로 돌아가서, 이 예시에서의 제 1 피처들(2306)은 X 방향 및 Y 방향 모두에서 동일한 최소 크기를 갖는다. 이들은 동일한 기판의 다른 곳에서 제품 피처들로서 발견될 수 있는 접촉홀 피처들의 형태와 유사하다. 제 1 피처들은 두 방향들에서 동일한 치수를 가질 필요는 없다. 제 1 피처들(2306)은 개별적으로 조밀한 구역 셀 패턴(2310)과 희박한 구역 셀 패턴(2312) 사이에서 동일하고, 단지 수가 더 적은 것으로 도시되어 있다. 이상, 또 다른 예시들로부터 알 수 있는 바와 같이, 제 1 피처들은 상이한 밀도의 구역들에서 동일할 필요는 없다. 또한, 상이한 밀도를 갖는 구역들 중 하나 또는 다른 구역은 완전히 제 1 피처들이 없을 수 있다.
나타낸 이 제 6 예시에서, 조밀한 구역들 및 희박한 구역들의 폭들은 동일하고, 반복 셀 패턴들의 폭/높이도 동일하다. 조밀한 구역들 및 희박한 구역들의 폭들은 나타낸 것처럼 동일할 필요는 없다. 반복 셀 패턴들의 폭/높이도 동일할 필요는 없다. 타겟의 주기들(Px 및 Py)은 두 방향들에서 동일한 것으로 나타내지만, 동일할 필요는 없다.
피처들의 동일한 주기적 어레이로부터 동시에 2 이상의 상이한 방향들에서 회절 신호들을 얻는 시설은 상이한 방식들로 이용될 수 있다. 하나의 이점은 상이한 회절 방향들로부터의 회절 신호들이 검사 장치(스케터로미터)에서 상이한 경로들을 통해 진행한다는 것이다. 이 상이한 회절 신호들을 함께 조합함으로써, 검사 장치 내의 불완전에 대해 더 견고한 포커스 또는 다른 파라미터들의 측정들이 얻어질 수 있다. 제 6 실시예의 2-차원 타겟 격자(T)는 단일 격자로부터 두 방향들에 대한 회절 신호들이 동시에 획득될 수 있다는 장점을 갖지만, 유사한 디자인 및 공정 환경에 대해 제 1 실시예의 1-차원 타겟들(TH 및 TV)이 통상적으로 더 강한 회절 신호들을 제공할 것이다. 그러므로, 어느 타겟이 더 우수하게 사용될지는 각각의 상황에 의존할 것이다.
앞선 원리들에 기초하여, 도 21에 예시된 방식으로 포커스 민감성 회절 신호들을 제공할 많은 상이한 포커스 메트롤로지 타겟들 및 타겟 쌍들이 디자인될 수 있다. 적절한 조명 및 신호 처리와 조합하여 이 타겟 디자인들을 사용하는 방법들이 리소그래피 장치의 포커스 핑거프린트를 정확하게 측정, 모니터링 및 제어하기 위해 적용될 수 있다. 원하는 경우, 필드 간 및/또는 필드 내 포커스 핑거프린트들이 개별적으로 측정 및 보고될 수 있다. 개선된 포커스 핑거프린트들은 대량, 고-밀도 포커스 측정들을 위한 ABF 기술과 같은 기술들의 잠재력을 활용하고, 이에 따라 고급 공정 제어 및 전산 메트롤로지 기술들을 가능하게 하기 위해 사용될 수 있다.
상이한 밀도의 구역들의 2-차원 구성들을 갖는 타겟들은 ABF 기술로의 적용에 제한되지 않는다. 도 23은 도 16 내지 도 18의 +AST/-AST 방법의 변형에서 상이한 수차 설정들로 프린트된, 각각 도 21의 타겟(T)의 형태를 갖는 한 쌍의 타겟들(T+ 및 T-)을 예시한다. 유사하게, 도 24는 도 16 내지 도 18의 +F/-F 방법의 변형에서 상이한 포커스 설정들로 프린트된, 각각 도 21의 타겟(T)의 형태를 갖는 한 쌍의 타겟들(T+ 및 T-)을 예시한다.
도 21, 도 23 및 도 24는 전체 타겟에 걸쳐 2-차원 특징인 구역들의 구성을 나타내지만, 2-차원 특징인 타겟들은 타겟의 주어진 구역에서 제 1 주기 방향을 갖는 1-차원 특징 및 또 다른 구역에서 제 2 주기 방향을 갖는 1-차원 특징인 구역들의 구성을 제공함으로써 이루어질 수도 있다.
도 25는 이 타입의 타겟들의 일부 변형예들 (a) 내지 (e)를 예시한다. 각각의 타겟(T)은 X 축과 정렬된 제 1 주기 방향으로 배치된 구역들을 갖는 1 이상의 영역들, 및 Y 축과 정렬된 제 2 주기 방향으로 배치된 구역들을 갖는 1 이상의 다른 영역들을 갖는다. 원(S)은 검사 장치, 예를 들어 도 5의 스케터로미터 장치의 스폿으로서 나타낸다. 알 수 있는 바와 같이, 스케터로미터의 퓨필 내에서, 회절 신호들은 두 방향들에서 얻어질 것이다. 도입부 및 청구항들의 용어에서, 도 25의 타겟들(T)은 앞선 실시예에서 정의된 바와 같이 제 1 구역들 및 제 2 구역들을 포함하는 피처들의 1 이상의 제 1 주기적 어레이에, 제 3 구역들 및 제 4 구역들을 포함하는 피처들의 1 이상의 제 2 주기적 어레이를 더한 것을 포함함을 알 수 있다. 앞선 실시예들과 비교하여 차이점은, 제 1 주기적 어레이(들) 및 제 2 주기적 어레이(들)가 검사 장치의 스폿(S) 내에서 단일 타겟으로서 함께 판독되도록 충분히 작고 가깝다는 것이다. 스폿(S)은 예를 들어 20 nm, 또는 30 또는 40 nm의 직경을 가질 수 있다. 도 25(d) 및 (e)의 구성들은 (a) 내지 (c)의 구성들보다 유리할 수 있으며, 이들은 스폿 영역의 사용을 최대화한다.
도 25를 참조하면, 스폿(S)이 타겟보다 작을 필요는 없음을 유의한다. 특정 실시예들에서, 스폿(S)은 타겟보다 클 수 있다. 또한, 스케터로미터의 퓨필 평면에서 측정할 필요는 없으며, 측정들이 이미지 평면에서 수행될 수도 있음을 유의한다. 특히, 오버레이 측정을 위해 유사한 타겟들이 상이한 층들 내의 격자들과 사용될 수 있고, 타겟과 부분적으로 겹치지 않는 스폿(S)이 스케터로미터의 이미지 평면에서 신호들을 기록함으로써 오버레이를 측정하는 데 사용될 수 있다. 이러한 오버레이 측정들의 예시들은 예를 들어 공개된 특허 출원 US 20160334715 A1에 개시되어 있으며, 이 문헌은 본 명세서에서 그 전문이 인용참조된다. 인용된 특허 출원에 따른 오버레이의 측정을 위해, 상이한 층들 내의 격자들 사이에 편향(bias)이 도입될 수 있다. 대안적으로, 단일 타겟의 상이한 영역들이 앞서 설명된 특허 출원에 기재된 방법들에 따라 오버레이를 측정하기 위해 상이한 편향들을 가질 수 있다. 예를 들어, 도 25(e)의 타겟에 대해, 타겟의 왼쪽 삼각형 사분면의 층의 격자는 타겟의 다른 층에 대해 편향을 갖고, 동시에 그 타겟의 오른쪽 삼각형 사분면 층의 격자는 다른 층에 대해 반대 편향을 갖는다. 이에 의해 에지 효과들의 증가가 방지될 수 있다. 앞서 인용된 특허 출원의 교시에 기초하여, 당업자는 에지 효과들을 더 감소시키기 위해 타겟을 더 수정할 수 있다.
이제 도 26을 참조하면, 원칙적으로 본 발명의 제 2 실시형태의 실시예들에서의 2보다 많은 주기 방향들이 존재할 수 있으며, 주기 방향들은 직교할 필요가 없다고 앞서 언급되었다. 도 21은 2-차원 격자(T)가 사용되는 제 6 실시예의 변형을 나타내며, 이는 3 개의 방향에서 주기적인 피처들을 조합한다. 격자(T)는 각각의 구역에서 상이한 밀도들을 갖는 구역들, 또는 단순한 바아들 및 공간들의 반복 구성을 포함한다. 주기 방향은 D, E, F로 표시된 세 방향들에 대응하는 세 구역들에서 상이하다. 방향들은 120 도 떨어져 있다.
조명 경로(IP)의 퓨필 평면에서의 검사 조명의 분포(줄여서 조명 프로파일이라고 칭해짐)가 2602에 예시되어 있다. 제 1 실시예에서와 같이, 도 5에 나타낸 단극 어퍼처(13S 및 13N) 대신에 세그먼트 어퍼처 디바이스(13)가 사용된다. 이러한 세그먼트 어퍼처를 사용하는 원리들은 제 1 실시예와 실질적으로 동일하다. 3-방향 타겟으로의 사용에 대해, 이 세그먼트 조명 프로파일은 서로 120-도 대칭인, a, b 및 c로 표시된 3 개의 밝은 세그먼트들을 갖는다. 각각의 밝은 세그먼트로부터 세 방향들(D, E, F)로는 분포가 어둡다. 이 방향들은, 타겟이 검사 방사선의 스폿으로 조명될 때 회절 방향들인 2-차원 격자(T)의 주기 방향들에 대응한다.
이 변형예에서 세그먼트 조명은 다시 조명된 구역들의 반경 크기(밝은 세그먼트들 a, b 및 c 모두)가 주기 방향들 사이의 중간 방향들보다 주기 방향들에서 더 크다는 특성을 갖는다. 이미 언급된 바와 같이, 둥근 코너들 및/또는 구부러진 에지들이 밝은 세그먼트들에 제공되어 원치 않는 산란 효과들을 감소시킬 수 있다. 또한, 비교를 위해 도 22를 참조하면, 밝은 세그먼트들의 반경 크기는 원(2622)으로 나타낸, 반경(NA = 1)보다 작은 반경으로 제한될 수 있다.
세그먼트 조명 프로파일(2602)이 2-차원 격자(T) 상에 검사 방사선의 스폿을 형성하는 데 사용되는 경우, 수집 경로(CP)의 퓨필 평면에서의 방사선의 분포는 2604에 나타낸 바와 같이 된다. a0, b0 및 c0로 표시된 밝은 구역들(2630)은 타겟으로부터의 0차 회절 신호들을 나타낸다. 퓨필의 중심에서 a-1d, b-1e 및 c-1f로 표시된 구역들(2632)은 세그먼트들(a, b 및 c)로부터 각각 D, E 및 F 방향들로 회절된 -1차 회절 신호들을 나타낸다. 퓨필의 외측 구역에서, +1차 회절 신호들(2634)은 0차 신호들 사이의 공간들에서 적어도 부분적으로 퓨필 내에 속한다. 다른 +1차 및 -1차 회절이 예시되지만 표시되어 있지는 않다.
주기 방향들(D, E 및 F) 사이의 중간 방향들에서, 조명 프로파일에서의 밝은 세그먼트들(a, b 및 c)의 제한된 반경 크기로 인해, 고차 회절 신호들도 크기가 제한되어 중심 구역들(2632) 또는 외측 구역들(2634)에서 다른 회절 신호들과 오버랩되지 않도록 한다. 회절된 세그먼트들이 동일한 방식으로 오버랩되지 않는다는 사실은 이 수정된 조명 프로파일이 2-차원 격자들의 존재에서도 더 넓은 범위의 파장 및 격자 피치 조건들 하에서 원하는 회절 신호들이 얻어지게 한다는 것을 나타낸다.
3 개의 주기 방향들을 갖는 타겟들(T)은 본 발명의 제 1 실시형태에서 정의된 바와 같이 제 1 피처들 및/또는 제 2 피처들을 포함하는 구역들을 포함한 상이한 밀도의 구역들의 구성들을 포함할 수 있다. 원하는 경우, 제 1 및 제 2 피처들 및 셀 패턴들은 3 개의 주기 방향들에 순응될 수 있다. 3 개의 주기 방향을 갖는 타겟들은 포커스 또는 수차와 관련없는 오버레이 및 다른 속성들을 측정하기 위해 단순한 바아들 또는 세그먼트 바아들로 형성될 수 있다.
결론
앞선 기술들은 리소그래피 제조 시설에서의 포커스 및/또는 수차 성능의 측정에서 다양한 문제들을 해결한다.
본 발명의 제 1 실시형태는 전형적인 디자인 규칙들과 호환가능하고, 이에 따라 대량 제조에서의 포커스 모니터링에 적절한 포커스 메트롤로지 타겟들을 제공한다. 포커스 메트롤로지 타겟들은 패터닝 디바이스(레티클) 상의 패턴들에 의해, 또는 디지털 형태로 저장된 마스크리스 패턴(maskless pattern)들로 정의될 수 있다.
메트롤로지 타겟들의 소정 피처들이 프린팅 단계의 분해능 한계에 가깝지만 이보다 작지는 않은 최소 치수를 갖는다는 요건은, 그 자체로 프린트되지 않지만 프린트되기에 충분히 큰 피처들의 프린팅을 향상시키는 소위 "어시스트 피처들"이 패터닝 디바이스에 제공될 가능성을 배제하지 않아야 한다.
본 발명의 제 2 실시형태는 상이한 방향들로의 회절 신호들 사이의 간섭 위험이 감소된, 타겟 구조체들을 검사하는 방법 및 장치를 제공한다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 355, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
특정 실시예들의 앞선 설명은, 당업계의 지식을 적용함으로써, 다양한 적용들에 대해 본 발명의 일반적인 개념을 벗어나지 않고 지나친 실험 없이 이러한 특정 실시예들을 쉽게 변형하고, 및/또는 응용할 수 있도록 본 발명의 일반적인 성질을 전부 드러낼 것이다. 그러므로, 이러한 응용예 및 변형예들은 본 명세서에 나타낸 교시 및 안내에 기초하여, 기재된 실시예들의 균등물의 의미 및 범위 내에 있도록 의도된다. 본 명세서에서, 어구 또는 전문 용어는 예시에 의한 설명을 위한 것이며 제한하려는 것이 아니므로, 당업자라면 본 명세서의 전문 용어 또는 어구가 교시 및 안내를 고려하여 해석되어야 한다는 것을 이해하여야 한다.
본 발명의 추가 실시예들이 아래의 번호가 매겨진 실시예들의 목록에 개시되어 있다:
1. 리소그래피 장치의 포커스 성능을 측정하는 방법으로서,
(a) 리소그래피 장치를 사용하여 기판 상에 적어도 제 1 포커스 메트롤로지 타겟을 프린트하는 단계 -프린트된 포커스 메트롤로지 타겟은 적어도 피처들의 제 1 주기적 어레이를 포함함- ;
(b) 검사 방사선을 사용하여 프린트된 포커스 메트롤로지 타겟 내의 제 1 주기적 어레이로부터 1 이상의 회절 신호를 얻는 단계; 및
(c) 단계 (b)에서 얻어진 회절 신호들에 적어도 부분적으로 기초하여 포커스 성능의 측정을 도출하는 단계를 포함하며,
상기 제 1 주기적 어레이는 적어도 제 1 주기 방향으로 제 2 구역들과 인터리빙되는 제 1 구역들의 반복 구성을 포함하고, 제 1 구역들 및 제 2 구역들에서 피처 밀도가 상이하며,
상기 제 1 구역들 각각은 제 1 피처들의 반복 구성을 포함하고, 각각의 제 1 피처의 최소 치수는 프린팅 단계의 분해능 한계에 가깝지만 이보다 작지는 않은 방법.
2. 실시예 1에 있어서, 상기 제 1 구역들 및 제 2 구역들은 상기 제 1 주기 방향으로만 인터리빙되는 방법.
3. 실시예 1 또는 실시예 2에 있어서, 상기 포커스 메트롤로지 타겟은 피처들의 제 2 주기적 어레이를 더 포함하며,
상기 제 2 주기적 어레이는 제 4 구역들과 인터리빙되는 제 3 구역들의 반복 구성을 포함하고, 제 3 구역들 및 제 4 구역들에서 피처 밀도가 상이하며,
상기 제 3 구역들 각각은 제 3 피처들의 반복 구성을 포함하고, 각각의 제 3 피처의 최소 치수는 프린팅 단계의 분해능 한계에 가깝지만 이보다 작지는 않으며,
단계 (b)는 프린트된 포커스 메트롤로지 타겟 내의 제 2 주기적 어레이로부터 1 이상의 회절 신호를 얻는 단계를 더 포함하는 방법.
4. 실시예 3에 있어서, 상기 제 3 구역들은 제 1 주기 방향에 직교인 제 2 주기 방향으로 상기 제 4 구역들과 인터리빙되는 방법.
5. 실시예 3 또는 실시예 4에 있어서, 상기 제 1 구역들 및 제 3 구역들의 피처 밀도는 동일하고, 제 2 구역들 및 제 4 구역들의 피처 밀도는 동일한 방법.
6. 실시예 5에 있어서, 제 2 주기적 어레이는 제 1 주기적 어레이와 동일하지만, 90 도 회전되어 있는 방법.
7. 실시예 3에 있어서, 상기 제 3 구역들은 제 1 주기 방향으로 상기 제 4 구역들과 인터리빙되고, 피처들의 제 1 주기적 구성 및 피처들의 제 2 주기적 구성은 1 이상의 디자인 파라미터에서 상이한 방법.
8. 실시예 1 또는 실시예 2에 있어서, 상기 제 1 구역들 및 제 2 구역들은 상기 제 1 주기 방향, 및 제 1 주기 방향에 직교인 제 2 주기 방향으로 인터리빙되는 방법.
9. 앞선 실시예들 중 어느 하나에 있어서, 상기 제 1 구역들 내의 제 1 피처들은 상기 최소 치수에 대응하는 폭 및 상기 최소 치수보다 긴 길이를 갖는 라인들을 포함하는 방법.
10. 실시예 9에 있어서, 상기 제 1 구역들 내의 제 1 피처들은 세절된 라인들을 포함하고, 각각의 피처는 상기 최소 치수에 대응하는 폭 및 상기 최소 치수보다 길지만 상기 제 1 주기적 어레이의 주기의 1/4보다 작은 길이를 갖는 방법.
11. 앞선 실시예들 중 어느 하나에 있어서, 상기 제 1 피처들은 상기 최소 치수에 대응하는 폭을 갖고 상이한 방위들을 갖는 라인 세그먼트들을 포함하는 방법.
12. 실시예 11에 있어서, 상이한 방위들을 갖는 상기 라인 세그먼트들 중 적어도 일부는 서로 접합 피처들을 형성하는 방법.
13. 실시예 1 내지 실시예 8 중 어느 하나에 있어서, 상기 제 1 피처들은 두 방향들에서 상기 최소 치수를 갖는 피처들을 포함하는 방법.
14. 앞선 실시예들 중 어느 하나에 있어서, 상기 제 2 구역들 각각도 최소 치수가 프린팅 단계의 분해능 한계에 가깝지만 이보다 작지는 않은 피처들의 반복 구성을 포함하는 방법.
15. 실시예 14에 있어서, 제 2 구역들 내의 피처들은 제 1 구역들 내의 제 1 피처들의 형태와 유사하지만, 상이한 밀도로 제공되는 방법.
16. 앞선 실시예들 중 어느 하나에 있어서, 피처 밀도는 제 2 구역들보다 제 1 구역들에서 더 큰 방법.
17. 실시예 16에 있어서, 상기 제 1 구역들 각각은 제 2 피처들의 반복 구성을 더 포함하고, 각각의 제 2 피처의 최소 치수는 제 1 피처들의 최소 치수의 적어도 2 배인 방법.
18. 앞선 실시예들 중 어느 하나에 있어서, 단계 (b)에서 회절 신호들은 150 nm보다 긴 파장을 갖는 방사선을 사용하여 얻어지는 한편, 상기 제 1 피처들의 최소 치수는 40 nm 미만인 방법.
19. 앞선 실시예들 중 어느 하나에 있어서, 단계 (b)에서 검사 방사선은 150 nm보다 긴 파장을 갖는 한편, 포커스 메트롤로지 패턴을 프린트하기 위해 단계 (a)에서 사용되는 방사선의 파장은 20 nm 미만인 방법.
20. 앞선 실시예들 중 어느 하나에 있어서, 상기 포커스 메트롤로지 패턴 내의 피처들의 제 1 주기적 어레이의 주기는 350 nm보다 큰 방법.
21. 실시예 2에 있어서, 상기 포커스 메트롤로지 타겟은 피처들의 제 2 주기적 어레이를 더 포함하며, 상기 제 2 주기적 어레이는 제 4 구역들과 인터리빙되는 제 3 구역들의 반복 구성을 포함하고, 제 3 구역들 및 제 4 구역들에서 피처 밀도가 상이하며,
상기 제 3 구역들 각각은 제 3 피처들의 반복 구성을 포함하고, 각각의 제 3 피처의 최소 치수는 프린팅 단계의 분해능 한계에 가깝지만 이보다 작지는 않으며,
단계 (a)에서 제 1 포커스 메트롤로지 타겟은 리소그래피 장치에 의해 제 1 주기적 어레이와 제 2 주기적 어레이 사이의 상대적인 최상의 포커스 오프셋을 유도하는 수차 설정으로 프린트되는 방법.
22. 실시예 21에 있어서, 단계 (b)에서 상기 회절 신호들은 상기 제 1 주기적 어레이로부터 산란된 방사선의 회절 차수의 세기를 나타내는 신호들 및 제 2 주기적 어레이로부터 산란된 방사선의 대응하는 회절 차수의 세기를 나타내는 신호들을 포함하는 방법.
22a. 실시예 22에 있어서, 단계 (b)에서 제 1 주기적 어레이 및 제 2 주기적 어레이는 단일 타겟으로서 동시에 조명되고, 제 1 주기적 어레이로부터 산란된 회절 신호들 및 제 2 주기적 어레이로부터 산란된 회절 신호들은 동시에 포착되는 방법.
23. 실시예 8 또는 실시예 8에 종속하는 실시예 9 내지 실시예 20 중 어느 하나에 있어서, 단계 (a)에서 제 1 포커스 메트롤로지 타겟은 리소그래피 장치에 의해 상기 제 1 주기 방향을 갖는 피처들과 상기 제 2 주기 방향을 갖는 피처들 사이의 상대적인 최상의 포커스 오프셋을 유도하는 적어도 제 1 수차 설정으로 프린트되는 방법.
24. 실시예 23에 있어서, 단계 (b)에서 상기 회절 신호들은 상기 주기적 어레이로부터 상기 제 1 주기 방향으로 산란된 방사선의 회절 차수의 세기를 나타내는 신호들 및 제 2 주기적 어레이로부터 상기 제 2 주기 방향으로 산란된 방사선의 회절 차수의 세기를 나타내는 신호들을 포함하는 방법.
24a. 실시예 24에 있어서, 단계 (b)에서 제 1 주기적 어레이 및 제 2 주기적 어레이는 단일 타겟으로서 동시에 조명되고, 제 1 주기적 어레이로부터 산란된 회절 신호들 및 제 2 주기적 어레이로부터 산란된 회절 신호들은 동시에 포착되는 방법.
25. 실시예 1 내지 실시예 20 중 어느 하나에 있어서, 단계 (b)에서 제 2 포커스 메트롤로지 타겟은 제 1 수차 설정과 상이한 부호를 갖는 리소그래피 장치의 제 2 수차 설정을 사용하여 동일한 또는 상이한 기판 상에 프린트되고, 단계 (c)에서 제 1 및 제 2 메트롤로지 패턴들로부터의 회절 신호들이 조합되어 리소그래피 장치의 수차 성능의 드리프트에 둔감한 방식으로 상기 포커스 파라미터를 결정하는 방법.
26. 실시예 1 내지 실시예 20 중 어느 하나에 있어서, 단계 (b)에서 상기 제 1 포커스 메트롤로지 타겟 및 제 2 포커스 메트롤로지 타겟은 포커스 오프셋을 변화시키고 제 2 포커스 메트롤로지 타겟이 제 1 포커스 메트롤로지 타겟에 인접하지만 오프셋되도록 위치 오프셋을 추가하여 기판 상의 동일한 레지스트 층에 타겟 패턴을 두 번 프린트함으로써 프린트되는 방법.
27. 앞선 실시예들 중 어느 하나에 있어서, 상기 방법은 기판에 걸친 다수 위치들에서 반복되어 기판에 걸친 리소그래피 장치의 포커스 성능의 변동을 측정하는 방법.
28. 앞선 실시예들 중 어느 하나에 있어서, 상기 방법은 기판들을 모니터링할 때 수행되고, 포커스 성능의 측정들은 제품 기판들의 처리 시 포커스 보정들을 적용하는 데 사용되는 방법.
29. 앞선 실시예들 중 어느 하나에 있어서, 상기 포커스 메트롤로지 타겟(들)을 정의하는 패턴들은 단계 (b)에서 상기 제 1 주기적 어레이로부터의 회절 신호들의 포커스-의존적 비대칭을 도입하는 피처들을 포함하지 않는 방법.
30. 리소그래피 공정을 사용하여 일련의 기판들에 디바이스 패턴이 적용되는 디바이스 제조 방법으로서,
상기 포커스 파라미터를 모니터링하기 위해 앞선 실시예들 중 어느 하나의 방법을 사용하는 단계; 및
결정된 포커스 파라미터에 따라 차후 기판들에 대한 리소그래피 공정을 제어하는 단계를 포함하는 방법.
31. 리소그래피 장치에서 사용하기 위한 패터닝 디바이스로서, 패터닝 디바이스는 상기 리소그래피에 의해 프린트될 때 1 이상의 디바이스 패턴 및 1 이상의 메트롤로지 패턴의 피처들을 정의하는 대조적인 부분들을 포함하며, 메트롤로지 패턴들은 적어도 제 1 포커스 메트롤로지 타겟을 포함하고, 포커스 메트롤로지 타겟은 적어도 피처들의 제 1 주기적 어레이를 포함하며,
상기 제 1 주기적 어레이는 적어도 제 1 주기 방향으로 제 2 구역들과 인터리빙되는 제 1 구역들의 반복 구성을 포함하고, 제 1 구역들 및 제 2 구역들에서 피처 밀도가 상이하며,
상기 제 1 구역들 각각은 제 1 피처들의 반복 구성을 포함하고, 각각의 제 1 피처의 최소 치수는 상기 리소그래피 장치에 의한 프린팅의 분해능 한계에 가깝지만 이보다 작지는 않은 패터닝 디바이스.
32. 실시예 31에 있어서, 상기 제 1 구역들 및 제 2 구역들은 상기 제 1 주기 방향으로만 인터리빙되는 패터닝 디바이스.
33. 실시예 31 또는 실시예 32에 있어서, 상기 포커스 메트롤로지 타겟은 피처들의 제 2 주기적 어레이를 더 포함하며,
상기 제 2 주기적 어레이는 제 4 구역들과 인터리빙되는 제 3 구역들의 반복 구성을 포함하고, 제 3 구역들 및 제 4 구역들에서 피처 밀도가 상이하며,
상기 제 3 구역들 각각은 제 3 피처들의 반복 구성을 포함하고, 각각의 제 3 피처의 최소 치수는 프린팅 단계의 분해능 한계에 가깝지만 이보다 작지는 않은 패터닝 디바이스.
34. 실시예 33에 있어서, 상기 제 3 구역들은 제 1 주기 방향에 직교인 제 2 주기 방향으로 상기 제 4 구역들과 인터리빙되는 패터닝 디바이스.
35. 실시예 33 또는 실시예 34에 있어서, 상기 제 1 구역들 및 제 3 구역들의 피처 밀도는 동일하고, 제 2 구역들 및 제 4 구역들의 피처 밀도는 동일한 패터닝 디바이스.
36. 실시예 35에 있어서, 제 2 주기적 어레이는 제 1 주기적 어레이와 동일하지만, 90 도 회전되어 있는 패터닝 디바이스.
37. 실시예 33에 있어서, 상기 제 3 구역들은 제 1 주기 방향으로 상기 제 4 구역들과 인터리빙되고, 피처들의 제 1 주기적 구성 및 피처들의 제 2 주기적 구성은 1 이상의 디자인 파라미터에서 상이한 패터닝 디바이스.
37a. 실시예 31 또는 실시예 32에 있어서, 상기 제 1 구역들 및 제 2 구역들은 상기 제 1 주기 방향, 및 제 1 주기 방향에 직교인 제 2 주기 방향으로 인터리빙되는 패터닝 디바이스.
38. 실시예 31 내지 실시예 37a 중 어느 하나에 있어서, 상기 제 1 구역들 내의 제 1 피처들은 상기 최소 치수에 대응하는 폭 및 상기 최소 치수보다 긴 길이를 갖는 라인들을 포함하는 패터닝 디바이스.
39. 실시예 38에 있어서, 상기 제 1 구역들 내의 제 1 피처들은 세절된 라인들을 포함하고, 각각의 피처는 상기 최소 치수에 대응하는 폭 및 상기 최소 치수보다 길지만 상기 제 1 주기적 어레이의 주기의 1/4보다 작은 길이를 갖는 패터닝 디바이스.
40. 실시예 31 내지 실시예 39 중 어느 하나에 있어서, 상기 제 1 피처들은 상기 최소 치수에 대응하는 폭을 갖고 상이한 방위들을 갖는 라인 세그먼트들을 포함하는 패터닝 디바이스.
41. 실시예 40에 있어서, 상이한 방위들을 갖는 상기 라인 세그먼트들 중 적어도 일부는 서로 접합 피처들을 형성하는 패터닝 디바이스.
42. 실시예 31 내지 실시예 37 중 어느 하나에 있어서, 상기 제 1 피처들은 두 방향들에서 상기 최소 치수를 갖는 피처들을 포함하는 패터닝 디바이스.
43. 실시예 31 내지 실시예 42 중 어느 하나에 있어서, 상기 제 2 구역들 각각도 최소 치수가 상기 리소그래피 장치에 의한 프린팅의 분해능 한계에 가깝지만 이보다 작지는 않은 피처들의 반복 구성을 포함하는 패터닝 디바이스.
44. 실시예 43에 있어서, 제 2 구역들 내의 피처들은 제 1 구역들 내의 제 1 피처들의 형태와 유사하지만, 상이한 밀도로 제공되는 패터닝 디바이스.
45. 실시예 1 내지 실시예 44 중 어느 하나에 있어서, 피처 밀도는 제 2 구역들보다 제 1 구역들에서 더 큰 패터닝 디바이스.
46. 실시예 45에 있어서, 상기 제 1 구역들 각각은 제 2 피처들의 반복 구성을 더 포함하고, 각각의 제 2 피처의 최소 치수는 제 1 피처들의 최소 치수의 적어도 2 배인 패터닝 디바이스.
47. 실시예 31 내지 실시예 46 중 어느 하나에 있어서, 상기 포커스 메트롤로지 패턴 내의 피처들의 제 1 주기적 어레이의 주기는 350 nm보다 큰 패터닝 디바이스.
48. 실시예 32에 있어서, 상기 포커스 메트롤로지 타겟은 피처들의 제 2 주기적 어레이를 더 포함하며, 상기 제 2 주기적 어레이는 제 4 구역들과 인터리빙되는 제 3 구역들의 반복 구성을 포함하고, 제 3 구역들 및 제 4 구역들에서 피처 밀도가 상이하며,
상기 제 3 구역들 각각은 제 3 피처들의 반복 구성을 포함하고, 각각의 제 3 피처의 최소 치수는 상기 리소그래피 장치의 프린팅의 분해능 한계에 가깝지만 이보다 작지는 않은 패터닝 디바이스.
48a. 실시예 48에 있어서, 상기 제 1 주기적 어레이 및 상기 제 2 주기적 어레이는, 예를 들어 20 nm, 또는 30 또는 40 nm의 직경을 갖는 방사선의 스폿에 의한 검사를 위해 단일 타겟 영역 내에 제공되는 패터닝 디바이스.
49. 실시예 31 내지 실시예 48 중 어느 하나에 있어서, 상기 포커스 메트롤로지 타겟(들)을 정의하는 패턴들은 상기 리소그래피 장치에 의한 프린팅에서 상기 제 1 주기적 어레이에 포커스-의존적 비대칭을 도입하는 피처들을 포함하지 않는 패터닝 디바이스.
50. 실시예 31 내지 실시예 49 중 어느 하나에 있어서, 상기 대조적인 부분들은 5 내지 20 nm 범위 내의 파장의 방사선에 대해 반사성 및 비-반사성 부분들인 패터닝 디바이스.
51. 리소그래피 공정을 사용하여 일련의 기판들에 디바이스 패턴이 적용되는 디바이스 제조 방법으로서,
상기 리소그래피 공정의 성능 파라미터를 모니터링하기 위해 앞선 실시예들 중 어느 하나의 방법을 사용하는 단계; 및
결정된 성능 파라미터에 따라 차후 기판들에 대한 리소그래피 공정을 제어하는 단계를 포함하는 방법.
본 발명의 범위와 폭은 상술된 예시적인 실시예들 중 어느 것에 의해서도 제한되지 않아야 하며, 다음의 청구항 및 그 균등물에 따라서만 정의되어야 한다.

Claims (14)

  1. 리소그래피 장치의 포커스 성능을 측정하는 방법으로서,
    (a) 상기 리소그래피 장치를 사용하여 기판 상에 적어도 제 1 포커스 메트롤로지 타겟을 프린트하는 단계 -프린트된 포커스 메트롤로지 타겟은 적어도 피처(feature)들의 제 1 주기적 어레이를 포함함- ;
    (b) 검사 방사선을 사용하여 상기 프린트된 포커스 메트롤로지 타겟 내의 제 1 주기적 어레이로부터 1 이상의 회절 신호를 얻는 단계; 및
    (c) 단계 (b)에서 얻어진 회절 신호들에 적어도 부분적으로 기초하여 포커스 성능의 측정을 도출하는 단계
    를 포함하며,
    상기 제 1 주기적 어레이는 적어도 제 1 주기 방향으로 제 2 구역들과 인터리빙(interleave)되는 제 1 구역들의 반복 구성을 포함하고, 상기 제 1 구역들 및 상기 제 2 구역들에서 피처 밀도가 상이하며,
    상기 제 1 구역들 각각은 제 1 피처들의 반복 구성을 포함하고, 각각의 제 1 피처의 최소 치수는 상기 프린트하는 단계의 분해능 한계(resolution limit)에 가깝지만 이보다 작지는 않고,
    상기 제 1 구역들 및 상기 제 2 구역들은, 상기 검사 방사선의 조명 스폿이 상기 상기 제 1 구역들 및 상기 제 2 구역들 둘 모두를 동시에 조명하도록 배치된, 방법.
  2. 제 1 항에 있어서,
    상기 제 1 구역들 및 제 2 구역들은 상기 제 1 주기 방향으로만 인터리빙되는 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 포커스 메트롤로지 타겟은 피처들의 제 2 주기적 어레이를 더 포함하며,
    상기 제 2 주기적 어레이는 제 4 구역들과 인터리빙되는 제 3 구역들의 반복 구성을 포함하고, 상기 제 3 구역들 및 상기 제 4 구역들에서 피처 밀도가 상이하며,
    상기 제 3 구역들 각각은 제 3 피처들의 반복 구성을 포함하고, 각각의 제 3 피처의 최소 치수는 상기 프린트하는 단계의 분해능 한계에 가깝지만 이보다 작지는 않으며,
    단계 (b)는 상기 프린트된 포커스 메트롤로지 타겟 내의 제 2 주기적 어레이로부터 1 이상의 회절 신호를 얻는 단계를 더 포함하는 방법.
  4. 제 3 항에 있어서,
    상기 제 3 구역들은 상기 제 1 주기 방향에 직교인 제 2 주기 방향으로 상기 제 4 구역들과 인터리빙되는 방법.
  5. 제 3 항에 있어서,
    상기 제 1 구역들 및 제 3 구역들의 피처 밀도는 동일하고, 상기 제 2 구역들 및 제 4 구역들의 피처 밀도는 동일한 방법.
  6. 제 5 항에 있어서,
    상기 제 2 주기적 어레이는 상기 제 1 주기적 어레이와 동일하지만, 90 도 회전되어 있는 방법.
  7. 제 3 항에 있어서,
    상기 제 3 구역들은 상기 제 1 주기 방향으로 상기 제 4 구역들과 인터리빙되고, 피처들의 제 1 주기적 구성 및 피처들의 제 2 주기적 구성은 1 이상의 디자인 파라미터에서 상이한 방법.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 구역들 내의 제 1 피처들은 상기 최소 치수에 대응하는 폭 및 상기 최소 치수보다 긴 길이를 갖는 라인들을 포함하는 방법.
  9. 제 8 항에 있어서,
    상기 제 1 구역들 내의 제 1 피처들은 세절된 라인(chopped line)들을 포함하고, 각각의 피처는 상기 최소 치수에 대응하는 폭 및 상기 최소 치수보다 길지만 상기 제 1 주기적 어레이의 주기의 1/4보다 작은 길이를 갖는 방법.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 피처들은 상기 최소 치수에 대응하는 폭을 갖고 상이한 방위(orientation)들을 갖는 라인 세그먼트(line segment)들을 포함하는 방법.
  11. 리소그래피 공정을 사용하여 일련의 기판들에 디바이스 패턴이 적용되는 디바이스들을 제조하는 방법으로서,
    상기 리소그래피 공정의 성능 파라미터를 모니터링하기 위해 제 1 항 또는 제 2 항의 방법을 사용하는 단계; 및
    결정된 성능 파라미터에 따라 차후 기판들에 대해 상기 리소그래피 공정을 제어하는 단계
    를 포함하는 방법.
  12. 리소그래피 장치에서 사용하기 위한 패터닝 디바이스로서,
    상기 리소그래피에 의해 프린트될 때 1 이상의 디바이스 패턴 및 1 이상의 메트롤로지 패턴의 피처들을 정의하는 대조적인 부분(contrasting portion)들을 포함하며, 상기 메트롤로지 패턴들은 적어도 제 1 포커스 메트롤로지 타겟을 포함하고, 상기 포커스 메트롤로지 타겟은 적어도 피처들의 제 1 주기적 어레이를 포함하며,
    상기 제 1 주기적 어레이는 적어도 제 1 주기 방향으로 제 2 구역들과 인터리빙되는 제 1 구역들의 반복 구성을 포함하고, 상기 제 1 구역들 및 상기 제 2 구역들에서 피처 밀도가 상이하며,
    상기 제 1 구역들 각각은 제 1 피처들의 반복 구성을 포함하고, 각각의 제 1 피처의 최소 치수는 상기 리소그래피 장치에 의한 프린팅의 분해능 한계에 가깝지만 이보다 작지는 않으며,
    상기 제 1 구역들 및 상기 제 2 구역들은, 상기 검사 방사선의 조명 스폿이 상기 상기 제 1 구역들 및 상기 제 2 구역들 둘 모두를 동시에 조명하도록 배치된, 패터닝 디바이스.
  13. 제 12 항에 있어서,
    상기 포커스 메트롤로지 타겟은 피처들의 제 2 주기적 어레이를 더 포함하며,
    상기 제 2 주기적 어레이는 제 4 구역들과 인터리빙되는 제 3 구역들의 반복 구성을 포함하고, 상기 제 3 구역들 및 상기 제 4 구역들에서 피처 밀도가 상이하며,
    상기 제 3 구역들 각각은 제 3 피처들의 반복 구성을 포함하고, 각각의 제 3 피처의 최소 치수는 프린팅 단계의 분해능 한계에 가깝지만 이보다 작지는 않은 패터닝 디바이스.
  14. 제 13 항에 있어서,
    상기 제 3 구역들은 상기 제 1 주기 방향에 직교인 제 2 주기 방향으로 상기 제 4 구역들과 인터리빙되는 패터닝 디바이스.
KR1020207015912A 2017-12-04 2018-11-02 측정 방법, 패터닝 디바이스 및 디바이스 제조 방법 KR102438502B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
EP17205144.3 2017-12-04
EP17205144.3A EP3492984A1 (en) 2017-12-04 2017-12-04 Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
EP18165518 2018-04-03
EP18165518.4 2018-04-03
EP18175874 2018-06-05
EP18175874.9 2018-06-05
PCT/EP2018/079968 WO2019110211A1 (en) 2017-12-04 2018-11-02 Measurement method, patterning device and device manufacturing method

Publications (2)

Publication Number Publication Date
KR20200074221A KR20200074221A (ko) 2020-06-24
KR102438502B1 true KR102438502B1 (ko) 2022-09-01

Family

ID=64308710

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207015912A KR102438502B1 (ko) 2017-12-04 2018-11-02 측정 방법, 패터닝 디바이스 및 디바이스 제조 방법

Country Status (6)

Country Link
US (1) US10691030B2 (ko)
KR (1) KR102438502B1 (ko)
CN (1) CN111433678B (ko)
IL (1) IL275045B2 (ko)
TW (1) TWI686682B (ko)
WO (1) WO2019110211A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10796059B2 (en) 2018-03-22 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout generation method and system
EP3637187A1 (en) * 2018-10-12 2020-04-15 ASML Netherlands B.V. Method for measuring focus performance of a lithographic apparatus
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
EP3798729A1 (en) 2019-09-26 2021-03-31 ASML Netherlands B.V. Method for inferring a processing parameter such as focus and associated appratuses and manufacturing method
US11815458B2 (en) * 2019-12-31 2023-11-14 Illumina, Inc. Autofocus functionality in optical sample analysis
US11287746B1 (en) * 2020-09-30 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for overlay error reduction
US11796925B2 (en) * 2022-01-03 2023-10-24 Kla Corporation Scanning overlay metrology using overlay targets having multiple spatial frequencies
CN114509923B (zh) * 2022-01-28 2023-11-24 复旦大学 一种深紫外物镜设计中的调焦调平装置及其应用

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140315330A1 (en) * 2011-11-29 2014-10-23 Nikon Corporation Measurement device, measurement method, and method for manufacturing semiconductor device
WO2016198283A1 (en) 2015-06-12 2016-12-15 Asml Netherlands B.V. Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
US20170176870A1 (en) * 2015-12-21 2017-06-22 Asml Netherlands B.V. Methods and Patterning Devices and Apparatuses for Measuring Focus Performance of a Lithographic Apparatus, Device Manufacturing Method

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5876883A (en) 1995-12-27 1999-03-02 Vlsi Technology, Inc. Method forming focus/exposure matrix on a wafer using overlapped exposures
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
NL2005522A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
NL2007765A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and inspection apparatus, lithographic system and device manufacturing method.
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
CN104350424B (zh) * 2012-05-29 2018-01-09 Asml荷兰有限公司 量测方法和设备、衬底、光刻系统以及器件制造方法
KR102221751B1 (ko) 2014-02-03 2021-03-03 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 기판, 리소그래피 시스템 및 디바이스 제조 방법
EP3447580A1 (en) 2017-08-21 2019-02-27 ASML Netherlands B.V. Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140315330A1 (en) * 2011-11-29 2014-10-23 Nikon Corporation Measurement device, measurement method, and method for manufacturing semiconductor device
WO2016198283A1 (en) 2015-06-12 2016-12-15 Asml Netherlands B.V. Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
US20170176870A1 (en) * 2015-12-21 2017-06-22 Asml Netherlands B.V. Methods and Patterning Devices and Apparatuses for Measuring Focus Performance of a Lithographic Apparatus, Device Manufacturing Method

Also Published As

Publication number Publication date
WO2019110211A1 (en) 2019-06-13
IL275045B1 (en) 2023-11-01
US20190171114A1 (en) 2019-06-06
US10691030B2 (en) 2020-06-23
TWI686682B (zh) 2020-03-01
TW201937298A (zh) 2019-09-16
KR20200074221A (ko) 2020-06-24
IL275045A (en) 2020-07-30
CN111433678A (zh) 2020-07-17
CN111433678B (zh) 2023-02-17
IL275045B2 (en) 2024-03-01

Similar Documents

Publication Publication Date Title
KR102066588B1 (ko) 검사 장치, 검사 방법, 리소그래피 장치, 패터닝 디바이스 및 제조 방법
KR102438502B1 (ko) 측정 방법, 패터닝 디바이스 및 디바이스 제조 방법
KR101994385B1 (ko) 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
KR101865641B1 (ko) 검사 방법, 리소그래피 장치, 마스크 및 기판
KR101901770B1 (ko) 계측에 사용하기 위한 기판 및 패터닝 디바이스, 계측 방법, 및 디바이스 제조 방법
JP6084704B2 (ja) ドーズおよびフォーカス決定方法、検査装置、パターニングデバイス、基板、ならびにデバイス製造方法
US10571812B2 (en) Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
KR20180014098A (ko) 계측 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
KR102170147B1 (ko) 모듈레이션 기술을 이용한 메트롤로지를 위한 대체 타겟 디자인
KR20180096716A (ko) 리소그래피 장치의 포커스 성능을 측정하는 장치들 및 패터닝 디바이스들 및 방법들, 디바이스 제조 방법
CN111065974B (zh) 用于在小量测目标上对准的拍频图案
US10317805B2 (en) Method for monitoring a characteristic of illumination from a metrology apparatus
EP3492984A1 (en) Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
KR20230029934A (ko) 리소그래피 장치의 초점 성능을 측정하기 위한 방법, 패터닝 디바이스 및 장치, 디바이스 제조 방법
NL2020323B1 (en) Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
KR20190134804A (ko) 타겟 측정 방법, 계측 장치, 리소그래피 셀 및 타겟

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right