JP5033859B2 - モデルベースの汎用マッチング及びチューニングのための方法及びシステム - Google Patents

モデルベースの汎用マッチング及びチューニングのための方法及びシステム Download PDF

Info

Publication number
JP5033859B2
JP5033859B2 JP2009248677A JP2009248677A JP5033859B2 JP 5033859 B2 JP5033859 B2 JP 5033859B2 JP 2009248677 A JP2009248677 A JP 2009248677A JP 2009248677 A JP2009248677 A JP 2009248677A JP 5033859 B2 JP5033859 B2 JP 5033859B2
Authority
JP
Japan
Prior art keywords
lithography process
model
determining
tcc
program product
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009248677A
Other languages
English (en)
Other versions
JP2010114443A (ja
Inventor
カオ,ユ
フェン,ハニング
イェ,ジュン
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2010114443A publication Critical patent/JP2010114443A/ja
Application granted granted Critical
Publication of JP5033859B2 publication Critical patent/JP5033859B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

[0002] 本発明の技術分野は、一般に、汎用パターンに関する複数のリソグラフィシステムの性能の最適化を可能にするようにモデルベースのスキャナチューニング及び最適化を実行するための方法及びシステムに関する。
[0003] 例えば、集積回路(IC)の製造などにリソグラフィ装置を使用することができる。その場合、マスクは、ICの個々のレイヤに対応する回路パターンを含むことができ、このパターンを放射感応性材料(レジスト)のレイヤでコーティングされた基板(シリコンウェーハ)上のターゲット部分(例えば、1つ又は複数のダイを含む)上に結像することができる。一般に、1つのウェーハは、投影システムを介して一度に1つずつ連続的に照射される隣接するターゲット部分のネットワーク全体を含む。あるタイプのリソグラフィ投影装置では、マスクパターン全体をターゲット部分上に一度に露光することで各ターゲット部分が照射される。上記装置は、一般にウェーハステッパと呼ばれる。ステップアンドスキャン装置と一般に呼ばれる別の装置では、投影ビームが当たったマスクパターンを所与の基準方向(「スキャン」方向)に漸進的にスキャンしながら、これに同期してこの方向に平行又は逆平行に基板テーブルをスキャンすることで各ターゲット部分が照射される。一般に、投影システムは、倍率係数M(一般に、<1)を有するので、基板テーブルがスキャンされる速度Vは、係数Mにマスクテーブルのスキャン回数を乗じた値になる。本明細書に記載するリソグラフィデバイスに関する詳細情報は、例えば、本明細書に参照により組み込むものとする米国特許第6,046,792号から入手することができる。
[0004] リソグラフィ投影装置を用いた製造プロセスでは、放射感応性材料(レジスト)のレイヤで少なくとも部分的に覆われた基板上にマスクパターンが結像される。この結像ステップに先立って、プライミング、レジストコーティング、及びソフトベークなどの種々の手順を基板に対して行うことができる。露光後に、基板に対して、結像されたフィーチャの露光後ベーク(PEB)、現像、ハードベーク及び測定/検査などの他の手順を実行することができる。この一連の手順は、デバイス、例えば、ICの個々のレイヤにパターン形成する基礎として使用される。そのようなパターン形成されたレイヤについて、次に、個々のレイヤを完成させるためのエッチング、イオン注入(ドーピング)、金属化、酸化、化学的機械的研磨などの種々のプロセスを行うことができる。幾つかのレイヤが必要な場合、手順全体、又はその変形手順を新しいレイヤごとに繰り返す必要がある。最後に、デバイスのアレイが基板(ウェーハ)上に形成される。これらのデバイスは、次に、ダイシング又はのこ引きなどの技術によって互いに分離され、それによって個々のデバイスをピンなどに接続されたキャリア上に実現することができる。
[0005] 話を分かりやすくするため、以下、投影システムを「レンズ」と呼ぶことがある。しかし、この用語は、例えば、屈折光学系、反射光学系、及び反射屈折光学系を含む各種投影システムを含むものと広義に解釈すべきである。放射システムも、放射投影ビームを誘導し、整形し、又は制御する任意のこれらの設計タイプに従って動作するコンポーネントを含むことができ、そのようなコンポーネントも、以下に集合的又は単独で「レンズ」と呼ぶことがある。さらに、リソグラフィ装置は、2つ以上の基板テーブル(及び/又は2つ以上のマスクテーブル)を有するタイプであってもよい。そのような「マルチステージ」デバイスでは、追加のテーブルを平行して使用するか、又は1つ又は複数の他のテーブル上で準備ステップを実行しながら1つ又は複数の他のテーブルを露光に使用することができる。例えば、本明細書に参照により組み込むものとする米国特許第5,969,441号には、ツインステージリソグラフィ装置が記載されている。
[0006] 上記フォトリソグラフィマスクは、シリコンウェーハ上に集積する回路コンポーネントに対応する幾何学パターンを含む。そのようなマスクを作成するためのパターンは、このプロセスが多くの場合EDA(電子設計オートメーション)と呼ばれるCAD(コンピュータ支援設計)プログラムを用いて生成される。大半のCADプログラムは、機能マスクを作成するために一組の所定のデザインルールに従う。これらのルールは、処理及び設計の制限によって設定される。例えば、デザインルールは、回路デバイス(ゲート、コンデンサなど)又は相互接続線間の空間許容範囲を定義して、回路デバイス又は線が好ましくない形で相互動作しないようにする。デザインルールの限界は、「クリティカルディメンション」(CD:Critical Dimension)とも呼ばれる。回路のクリティカルディメンションは、線若しくは穴の最小幅又は2本の線若しくは2つの穴の間の最小空間として定義することができる。それ故、CDは、設計された回路の全体のサイズと密度とを決定する。集積回路の製作の目標の1つが元の回路設計をウェーハ上に(マスクを介して)忠実に再現することであるのは当然である。
[0007] もう1つの目標は、最適な/受け入れ可能な結像性能を達成するためにそれぞれのリソグラフィシステムの必要な設定を決定する際に相当な量の時間及び資源を費やす必要なしに、異なるリソグラフィシステム(例えば、スキャナ)によって所与のパターンを結像するために同じ「プロセス」を使用できることである。既知の通り、設計者/技術者は、結果のイメージが設計要件を満足するように特定のスキャナで作業するために所与のプロセスを最初にセットアップするときに、開口数(NA)、σin、σoutなどを含むリソグラフィシステム(例えば、スキャナ)の最適設定を決定するために相当な量の時間及び金をかける。確かに、これは、スキャナ設定を選択し、所望のパターンを結像し、次に測定して、結果のイメージが指定の許容範囲内であるかどうかを判断するという試行錯誤のプロセスである場合が多い。指定の許容範囲内ではない場合、スキャナ設定を調整し、パターンをもう一度結像して測定する。このプロセスは、結果のイメージが指定の許容範囲内になるまで繰り返される。
[0008] しかし、それぞれのスキャナは、同一モデルタイプであっても、パターンを結像するときに異なる光近接効果(OPE)を発揮するので、基板上に結像された実際のパターンは、OPEが異なるためにスキャナによって異なってくる。例えば、所与のスキャナに関連する異なるOPEは、ピッチによって重大なCD変動をもたらす可能性がある。このため、結果のイメージが大幅に変化する可能性があるので、単純にいずれかのスキャナを使用して所与のパターンを結像することは不可能である。それ故、異なるスキャナを使用して所与のパターンをプリントすることが望ましい場合、結果のイメージが設計要件を満足するように、技術者は新しいスキャナを最適化又はチューニングしなければならない。現在、これは典型的に、上記のように高価であり時間もかかる試行錯誤のプロセスによって実施されている。
[0009] モデルベースのマッチング及びチューニングなど、幾つかの自動化された手法が開発された(その内容を本明細書に参照により組み込むものとする2007年8月22日出願の米国特許出願第11/892,407号を参照されたい)。モデルベースのマッチング及びチューニングは、CDを測定するためのメトロロジ及び/又は特定のゲージの選択に依存する。これは非常に時間がかかり、高価である可能性もある。また、これはパターン固有のものであり、すなわち、選択されないパターンのマッチングについてはまったく保証されない。
[0010] 従って、本発明は、それぞれの個別リソグラフィシステム用にプロセス及びリソグラフィシステム設定を最適化するように実行される試行錯誤のプロセスを必要としない既知のプロセスを使用して、異なるリソグラフィシステムで異なるパターンを結像できるようにリソグラフィシステムをチューニングするための方法に関する。幾つかの態様によれば、本発明は、任意のパターンについて機能する汎用モデルベースのマッチング及びチューニングのための方法に関する。それ故、本発明はCD測定又はゲージ選択の必要性を除去する。他の諸態様によれば、本発明は、同時に普遍的なパターン適用範囲を達成しながら、特定の重要なパターンに関する優れた性能を伝達するために特定の従来の技術と結合できるという点で多様なものでもある。
[0011] 上記その他の態様を推進するために、本発明の諸実施形態によるチューニング対象リソグラフィプロセス(to-be-tuned lithographic process)を基準リソグラフィプロセス(reference lithographic process)に応じてチューニングする方法は、基準リソグラフィプロセスとチューニング対象リソグラフィプロセスの両方についてそれぞれのリソグラフィプロセスモデルを入手すること、チューニング対象リソグラフィプロセスの一組の調整可能なパラメータを識別すること、一組の調整可能なパラメータの変化に対するチューニング対象リソグラフィプロセスモデルの応答を決定すること、リソグラフィプロセスモデルをマッチングさせる調整可能なパラメータの最適変化を決定すること、決定された最適変化に基づいてチューニング対象リソグラフィプロセスに関するモデルを調整することを含む。
[0012] 本発明の上記その他の態様及び特徴は、添付図面に併せて本発明の特定の諸実施形態に関する以下の説明を検討すると、当業者にとって明らかになる。
[0013]典型的なリソグラフィ投影システムを示す模範的なブロック図である。 [0014]リソグラフィシミュレーションモデルの機能モジュールを示す模範的なブロック図である。 [0015]本発明の諸実施形態によるモデルベースのパターン独立TCCマッチング(model-based pattern independent TCC matching)に関するフローダイアグラムである。 [0016]本発明の諸実施形態によるモデルベースのハイブリッドTCC及びCDマッチング(model-based hybrid TCC and CD matching)に関するフローダイアグラムである。 [0017]本発明の方法の実現を支援可能なコンピュータシステムを示すブロック図である。 [0018] 本発明の方法による使用に適したリソグラフィ投影装置を概略的に描写する図である。
[0019] 次に、当業者が本発明を実施できるように本発明の図示例として提供される図面に関連して本発明について説明する。注目すべきことに、以下の図及び例は本発明の範囲を単一実施形態に限定するためのものではなく、他の実施形態は説明又は例示された要素の一部又は全部を交換することにより可能になる。その上、本発明の特定の実施形態は既知のコンポーネントを使用して部分的に又は完全に実現することができ、このような既知のコンポーネントのうち本発明の理解に必要な部分についてのみ説明し、本発明を曖昧にしないためにこのような既知のコンポーネントの他の部分に関する詳細な説明は省略する。ソフトウェアで実現されるものとして記載されている諸実施形態は、それに限定するべきではなく、本明細書に別段の指定がない限り、当業者にとって明らかになるように、ハードウェア又はソフトウェアとハードウェアの組合せで実現される諸実施形態を含むことができ、逆もまた同様である。本明細書では、単数形のコンポーネントを示す一実施形態は限定的であると見なすべきではなく、むしろ本発明は、本明細書に別段の明確な表明がない限り、複数の同じコンポーネントを含む他の諸実施形態を包含するものであり、逆もまた同様である。その上、本出願人は、このような明確な規定がない限り、本明細書又は特許請求の範囲内の任意の用語に珍しい意味又は特殊な意味を割り当てないものとする。さらに、本発明は、例示により本明細書で参照される既知のコンポーネントと同等で現在及び将来の既知のものを包含する。
[0020] 本稿では、ICの製造における本発明の使用への特定の言及がなされているかもしれないが、本発明は、多数の他の可能な用途を有することを明確に理解されたい。例えば、磁気ドメインメモリ、液晶表示パネル、薄膜磁気ヘッド用の集積光学系、案内及び検出パターンの製造に採用することができる。当業者であれば、このような別の用途の場合、本明細書で用いる「レチクル」、「ウェーハ」、又は「ダイ」という用語のいかなる使用もより一般的な用語である「マスク」、「基板」及び「ターゲット部分」という用語にそれぞれ置き換えることができることを理解することができるだろう。
[0021] 本明細書では、「放射」及び「ビーム」という用語は、紫外線(例えば、365、248、193、157又は126nmの波長を有する)及びEUV(極端紫外線、例えば、波長が5〜20nmの範囲)を含むすべてのタイプの電磁放射を含むために使用される。
[0022] 本稿で使用するマスクという用語は、基板のターゲット部分に作成されるパターンに対応するパターン付き断面を入射放射ビームに与えるために使用できる汎用パターニング手段を指すものと広義に解釈することができ、これに関連して「ライトバルブ(light valve)」という用語も使用することができる。典型的なマスク(透過又は反射;バイナリ、位相シフト、ハイブリッドなど)に加えて、他のこのようなパターニング手段の例としては以下のものを含む。
・プログラマブルミラーアレイ。このようなデバイスの一例は、粘弾性制御レイヤと反射面を有するマトリクスアドレッサブル表面である。このような装置の基本原理は、(例えば)反射面のアドレスエリアが回折光として入射光を反射し、非アドレスエリアが非回折光として入射光を反射することである。適切なフィルタを使用すると、反射ビームから前記非回折光をフィルタで除去し、回折光のみを残すことができ、このように、ビームはマトリクスアドレッサブル表面のアドレッシングパターンに応じてパターン付きになる。適切な電子手段を使用して、必要なマトリクスアドレッシングを実行することができる。このようなミラーアレイに関する詳細情報は、例えば、本明細書に参照により組み込むものとする米国特許第5,296,891号及び第5,523,193号から入手することができる。
・プログラマブルLCDアレイ。このような構造の一例は、本明細書に参照により組み込むものとする米国特許第5,229,872号に示されている。
[0023] 本発明について考察する前に、全体的なシミュレーション及び結像プロセスに関する簡単な考察を行う。図1は、模範的なリソグラフィ投影システム10を示している。主要コンポーネントは、深紫外線エキシマレーザ源にすることができる光源12と、部分コヒーレンス(シグマとして表示)を画定し、特定の光源整形光学系14、16a及び16bを含むことができる照明光学系と、マスク又はレチクル18と、ウェーハ面22上にレチクルパターンのイメージを生成する投影光学系16cである。瞳面のアジャスタブルフィルタ又はアパーチャ20は、最大可能角が投影光学系の開口数NA=sin(Θmax)を画定するウェーハ面22に衝突するビーム角の範囲を制限することができる。
[0024] リソグラフィシミュレーションシステムでは、例えば、図2に示されているように個別の機能モジュールでこれらの主要システムコンポーネントを記述することができる。図2を参照すると、機能モジュールとしては、ターゲット設計を画定する設計レイアウトモジュール26と、結像プロセスで使用するターゲット設計を使用してマスクをどのようにレイアウトするかを画定するマスクレイアウトモジュール28と、シミュレーションプロセス中に使用する物理的マスクの特性をモデリングするマスクモデルモジュール30と、リソグラフィシステムの光学コンポーネントの性能を画定する光学モデルモジュール32と、所与のプロセスで使用するレジストの性能を画定するレジストモデルモジュール34とを含む。既知の通り、シミュレーションプロセスの結果は、例えば、結果モジュール36内に予測輪郭及びCDを生成する。
[0025] より具体的には、NA−シグマ(σ)設定並びに任意の特定の照明源形状を含むが、これらに限定されない照明及び投影光学系の特性が光学モデル32で捕捉されることは注目に値する。この場合、σ(又はシグマ)はイルミネータの外側半径範囲である。基板上にコーティングされたフォトレジストレイヤの光学特性、すなわち、屈折率、膜厚、伝搬及び偏光効果も光学モデル32の一部として捕捉することができ、レジストモデル34は、例えば、基板ウェーハ上に形成されたレジストフィーチャの輪郭を予測するために、レジスト露光、PEB及び現像中に行われる化学プロセスの効果を記述する。マスクモデル30は、ターゲット設計フィーチャをレチクル内にどのようにレイアウトするかを捕捉し、例えば、米国特許出願第10/530,402号に記載されているように、マスクの詳細な物理的性質の表現も含むことができる。シミュレーションの目的は、例えば、ターゲット設計と比較可能なエッジ配置及びクリティカルディメンション(CD)を正確に予測することである。ターゲット設計は、一般に、プレOPC(pre-OPC)マスクレイアウトと定義され、GDSII又はOASISなどの標準化されたデジタルファイルフォーマットで提供される。
[0026] 一般に、光学モデルとレジストモデルとの接続はレジストレイヤ内のシミュレートされた空間像強度であり、これは基板上への光の投影、レジスト境界面での屈折、並びにレジストフィルムスタック内の複数の反射から発生する。光強度分布(空間像強度)は、光子の吸収により潜在する「レジスト像(resist image)」になり、これは拡散プロセス及び種々のローディング効果によってさらに変更される。フルチップ適用に十分な高速である効率的なシミュレーション方法は、2次元空間(及びレジスト)像によってレジストスタック内の現実的な3次元強度分布を近似する。
モデルベースのマッチング及びチューニング(Model-based Matching and Tuning)
[0027] 幾つかの一般的な態様によれば、本発明は、1つの基準モデル(reference model)(モデル基準(Model-Reference)を意味するモデルR(Model-R))を使用して他のスキャナ(チューニング対象スキャナ(Scanner-to-be-Tuned)を意味するスキャナT(Scanner-T))をチューニングし、スキャナTの挙動がモデルRの挙動とできるだけマッチングするようにすることを含む。基準モデルは、他の物理スキャナの挙動を表す場合もあれば、仮想スキャナである場合もある。また、スキャナTのすべての特性は、チューニング対象モデル(Model-to-be-Tuned)を意味するモデルT(Model-T)として示されるモデルによって完全に捕捉できるものと想定する。それ故、スキャナのマッチング及びチューニングは、その挙動がモデルRの挙動とできるだけマッチングするようにモデルTを操作するという問題になる。このような態様及び適用例について以下に詳述する。
AI差の最小化(Minimizing AI difference)
[0028] マッチングのために一般に使用される性能測定は、輪郭間距離のRMSであり、これは空間像(AI:aerial image)強度差のRMSと強力に相関している。従って、所望のマッチング/チューニング結果を達成するためにAI強度差のRMSを最小限にすることができる。
[0029] 特に、既知のホプキンス理論(Hopkins theory)によれば、空間像強度は以下の式で定義することができる。
但し、I(x)は像平面内の点xにおける空間像強度であり(表記の簡略化のために、単一変数で表される2次元座標を使用する)、kはソース面上の点を表し、A(k)は点kからのソース振幅であり、k’及びk”は瞳面上の点であり、Mはマスクイメージのフーリエ変換であり、Pは瞳関数であり、以下の式が得られる。
[0030] 上記の導出の顕著な態様は、加法順序の変化(kにおける合計を内側に移動する)及び指数の変化(k’をk+k’で置換し、k”をk+k”で置換する)であり、その結果、式中の3行目の角括弧の内側の項によって定義される透過クロス係数(TCC:Transmission Cross Coefficient)が他の項から分離される。これらの係数はマスクパターンとは無関係であり、従って、光学素子又は構成(例えば、NA及びσまたは詳細なイルミネータプロファイル)のみの知識を使用して事前計算することができる。さらに、所与の例(式1)ではスカラ結像モデルから導出されるが、この形式主義はベクトル結像モデルに展開することもでき、TE及びTM偏光コンポーネントが別々に合計されることは注目に値する。
[0031] 本出願で論じるTCCはいわゆる「生(raw)」のTCCであり、他の出願で使用される対角化TCCとは異なるものであることに留意されたい。
TCCベースのマッチング/チューニング(TCC-based Matching/Tuning)
[0032] 図3は、本発明の諸実施形態によるモデルベースのパターン独立TCCマッチングを実行するフローの例を示す図である。
[0033] 上記の考察を参照して、空間像強度のみがマスクイメージ及びTCCに依存し、その結果、TCCがスキャナの光学特性をすべて捕捉することに注目されたい。2つのモデルが同じTCCを有する場合、2つのモデルからの空間像は同じマスクについて完全にマッチングする。モデルのレジスト部も同じである場合、プリント結果も完全にマッチングする。
[0034] 特に、異なるTCC、すなわち、TCCT及びTCCR(それぞれ図3のステップ302のモデルT及びモデルRを表す)によって表される2つのモデルの場合、そのAI強度差ΔIは以下の式で示される。
[0035] 従って、AI強度差は2つのTCC間の差と強力に相関している。明らかなように、TCC間の差が0である場合、マスクパターンにかかわらず、空間像はまったく同じになる。TCC差が十分小さい場合、どのマスクパターンについても、空間像差も小さくなる。
[0036] 特に、周波数領域内のAI強度のRMS差は以下のように計算することができる。
[0037] この観測に基づいて、本発明の一実施形態は、パターン独立マッチング/チューニングにおいてTCC間の差を最小限にすることになる。
[0038] この場合も、本発明の諸実施形態はTCC差の測定値としてRMS値を使用し、より具体的には、RMSにおけるTCCTとTCCRとのTCC差は以下のようになる。
TCC差を最小限にするためのパラメータ調整(Parameter Adjustments to minimize TCC difference)
[0039] TCCTを操作するためにN個の調整可能なつまみ(knob)が存在し、このN個のつまみの指示値がK1、K2、・・・、KNであり、その結果のTCCTがTCCT(K1,K2,・・・,KN)として示されるものと想定する。マッチング/チューニング問題は、以下の式を最小限にするための最適値(K1,K2,・・・,KN)を求めることであると数学的に記述することができる。
[0040] 本発明者らは、多次元非線形最適化という一般的分野をこの問題に適用できることを認識している。それ故、例えば、ニュートン法(ニュートン・ラフソン法(Newton-Raphson method)又はニュートン・フーリエ法(Newton-Fourier method)としても知られている)、ガウス・ニュートンアルゴリズム(Gaussian-Newton algorithm)、レーベンバーグ・マルカートアルゴリズム(Levenberg-Marquardt algorithm)などを含む、この分野の幾つかの既知の方法を使用することができる。
TCC差を最小限にするためのパラメータ調整: 最小2乗法(Method of Least Square)/2次計画ソルバー(Quadratic Programming Solver)
[0041] 本発明者らは、TCCTに対するつまみの効果が純粋に線形であるか又はつまみのチューニング量が小さいためにその効果が良好な線形近似を有するときに、上述の非線形最適法より計算コストがかなり低い最小2乗法又は2次計画法解答器を使用して、この問題を解決できることをさらに認識している。
[0042] より具体的には、「公称」つまみ設定で、そのつまみの指示値がK10、K20、・・・、KN0であり、つまみiに関するTCCTの導関数がΔiTCCTである(図3のステップ304)と想定すると、すなわち、以下の式が得られる。
TCCTとつまみとの関係が線形であるので、以下の式が得られる。
及び
[0043] 次にこのプロセスは、K1、K2、・・・、KNに関する偏導関数を取り、それらを0に設定する。詳細には、以下のようにこの形式のN個の1次方程式が存在する。
但し、k=1,・・・,Nであり、jはTCC行列要素用の指数である(例えば、TCCR,jはTCCRのj番目の行列要素を表す)。
[0044] これらのN個の1次方程式はN個の未知数K1、K2、・・・、KNを有することに留意されたい。ガウスの消去法、LU分解などであって、これらに限定されない周知の技術を使用してこれらを解くことにより(図3のステップ306)、プロセスは、i=1〜N個のつまみについて最適チューニング量(すなわち、Ki−Ki0)を求める(図3のステップ308)。TCCT及びTCCRはステップ302で例えば(式2)を使用して計算され、式中、ΔiTCCTは(式3)に示されているように有限差分法を使用して求めることができることに留意されたい。チューニング量限界などの他の制約が存在する場合、このような制約を最適化に取り入れ、2次計画法解答器を使用して解くことができる。
[0045] 最後にステップ310では、幾つかの実施形態では、チューニングから得られる性能の改善を決定するためにシミュレーションが実行される。例えば、このステップは、基準モデルとチューニングしていないモデルとのCD差と、基準モデルとチューニング済みモデルとのCD差とを比較して、実質的に低減されているかどうかを判断することを含む。代替的に、このステップはΔI差又はTCC差を比較することを含む。
最適化の次元の低減(Reduce Dimension of Optimization)
[0046] 多くの調整可能なつまみ(例えば、TCC要素の数に匹敵する数のつまみ)が存在する場合、TCC差を小さい値に低減するようチューニングし、それにより汎用パターン独立マッチングを達成することは可能である。しかし、2Dマスクイメージの場合、TCCは4次元行列である。リソグラフィシステムの挙動を適切に捕捉するために、TCC要素の数は典型的に非常に多く(数百万個又はそれ以上)なるが、つまみの典型的な数はせいぜい数千個である。TCC要素数対つまみ数の比率が非常に大きいために、実際に残余誤差の大幅な低減を達成することは非常に難しくなり、起こりうる数値誤差につれてさらに悪化する可能性がある。
[0047] その結果、つまみの数を制限することにより最適化の次元(すなわち、この場合はTCC要素の数)を低減することが必要になる可能性がある。
1D TCC(1D TCC)
[0048] M(k1,k2)というフーリエ変換表現を有する2Dマスクイメージの場合、完全な空間像を以下のように表すことができる。
[0049] 次に1D(例えば、垂直)マスクを考慮する。その周波数領域表現M(k1,k2)はMx(k1)δ(k2)であり、式中、δ(k2)はディラック関数である。このマスクイメージの場合、空間像強度は以下のように計算される。
[0050] 予想通り、空間像強度はy成分に依存しない。さらに、項数がかなり少ないTCC(k’1,0,k”1,0)は、1D垂直マスクパターンに対するすべてのシステム応答を捕捉する。同様に、1D水平パターンに対するシステム応答はTCC(0,k’2,0,k”2)に完全にカプセル化される。
1D TCCベースのマッチング及びチューニング(1D TCC-based Matching and Tuning)
[0051] 1D TCC(水平及び垂直の両方)は1Dマスクイメージから空間像への変換を完全に記述するものであるので、(RMSにおける)1D TCC差を少量に低減できる場合、空間像と、それ故に1Dマスクパターンに関するプリント結果の差も少量に縮小する。
[0052] 加えて、本発明者らは、典型的な2Dマスクイメージの大半のエネルギーが周波数領域内のx軸及びy軸周辺に集中していることを認識している。この事実は、マスク形状のマンハッタン性(Manhattan nature)の結果として理解することもできる。例えば、マスクイメージのSVD(特異値分解(Singular Value Decomposition))を実行することができ、すなわち、1D垂直イメージと1D水平イメージの積の合計としてM(k1,k2)を表すことができ、以下のようになる。
[0053] 典型的に、MX,i又はMY,iのDC(ゼロ周波数)成分はすべてのAC(非ゼロ周波数)成分より優位を占めるであろう。従って、周波数領域内のマスクイメージを見ると、確かにx軸及びy軸の近くにエネルギーの大半があるはずである。さらに、TCCの滑らかさにより、1D TCCをマッチングすると、1Dに近い成分について2つのTCCも十分にマッチングされる。
1D TCCに基づくパターン独立マッチング及びチューニング(Pattern-Independent Matching and Tuning based on 1D TCC)
[0054] その結果、諸実施形態では、プロセスは、2つのモデルの1D TCC間のRMSの差を最小限にして、マッチング及びチューニングを達成する(図3のステップ302〜308)。この方法は、半導体業界で扱われる典型的なマスクについてパターン独立マッチング及びチューニングを達成する。これにより、マスクに関する知識がなくてもマッチング及びチューニングを実行することができる。加えて、1D TCCの要素数は数千個であり、フル(すなわち「生」)TCCの要素の総数よりかなり少ないので、1D TCCはフルTCCよりチューニングしやすいものである。
[0055] 1D TCC差の最小化の数学的記述は、加法の要素を除き、TCC差の最小化の場合とまったく同じであり、より具体的には、最小化するオブジェクト関数は以下のようになる。
[0056] 1D TCC差を最小限にするためのアルゴリズムもTCC差を最小限にするためのものと同じである。上記のステップ302〜308で使用される公式内の対応する1D TCCでそのTCCを置換し、上記のように両方の次元について同時に解くだけでよい。
重み付きマッチング及びチューニング(Weighted Matching and Tuning)
[0057] 上記の考察では、TCC(又は1D TCC)のすべての要素は等しく扱われる。しかし、適用例によっては、特定の要素を強調する方が好ましい場合もある。例えば、マスク内の最も重要なピッチが分かっているものと想定すると、このピッチに対応する要素差を最小限にする方が好ましい可能性がある。TCC(k’1,k’2,k”1,k”2)というTCC要素の重み割り当てがW(k’1,k’2,k”1,k”2)で示されるものと想定すると、重み付きTCC差最小化のためのオブジェクト関数は以下のようになる。
[0058] 重み付き1D TCC差最小化のためのオブジェクト関数も同様である。
幾つかの可能な重み割り当て(Some Possible Weight assignments)
[0059] 式1は重み付きマッチング/チューニングのための最も一般的な形を示していることに留意されたい。以下に記載する重み付け方式は、この一般的な形の適用例として提供されるものであって、網羅的なものではない。当業者であれば、これらの例によって教示された後に使用可能な他の重み付け方式を認識するであろう。
[0060] 例えば、重みなしの1D TCC差最小化は、以下の式により重み付きTCC差最小化と見なすこともできることに留意されたい。
[0061] この重み割り当ては、マスクパターンに関するわずかな仮定に依存する。
[0062] もう1つの例では、マスクイメージにおいて、DC要素は典型的にエネルギー集中が最も大きいので、TCCのDC(ゼロ周波数)成分の重みが増加する。それ故、以下の重み方式が割り当てられる。
[0063] この場合、WはTCCのDC成分に割り当てられた一定の重みである。これは、最適化の際にDC成分の重みを増加するために1より大きい。cはすべての非1D TCC成分に割り当てられた一定の重みである。これは、1D TCC成分間の差の最小化に焦点が置かれるように1よりかなり小さいか又は0になる場合もある。例えば、W=15及びc=0.01である場合、本発明者らによって実行されたシミュレーションで優秀な結果が得られる。
[0064] 第3の例の目的は、より重要な2D要素(例えば、コンタクトレイヤ)を有するマスクレイアウトのための幾つかの2D TCC成分の重みを増加するが、依然として(ほとんど)1D TCCのマッチング/チューニング性能を保持することである。この例では、以下の重み方式が割り当てられる。
[0065] 前の例と比較すると、特定の2D TCC成分にC2Dという重みが割り当てられることが分かる。典型的に、C2Dについて1に近い数が選択され、従って、マスクイメージM(k1,k2)のうちk1=k2を有するマスク要素に関する重みが増加する。より大きい重みを有するマスク要素は、1D要素にk1=k2を有する要素を加えたものである。これらの要素の形状は英国国旗に似ており、それ故、この重み付け方式は「ユニオンジャック」方式と呼ばれる。
[0066] 他の例では、マスク情報が分かっている場合、これは最適化の際に重みとして含めることができる。より具体的には、上記で示したように、周波数領域内の空間像強度に関する差は、以下のように記述することができる。
[0067] この公式では、以下の式を重みと見なすことができる。
この重み付きオブジェクト関数を最小化すると、この特定のマスクについてより良好なマッチング/チューニング結果が得られるはずである。
ハイブリッドマッチング及びチューニング(Hybrid Matching and Tuning)
[0068] 上記の観測に基づいて、特定の適用例の場合、重み付けを使用して、ゲート又はホットスポットなどの特定のパターン(例えば、ラインエンドのプルバック又はプッシュバックを有するパターン、ブリッジング又はネッキング、ラインエッジの粗さ、欠落又は余分なパターン)に対しより高い優先順位を与える方が好ましい場合がある。しかし、これは、プロセスがTCCのみに依存する場合は達成するのが難しい可能性がある。このような適用例の場合、本発明者らは、TCCベースのマッチング及びチューニングを輪郭マッチングによって実施できることを認識している。例えば、特定のゲージ(例えば、ゲート、汎用ゲージ、又はホットスポットなどのクリティカルパターン)に関するCD差並びにTCC差を同時に又は連続的に低減することができる。
[0069] 図4は、本発明の他の諸実施形態によるモデルベースのハイブリッドTCC及びCDマッチングを実行するフローの例を示す図である。
[0070] CD差及びTCC差を共同で最適化する場合、上述の重み付きTCCベースのマッチング及びチューニングの際の重みの割り当てと同様に、CD及びTCC要素について異なる重みを指定することができる。より具体的には、オブジェクト関数は以下のようになる。
但し、CDT(i)及びCDR(i)はそれぞれモデルT及びモデルRに対応するi番目のゲージのCDであり、WCD(.)及びWTCC(.)はそれぞれCD及びTCC要素の一定の重みである。この重みは、特定のゲージ(すなわち、パターン輪郭)の最適化と一般的パターンの最適化とのトレードオフを指定する。これらの実施形態で使用するためのCDは、モデルT及びモデルRを使用するシミュレーション又はウェーハ測定によって得られる。つまみチューニング量に関する派生CDは同じようにモデルT及びモデルRを使用するシミュレーション又はウェーハ測定によって計算することができる。しかし、多数のつまみが存在する場合、シミュレーションが典型的に最も費用効果が高い。当業者であれば、最適チューニング量の特定は簡単なプロセスであり、TCCのみを有するオブジェクト関数(式4)について最適チューニング量を見つけることと同様であることを認識するであろう。
[0071] ハイブリッド最適化も連続的に実行することができ、プロセスはまず前述の諸実施形態のようにTCC差を単独で最小化する。例えば、図4に示されているステップ402〜410は、図3に関して記載したステップ302〜310と同様に実行することができる。TCC差最小化後に残っている差が十分小さい場合(ステップ412で決定)、可能なパターンすべてについて十分良好であるので、プロセスは単純にTCCベースのチューニング量を適用する。そうではなく、残っている差が依然としてかなりの大きさである(すなわち、現行のつまみによってすべてのパターンについてボード全域で改善を達成することが不可能である可能性がある)場合、プロセスはハイブリッドマッチングループに入る。このループでは、プロセスは、上記のハイブリッド式を使用するCD最適化に使用するためのゲージを識別する(ステップ418)。図4に示されているように、ゲージはクリティカルパターン(ステップ416)又はホットスポット(ステップ414)から識別することができる。諸実施形態では、クリティカルパターン(ステップ416)、例えば、ゲート又は高密度パターンからゲージが識別される。また、ホットスポット(ステップ414)、例えば、ブリッジング、ネッキング、エッジ粗さ欠陥などからゲージが識別される。
[0072] いずれの場合も、ゲージは、上記のハイブリッドプロセスを使用して最適化ステップ406に含まれる。プロセスが満足なチューニング結果を達成するか又はステップ412で決定されたように最大反復数をヒットするまで、このループを繰り返すことができる。
適用例I: スキャナマッチング(Application I: Scanner Matching)
[0073] 2つの異なるスキャナを使用し、一方のスキャナ(チューニング対象スキャナ(Scanner-to-be-Tuned)を意味するスキャナT(Scanner-T))をチューニングしてもう一方のスキャナ(スキャナ基準(Scanner-Reference)を意味するスキャナR(Scanner-R))の挙動を模倣することが望ましい。モデルTとモデルRがそれぞれスキャナTとスキャナRの挙動を正確に記述するものと想定する。次に、TCCベースのパターン独立マッチング方法を適用することにより、モデルTとモデルRとの差を縮小することができ、それ故、スキャナTとスキャナRとのマッチングを達成することができる。さらに、ジョイントハイブリッド最適化において選択したゲージのCDを追加することができる。
適用例II: モデルチューニング(Application II: Model Tuning)
[0074] この適用例の目標は、1つのスキャナ(スキャナT)をリソグラフィモデル(モデルR)に向かってチューニングすることである。例えば、リソグラフィ中に何らかのプロセス変動が発生する可能性があり、スキャナをチューニングして元のモデルに戻すことにより、このような変動を補正又は補償することが望ましい。モデルRは仮想スキャナと見なすことができ、所望のスキャナ挙動を表す。モデルTがスキャナTの挙動を正確に記述するものと想定する。この場合も、TCCベースのパターン独立マッチング方法を適用することにより、モデルTとモデルRとの差を縮小することができ、それ故、スキャナTに関する所望のプリント結果を達成することができる。さらに、ジョイントハイブリッド最適化において選択したゲージのCDを追加することができる。
[0075] 図5は、本明細書に開示したスキャナマッチング及びチューニング方法を支援可能なコンピュータシステム100を示す模範的なブロック図である。コンピュータシステム100は、バス102又は情報を伝達するためのその他の通信メカニズムと、情報を処理するためにバス102に結合されたプロセッサ104とを含む。また、コンピュータシステム100は、プロセッサ104によって実行される命令及び情報を保管するためにバス102に結合されたランダムアクセスメモリ(RAM)又はその他の動的ストレージデバイスなどのメインメモリ106も含む。メインメモリ106は、プロセッサ104によって実行される命令の実行中に一時変数又はその他の中間情報を保管するために使用することもできる。コンピュータシステム100は、プロセッサ104のための命令及び静的情報を保管するためにバス102に結合された読み取り専用メモリ(ROM)108又はその他の静的ストレージデバイスをさらに含む。情報及び命令を保管するために、磁気ディスク又は光ディスクなどのストレージデバイス110が提供され、バス102に結合される。
[0076] コンピュータシステム100は、コンピュータユーザに情報を表示するためにバス102を介して陰極線管(CRT)又はフラットパネル又はタッチパネルディスプレイなどのディスプレイ112に結合することができる。英数字キー及びその他のキーを含む入力装置114は、プロセッサ104に情報及びコマンド選択を伝達するためにバス102に結合される。もう1つのタイプのユーザ入力装置は、プロセッサ104に方向情報及びコマンド選択を伝達し、ディスプレイ112上のカーソル移動を制御するためのマウス、トラックボール、又はカーソル方向キーなどのカーソルコントロール116である。この入力装置は典型的に、その装置が平面内の位置を指定できるようにする、第1の軸(例えば、x)と第2の軸(例えば、y)という2通りの軸の2通りの自由度を有する。タッチパネル(スクリーン)ディスプレイも入力装置として使用することができる。
[0077] 本発明の一実施形態によれば、シミュレーションプロセスの一部分は、メインメモリ106に収容された1つ又は複数の命令の1つ又は複数のシーケンスをプロセッサ104が実行したことに応答して、コンピュータシステム100によって実行することができる。このような命令は、ストレージデバイス110などの他のコンピュータ可読媒体からメインメモリ106に読み込むことができる。メインメモリ106に収容された命令のシーケンスを実行することにより、プロセッサ104は本明細書に記載したプロセスステップを実行する。メインメモリ106に収容された命令のシーケンスを実行するために、マルチプロセッシング配置の1つ又は複数のプロセッサを使用することもできる。代替実施形態では、本発明を実現するためにソフトウェア命令の代わりに又はソフトウェア命令と組み合わせて、ハードワイヤード回路を使用することができる。それ故、本発明の諸実施形態は、ハードウェア回路とソフトウェアとの特定の組合せに限定されない。
[0078] 本明細書で使用する「コンピュータ可読媒体」という用語は、実行のためにプロセッサ104に命令を提供することに関与する媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体を含むがこれらに限定されない多くの形を取ることができる。不揮発性媒体は、例えば、ストレージデバイス110などの光ディスク又は磁気ディスクを含む。揮発性媒体は、メインメモリ106などのダイナミックメモリを含む。一般的な形のコンピュータ可読媒体は、例えば、フロッピー(登録商標)ディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意のその他の磁気媒体、CD−ROM、DVD、任意のその他の光学媒体、パンチカード、紙テープ、穴のパターンを有する任意のその他の物理媒体、RAM、PROM、及びEPROM、FLASH−EPROM、任意のその他のメモリチップ又はカートリッジ、あるいはコンピュータがそこから読み取ることができる任意のその他の媒体を含む。
[0079] 実行のためにプロセッサ104に1つ又は複数の命令の1つ又は複数のシーケンスを搬送する際に種々の形のコンピュータ可読媒体が係わる可能性がある。例えば、命令は最初にリモートコンピュータの磁気ディスク上に載せられる可能性がある。リモートコンピュータは、そのダイナミックメモリに命令をロードし、モデムを使用して電話回線によって命令を送信することができる。コンピュータシステム100に対してローカルなモデムは、その電話回線上でデータを受信し、赤外線送信機を使用してそのデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器は、赤外線信号で搬送されたデータを受信し、そのデータをバス102上に置くことができる。バス102はそのデータをメインメモリ106に搬送し、そこからプロセッサ104が命令を取り出して実行する。メインメモリ106が受け取った命令は任意選択で、プロセッサ104による実行前又は実行後のいずれかにストレージデバイス110に保管することができる。
[0080] また、コンピュータシステム100は好ましくは、バス102に結合された通信インターフェイス118も含む。通信インターフェイス118は、ローカルネットワーク122に接続されたネットワークリンク120に結合する双方向データ通信を可能にする。例えば、通信インターフェイス118は、対応するタイプの電話回線へのデータ通信接続を可能にするための統合サービスデジタル通信網(ISDN)カード又はモデムにすることができる。もう1つの例として、通信インターフェイス118は、互換性のあるLANへのデータ通信接続を可能にするためのローカルエリアネットワーク(LAN)カードにすることもできる。ワイヤレスリンクも実現可能である。このような実現例では、通信インターフェイス118は、種々のタイプの情報を表すデジタルデータストリームを搬送する電気信号、電磁気信号、又は光学信号を送受信する。
[0081] ネットワークリンク120は典型的に、1つ又は複数のネットワークにより他のデータデバイスへのデータ通信を可能にする。例えば、ネットワークリンク120は、ローカルネットワーク122によりホストコンピュータ124又はインターネットサービスプロバイダ(ISP)126によって操作されるデータ機器への接続を可能にすることができる。次にISP126は、現在一般的に「インターネット」128と呼ばれる世界的なパケットデータ通信ネットワークによりデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128はいずれも、デジタルデータストリームを搬送する電気信号、電磁気信号、又は光学信号を使用する。種々のネットワークによる信号、ネットワークリンク120上の信号、並びにコンピュータシステム100との間でデジタルデータを搬送する通信インターフェイス118による信号は、情報を移送する搬送波の模範的な形である。
[0082] コンピュータシステム100は、ネットワーク(複数も可)、ネットワークリンク120、及び通信インターフェイス118により、プログラムコードを含む、メッセージを送信し、データを受信することができる。インターネットの例では、サーバ130は、インターネット128、ISP126、ローカルネットワーク122、及び通信インターフェイス118により、アプリケーションプログラムについて要求されたコードを送信することができる。本発明によれば、このようにダウンロードされたアプリケーションは、例えば、その実施形態の照明最適化に備えるものである。受信したコードは、受信したときにプロセッサ104によって実行するか、及び/又は後で実行するためにストレージデバイス110又はその他の不揮発性ストレージに保管することができる。このように、コンピュータシステム100は搬送波の形でアプリケーションコードを入手することができる。
[0083] 図6は、本発明のプロセスを使用してその性能をシミュレート及び/又は最適化可能な模範的なリソグラフィ投影装置を概略的に描写している。この装置は以下のものを含む。
−投影ビームPBの放射を供給するための放射システムEx、IL。この特定のケースでは放射システムは放射源LAも含む。
−マスクMA(例えば、レチクル)を保持するためのマスクホルダが設けられ、アイテムPLに対してマスクを正確に位置決めするための第1の位置決め手段に接続された第1のオブジェクトテーブル(マスクテーブル)MT
−基板W(例えば、レジストコーティングシリコンウェーハ)を保持するための基板ホルダが設けられ、アイテムPLに対して基板を正確に位置決めするための第2の位置決め手段に接続された第2のオブジェクトテーブル(基板テーブル)WT
−マスクMAの照射部分を基板Wのターゲット部分C(例えば、1つ又は複数のダイを含む)上に結像するための投影システム(「レンズ」)PL(例えば、屈折、反射、又は反射屈折光学システム)
[0084] 本明細書に描写されている通り、この装置は透過タイプのものである(すなわち、透過マスクを有する)。しかし、一般に、この装置は、例えば、反射タイプ(反射マスクを有する)ものにすることもできる。代替的に、この装置は、マスク使用の代替策として他の種類のパターニング手段を使用することもでき、例としてはプログラマブルミラーアレイ又はLCDマトリクスを含む。
[0085] 光源LA(例えば、水銀灯又はエキシマレーザ)は放射ビームを発生する。このビームは、直接又は例えばビームエクスパンダExなどのコンディショニング手段を横断した後に照明システム(イルミネータ)ILに供給される。イルミネータILは、ビーム内の強度分布の外側及び/又は内側半径範囲(一般に、それぞれσ−outer及びσ−innerと呼ばれる)を設定するための調整手段AMを含むことができる。加えて、イルミネータは一般に、インテグレータIN及びコンデンサCOなどの種々の他のコンポーネントを含む。このようにして、マスクMAに衝突するビームPBは、その断面において所望の均一性及び強度分布を有する。
[0086] 図6に関しては、光源LAは(光源LAが例えば水銀灯であるときにしばしばそうであるように)リソグラフィ投影装置のハウジング内にすることができるが、リソグラフィ投影装置から離すこともでき、その装置が発生する放射ビームは(例えば、適切な誘導ミラーを用いて)装置内に誘導され、後者のシナリオは光源LAがエキシマレーザ(例えば、KrF、ArF、又はF2レイジングに基づく)であるときにしばしばそうなることに留意されたい。本発明はこれらのシナリオの少なくとも両者を包含する。
[0087] ビームPBは、その後、マスクテーブルMT上に保持されたマスクMAをインターセプトする。マスクMAを横断した後、ビームPBはレンズPLを通過し、そのレンズが基板Wのターゲット部分CにビームPBを焦点に集める。第2の位置決め手段(及び干渉測定手段IF)を用いて、例えば、異なるターゲット部分CをビームPBの経路内に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、第1の位置決め手段を使用して、例えば、マスクライブラリからマスクMAを機械的に取り出した後又はスキャン中に、ビームPBの経路に対してマスクMAを正確に位置決めすることができる。一般に、オブジェクトテーブルMT、WTの移動は、ロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)を用いて認識されるが、どちらも図6には明確に描写されていない。しかし、(ステップアンドスキャンツールとは対照的に)ウェーハステッパの場合、マスクテーブルMTは、単にショートストロークアクチュエータに接続される場合もあれば、固定される場合もある。
[0088] 描写したツールは以下の2通りのモードで使用することができる。
[0089] ステップモードでは、マスクテーブルMTは本質的に静止状態に保持され、マスクイメージ全体が一度に(すなわち、単一「フラッシュ」で)ターゲット部分Cに投影される。次に、異なるターゲット部分CをビームPBで照射できるように、基板テーブルWTがx方向及び/又はy方向にシフトする。
[0090] スキャンモードでは、所与のターゲット部分Cが単一「フラッシュ」で露光されないことを除いて、本質的に同じシナリオが適用される。その代わりに、マスクテーブルMTは速度vで所与の方向(いわゆる「スキャン方向」、例えば、y方向)に移動可能であり、従って、投影ビームPBはマスクイメージの上をスキャンするようになり、同時に、基板テーブルWTは速度V=Mvで同じ方向又は反対方向に同時に移動し、MはレンズPLの倍率である(典型的に、M=1/4又は1/5)。このように、解像度について妥協する必要なしに、相対的に大きいターゲット部分Cを露光することができる。
[0091] 本明細書に開示されている概念は、サブ波長フィーチャ(sub wavelength feature)を結像するための汎用結像システムをシミュレート又は数学的にモデリングすることができ、ますますサイズが小さくなる波長を発生可能な新結像技術により特に有用である可能性がある。すでに使用されている新技術は、ArFレーザ使用の場合には193nmの波長、フッ素レーザ使用の場合には157nmの波長を発生可能なEUV(極端紫外線)リソグラフィを含む。その上、EUVリソグラフィは、シンクロトロンを使用するか、又はこの範囲内の光子を発生するために高エネルギー電子で材料(固体又はプラズマ)を打つことにより、20〜5nmの範囲内の波長を発生することができる。大半の材料はこの範囲内では吸収性であるので、モリブデンとシリコンのマルチスタックを有する反射ミラーによって照明を発生することができる。このマルチスタックミラーは、40レイヤ対のモリブデンとシリコンを有し、各レイヤの厚さは4分の1波長である。さらに小さい波長はX線リソグラフィで発生することができる。典型的に、X線波長を発生するためにシンクロトロンが使用される。大半の材料はX線波長で吸収性であるので、吸収材料の薄片によって、フィーチャをプリントする場所(ポジティブレジスト)又はフィーチャをプリントしない場所(ネガティブレジスト)が画定される。
[0092] 本明細書に開示されている概念はシリコンウェーハなどの基板上に結像するために使用することができるが、開示されている概念は、任意のタイプのリソグラフィ結像システム、例えば、シリコンウェーハ以外の基板上に結像するために使用されるものでも使用できることを理解されたい。
[0093] その好ましい諸実施形態に関連して本発明について詳細に説明してきたが、本発明の精神及び範囲を逸脱せずに形式及び細部の点で変更及び修正を行えることは当業者にとって容易に明らかになるはずである。
関連出願の相互参照
[0001] 本出願は、その内容全体を本明細書に参照により組み込むものとする2008年11月10日出願の米国特許仮出願第61/113,024号に対する優先権を主張するものである。

Claims (18)

  1. チューニング対象リソグラフィプロセスを基準リソグラフィプロセスに応じてチューニングする方法であって、
    前記基準リソグラフィプロセスと前記チューニング対象リソグラフィプロセスの両方について、透過クロス係数(TCC)を含むそれぞれのリソグラフィプロセスモデルを入手すること、
    前記チューニング対象リソグラフィプロセスの一組の調整可能なパラメータを識別すること
    前記一組の調整可能なパラメータの変化に対する前記チューニング対象リソグラフィプロセスモデルの応答を決定すること、
    前記リソグラフィプロセスモデルをマッチングさせる前記調整可能なパラメータの最適変化を決定すること、
    前記決定された最適変化に基づいて前記チューニング対象リソグラフィプロセスに関する前記モデルを調整することを含む
    方法。
  2. 最適変化を決定する前記ステップが、前記チューニング対象リソグラフィプロセスモデルの2つの実質的に直交する成分を識別することと、前記2つの実質的に直交する成分について最適変化をそれぞれ決定することと、を含む、
    請求項1に記載の方法。
  3. 最適変化を決定する前記ステップが、前記リソグラフィプロセスモデル内の異なる項に不均一な重みを適用することを含む、
    請求項1又は2に記載の方法。
  4. 前記不均一な重みが、前記リソグラフィプロセスで使用されるマスクイメージの特性に基づくものである、
    請求項3に記載の方法。
  5. 最適変化を決定する前記ステップが、前記リソグラフィプロセスを使用して結像される特定のパターンの輪郭を共同で最適化することを含む、
    請求項1から4の何れか一項に記載の方法。
  6. 前記共同で最適化することが、前記特定のパターンのクリティカルディメンションを最適化することを含む、
    請求項5に記載の方法。
  7. 最適変化を決定する前記ステップが、前記リソグラフィプロセスモデルのTCC間のRMS誤差を最小限にすることを含む、
    請求項1から6の何れか一項に記載の方法。
  8. 前記リソグラフィプロセスモデルが、前記それぞれのリソグラフィプロセスの実質的にすべての光学特性を捕捉する、
    請求項1から7の何れか一項に記載の方法。
  9. 前記調整可能なパラメータが、前記リソグラフィプロセスによって実行されるフォトリソグラフィプロセスの設定に対応する、
    請求項1から8の何れか一項に記載の方法。
  10. 命令を記録したコンピュータ可動媒体を含むコンピュータプログラムプロダクトであって、前記命令がコンピュータによって実行されるとチューニング対象リソグラフィプロセスを基準リソグラフィプロセスに応じてチューニングする方法を実現し、
    前記方法が、
    前記基準リソグラフィプロセスと前記チューニング対象リソグラフィプロセスの両方について、透過クロス係数(TCC)を含むそれぞれのリソグラフィプロセスモデルを入手すること、
    前記チューニング対象リソグラフィプロセスの一組の調整可能なパラメータを識別すること、
    前記一組の調整可能なパラメータの変化に対する前記チューニング対象リソグラフィプロセスモデルの応答を決定すること、
    前記リソグラフィプロセスモデルをマッチングさせる前記調整可能なパラメータの最適変化の最適変化を決定すること、
    前記決定された最適変化に基づいて前記チューニング対象リソグラフィプロセスに関する前記モデルを調整することを含む、
    コンピュータプログラムプロダクト。
  11. 最適変化を決定する前記ステップが、前記リソグラフィプロセスで使用されるマスクイメージ内のフィーチャの配向に対応する2つの実質的に直交する方向の最適変化をそれぞれ決定することを含む、
    請求項10に記載のコンピュータプログラムプロダクト。
  12. 最適変化を決定する前記ステップが、前記リソグラフィプロセスモデル内の異なる項に不均一な重みを適用することを含む、
    請求項10又は11に記載のコンピュータプログラムプロダクト。
  13. 前記不均一な重みが前記リソグラフィプロセスで使用されるマスクイメージの特性に基づくものである、
    請求項12に記載のコンピュータプログラムプロダクト。
  14. 最適変化を決定する前記ステップが、前記リソグラフィプロセスを使用して結像される特定のパターンの輪郭を共同で最適化することを含む、
    請求項10から13の何れか一項に記載のコンピュータプログラムプロダクト。
  15. 前記共同で最適化することが、前記特定のパターンのクリティカルディメンションを最適化することを含む、
    請求項14に記載のコンピュータプログラムプロダクト。
  16. 最適変化を決定する前記ステップが、前記リソグラフィプロセスモデルのTCC間のRMS誤差を最小限にすることを含む、
    請求項10から15の何れか一項に記載のコンピュータプログラムプロダクト。
  17. 前記リソグラフィプロセスモデルが、前記それぞれのリソグラフィプロセスの実質的にすべての光学特性を捕捉する、
    請求項10から16の何れか一項に記載のコンピュータプログラムプロダクト。
  18. 前記調整可能なパラメータが、前記リソグラフィプロセスによって実行されるフォトリソグラフィプロセスの設定に対応する、
    請求項10から17の何れか一項に記載のコンピュータプログラムプロダクト。
JP2009248677A 2008-11-10 2009-10-29 モデルベースの汎用マッチング及びチューニングのための方法及びシステム Expired - Fee Related JP5033859B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11302408P 2008-11-10 2008-11-10
US61/113,024 2008-11-10

Publications (2)

Publication Number Publication Date
JP2010114443A JP2010114443A (ja) 2010-05-20
JP5033859B2 true JP5033859B2 (ja) 2012-09-26

Family

ID=42232499

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009248812A Active JP5033860B2 (ja) 2008-11-10 2009-10-29 高速感度モデル計算のためのデルタtcc
JP2009248677A Expired - Fee Related JP5033859B2 (ja) 2008-11-10 2009-10-29 モデルベースの汎用マッチング及びチューニングのための方法及びシステム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2009248812A Active JP5033860B2 (ja) 2008-11-10 2009-10-29 高速感度モデル計算のためのデルタtcc

Country Status (4)

Country Link
US (4) US8443307B2 (ja)
JP (2) JP5033860B2 (ja)
CN (1) CN101846886B (ja)
NL (3) NL2003718A (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2003718A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Methods and system for model-based generic matching and tuning.
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003716A (en) 2008-11-24 2010-05-26 Brion Tech Inc Harmonic resist model for use in a lithographic apparatus and a device manufacturing method.
JP2010156866A (ja) * 2008-12-27 2010-07-15 Toshiba Corp 特徴量抽出方法、テストパターン選択方法、レジストモデル作成方法および設計回路パターン検証方法
US8805630B2 (en) * 2009-08-25 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for modeling in semiconductor fabrication
US8355807B2 (en) * 2010-01-22 2013-01-15 Synopsys, Inc. Method and apparatus for using aerial image sensitivity to model mask errors
US8812145B2 (en) 2010-01-22 2014-08-19 Synopsys, Inc. Modeling mask errors using aerial image sensitivity
KR101096979B1 (ko) * 2010-05-07 2011-12-20 주식회사 하이닉스반도체 반도체 소자의 패턴 균일도 조절 방법
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
NL2008924A (en) 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US9466100B2 (en) 2012-06-06 2016-10-11 Kla-Tencor Corporation Focus monitoring method using asymmetry embedded imaging target
KR101396088B1 (ko) 2012-12-10 2014-05-27 한양대학교 산학협력단 3차원 cmos 전계효과 트랜지스터 및 이의 제조방법
US9519285B2 (en) * 2013-01-23 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and associated methods for tuning processing tools
TWI710866B (zh) 2014-05-30 2020-11-21 日商尼康股份有限公司 用於微影步驟之電腦程式及電腦可讀取記錄媒體
KR102136796B1 (ko) 2015-10-19 2020-07-23 에이에스엠엘 네델란즈 비.브이. 비선형 거동의 영향을 저감시키는 방법 및 장치
WO2017067765A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
KR102087310B1 (ko) * 2015-10-19 2020-03-11 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
WO2017067757A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
CN111868634B (zh) 2018-03-20 2023-06-09 Asml荷兰有限公司 用于加速抗蚀剂和蚀刻模型校准的实时调节方法
US10867112B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
US10990002B2 (en) * 2019-07-23 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Sub-resolution assist features

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
ATE123885T1 (de) 1990-05-02 1995-06-15 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP4075966B2 (ja) 1996-03-06 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 差分干渉計システム及びこのシステムを具えたリソグラフステップアンドスキャン装置
WO2001084382A1 (en) * 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
TW500987B (en) * 2000-06-14 2002-09-01 Asm Lithography Bv Method of operating an optical imaging system, lithographic projection apparatus, device manufacturing method, and device manufactured thereby
TW552561B (en) 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6809809B2 (en) 2000-11-15 2004-10-26 Real Time Metrology, Inc. Optical method and apparatus for inspecting large area planar objects
TWI285295B (en) 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
TWI285299B (en) * 2001-04-04 2007-08-11 Asml Netherlands Bv Lithographic manufacturing process, lithographic projection apparatus, and device manufactured thereby
WO2003104921A2 (en) * 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
JP2004103674A (ja) * 2002-09-06 2004-04-02 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2006502518A (ja) 2002-10-07 2006-01-19 メンパイル インク 精密なフォーカシングの方法及びシステム
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP2004341160A (ja) * 2003-05-15 2004-12-02 Seiko Epson Corp 露光用マスク、光近接効果補正装置、光近接効果補正方法、半導体装置の製造方法および光近接効果補正プログラム
US7646906B2 (en) * 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
KR100824031B1 (ko) 2004-01-30 2008-04-21 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
JP2007520892A (ja) 2004-02-03 2007-07-26 メンター・グラフィクス・コーポレーション イメージの忠実度およびスループットに対する光源の最適化
US7079223B2 (en) * 2004-02-20 2006-07-18 International Business Machines Corporation Fast model-based optical proximity correction
WO2005098686A2 (en) * 2004-04-02 2005-10-20 Clear Shape Technologies, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
JP2007535135A (ja) * 2004-04-20 2007-11-29 ライテル・インストルメンツ リソグラフ投影ツールのエミュレーション法
US7403264B2 (en) * 2004-07-08 2008-07-22 Asml Netherlands B.V. Lithographic projection apparatus and a device manufacturing method using such lithographic projection apparatus
US7116411B2 (en) * 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
US7331033B2 (en) 2004-08-27 2008-02-12 Applied Materials, Israel, Ltd. Simulation of aerial images
US7251807B2 (en) 2005-02-24 2007-07-31 Synopsys, Inc. Method and apparatus for identifying a manufacturing problem area in a layout using a process-sensitivity model
US7262831B2 (en) * 2004-12-01 2007-08-28 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method using such lithographic projection apparatus
US7297453B2 (en) * 2005-04-13 2007-11-20 Kla-Tencor Technologies Corporation Systems and methods for mitigating variances on a patterned wafer using a prediction model
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7374957B2 (en) * 2005-07-11 2008-05-20 Asml Netherlands B.V. Method of calibrating or qualifying a lithographic apparatus or part thereof, and device manufacturing method
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100958714B1 (ko) * 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
CN102662309B (zh) * 2005-09-09 2014-10-01 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7425397B2 (en) 2005-09-12 2008-09-16 Asml Netherlands B.V. Method of determining an illumination profile and device manufacturing method
US7830493B2 (en) * 2005-10-04 2010-11-09 Asml Netherlands B.V. System and method for compensating for radiation induced thermal distortions in a substrate or projection system
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7433791B2 (en) * 2006-02-17 2008-10-07 Asml Masktools B.V. Method of performing multiple stage model calibration for optical imaging simulation models
JP2009530824A (ja) * 2006-03-16 2009-08-27 ケーエルエー−テンカー テクノロジィース コーポレイション 露光ツール群においてアライメント性能を最適化するための方法およびシステム
WO2007124930A1 (en) * 2006-04-28 2007-11-08 Micronic Laser Systems Ab Method and apparatus for recording of images and study of surfaces
US7743357B2 (en) * 2006-05-31 2010-06-22 Synopsys, Inc. Method and apparatus for determining a process model that models the impact of CAR/PEB on the resist profile
US8102408B2 (en) * 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
US8040573B2 (en) * 2006-08-14 2011-10-18 Asml Masktools B.V. Method, program product and apparatus for translating geometrical design rules into boundary conditions in the imaging space so as to define test patterns for use in optical model calibration
JP4707701B2 (ja) * 2006-11-08 2011-06-22 エーエスエムエル マスクツールズ ビー.ブイ. 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
US7873204B2 (en) 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
US8611637B2 (en) * 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
KR101769258B1 (ko) * 2007-01-18 2017-08-17 가부시키가이샤 니콘 스캐너 기반의 광 근접 보정 시스템 및 이용 방법
US7962863B2 (en) * 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7999920B2 (en) * 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
WO2009042671A1 (en) * 2007-09-24 2009-04-02 The Board Of Trustees Of The University Of Illinois Three-dimensional microfabricated bioreactors with embedded capillary network
US7673278B2 (en) * 2007-11-29 2010-03-02 Tokyo Electron Limited Enhanced process yield using a hot-spot library
US7925369B2 (en) * 2007-12-18 2011-04-12 Globalfoundries Inc. Method and apparatus for optimizing models for extracting dose and focus from critical dimension
NL1036750A1 (nl) * 2008-04-14 2009-10-15 Brion Tech Inc A Method Of Performing Mask-Writer Tuning and Optimization.
JP5913979B2 (ja) * 2008-06-03 2016-05-11 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのプロセスシミュレーション方法
US8006203B2 (en) * 2008-08-28 2011-08-23 Synopsys, Inc. Bulk image modeling for optical proximity correction
US8181128B2 (en) * 2008-10-13 2012-05-15 Synopsys, Inc. Method and apparatus for determining a photolithography process model which models the influence of topography variations
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003718A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Methods and system for model-based generic matching and tuning.
US8612903B2 (en) * 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
JP2013004672A (ja) * 2011-06-15 2013-01-07 Toshiba Corp シミュレーションモデル作成方法
NL2008924A (en) * 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.

Also Published As

Publication number Publication date
US8443307B2 (en) 2013-05-14
US20150074619A1 (en) 2015-03-12
US10169522B2 (en) 2019-01-01
CN101846886A (zh) 2010-09-29
NL2003718A (en) 2010-05-11
NL2003719A (en) 2010-05-11
NL2003729A (en) 2011-01-10
US20130263064A1 (en) 2013-10-03
US8379991B2 (en) 2013-02-19
CN101846886B (zh) 2013-01-09
JP5033860B2 (ja) 2012-09-26
JP2010118655A (ja) 2010-05-27
US8893058B2 (en) 2014-11-18
US20100146475A1 (en) 2010-06-10
JP2010114443A (ja) 2010-05-20
US20100260427A1 (en) 2010-10-14

Similar Documents

Publication Publication Date Title
JP5033859B2 (ja) モデルベースの汎用マッチング及びチューニングのための方法及びシステム
JP5414455B2 (ja) リソグラフィモデル較正のためのパターン選択
JP5191975B2 (ja) リソグラフィ較正のための方法
JP5016585B2 (ja) リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
JP5461477B2 (ja) モデルベーススキャナ調整を実行する方法
JP4707701B2 (ja) 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
JP7438275B2 (ja) デバイス製造方法の制御パラメータを決定する方法
TWI723292B (zh) 圖案化製程之最佳化流程
TWI750648B (zh) 用於判定與期望圖案相關聯之隨機變異之方法
JP2009265659A (ja) マスクライタ調整及び最適化を巣っこする方法
TW202006346A (zh) 基於自電子束影像擷取的3d資訊的隱藏缺陷偵測和epe估計
KR101394585B1 (ko) 3d 토포그래픽 웨이퍼들을 위한 리소그래피 모델
KR20180011263A (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
TWI752652B (zh) 在裝置製程中的方法、非暫態電腦可讀媒體、及組態以執行該方法的系統
US8792147B2 (en) Method, program product and apparatus for creating optimal test patterns for optical model calibration and for selecting suitable calibration test patterns from an arbitrary layout
TW202028859A (zh) 用於高數值孔徑穿縫源光罩最佳化之方法
TWI813192B (zh) 依據微影設備或製程特徵所特徵化之表示選擇圖案
TW202333079A (zh) 產生擴增資料以訓練機器學習模型以保持物理趨勢

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120403

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120604

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120702

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150706

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees