JP5033860B2 - 高速感度モデル計算のためのデルタtcc - Google Patents

高速感度モデル計算のためのデルタtcc Download PDF

Info

Publication number
JP5033860B2
JP5033860B2 JP2009248812A JP2009248812A JP5033860B2 JP 5033860 B2 JP5033860 B2 JP 5033860B2 JP 2009248812 A JP2009248812 A JP 2009248812A JP 2009248812 A JP2009248812 A JP 2009248812A JP 5033860 B2 JP5033860 B2 JP 5033860B2
Authority
JP
Japan
Prior art keywords
image
difference
additional
optical system
function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009248812A
Other languages
English (en)
Other versions
JP2010118655A (ja
Inventor
カオ,ユ
イェ,ジュン
シャオ,ウェンジン
カオ,ホワ
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2009/049792 external-priority patent/WO2010005957A1/en
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2010118655A publication Critical patent/JP2010118655A/ja
Application granted granted Critical
Publication of JP5033860B2 publication Critical patent/JP5033860B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Epidemiology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

[0001] 本発明は、一般に、リソグラフィプロセスに関連する結像結果のシミュレーションを実行するための方法及びコンピュータプロダクトに関する。
[0002] 例えば、集積回路(IC)の製造などにリソグラフィ装置を使用することができる。その場合、マスクは、ICの個々のレイヤに対応する回路パターンを含むことができ、このパターンを放射感応性材料(レジスト)のレイヤでコーティングされた基板(シリコンウェーハ)上のターゲット部分(例えば、1つ又は複数のダイを含む)上に結像することができる。一般に、1つのウェーハは、投影システムを介して一度に1つずつ連続的に照射される隣接するターゲット部分のネットワーク全体を含む。あるタイプのリソグラフィ投影装置では、マスクパターン全体をターゲット部分上に一度に露光することで各ターゲット部分が照射される。上記装置は、一般にウェーハステッパと呼ばれる。ステップアンドスキャン装置と一般に呼ばれる別の装置では、投影ビームが当たったマスクパターンを所与の基準方向(「スキャン」方向)に漸進的にスキャンしながら、これに同期してこの方向に平行又は逆平行に基板テーブルをスキャンすることで各ターゲット部分が照射される。一般に、投影システムは、倍率係数M(一般に、<1)を有するので、基板テーブルがスキャンされる速度Vは、係数Mにマスクテーブルのスキャン回数を乗じた値になる。本明細書に記載するリソグラフィデバイスに関する詳細情報は、例えば、本明細書に参照により組み込むものとする米国特許第6,046,792号から入手することができる。
[0003] 集積回路の製造プロセスは、半導体ウェーハ上に複数レイヤの回路パターンを結像することを含む。回路素子の寸法が絶え間なく縮小される半導体業界のますます厳しくなる要求に対処するために、カスタマイズした照明方式、位相シフトマスク、及び光近接効果補正(OPC)などの技術を使用することができる。リソグラフィプロセスをシミュレートしてプリントパターンが設計ターゲットに適合することを確認し、実験コストを統御するために、「コンピュータリソグラフィ(computational lithography)」として知られる数値方法が必要になる場合が多い。このような数値方法は、光学設定に対する「感度(sensitivities)」とも呼ばれる小さい摂動(perturbations)によって引き起こされる空間像(AI:aerial images)及びクリティカルディメンション(CD:critical dimensions)の変化を予測するために非常に正確であることが望ましい。
[0004] 光学システムの光学設定の変動によって発生する任意のマスクパターンの結像の変化を正確かつ迅速にシミュレートする方法を提供することが望ましい。
[0005] 本発明の一態様により、パターンの基準イメージ(reference image)と追加イメージ(further image)との差を決定するための方法が提供され、この方法は、基準結像関数(reference imaging function)を決定すること、基準結像関数と追加結像関数(further imaging function)との差を表す差分関数(difference function)のパラメータを決定すること、差分関数及び決定されたパラメータに基づいてパターンの基準イメージと追加イメージとの差を計算することを含む。
[0006] 本発明の一態様では、追加結像関数は基準結像関数及び差分関数に基づいて決定される。
[0007] 本発明の一態様では、追加結像関数は基準結像関数と差分関数を連結することによって決定される。本発明の他の一実施形態では、パターンの基準イメージと追加イメージとの差を計算することは、パターンの基準イメージと追加イメージとの像強度の差を決定することを含む。加えて、パターンの基準イメージと追加イメージとの差を計算することは、基準イメージ及び追加イメージにおけるクリティカルディメンションの測定間の差を決定することをさらに含むことができる。
[0008] 本発明の他の実施形態では、差分関数の項の少なくとも一部は固有関数及び対応する固有値で表され、簡易差分関数(simplified difference function)は固有値に基づいて固有関数及び固有値を無視することによって決定される。本発明の一実施形態では、簡易差分関数は、300個未満の固有値又は100個未満の固有値を含む。
[0009] 本発明の一実施形態では、基準イメージは、基準条件下の基準光学システム(reference optical system)によって形成されたパターンのイメージをモデリングし、追加イメージは、追加光学システム(further optical system)によって形成された、又は追加条件下の基準光学システムによって形成された、又は改良基準光学システム(modified optical system)によって形成されたパターンのイメージをモデリングする。
[0010] 本発明の一実施形態では、基準イメージと追加イメージとの差は、追加光学システム、基準光学システム、又は改良基準光学システムの条件を変更することによって減少する。基準光学システムは、リソグラフィ露光装置の投影システムにすることができる。
[0011] 本発明の他の態様では、機械実行可能命令を有するコンピュータプロダクトが提供され、その命令はマスクパターンのイメージの変化を決定するための方法を実行するように機械によって実行される。一実施形態では、この方法は、基準結像関数を決定すること、基準結像関数と追加結像関数との差を表す差分関数のパラメータを決定すること、差分関数及び決定されたパラメータに基づいてパターンの基準イメージと追加イメージとの差を計算することを含む。
[0012] 本発明の上記その他の態様及び特徴は、添付図面に併せて本発明の特定の諸実施形態に関する以下の説明を検討すると、当業者にとって明らかになる。
[0013]典型的なリソグラフィ投影システムを示す模範的なブロック図である。 [0014]リソグラフィシミュレーションモデルの機能モジュールを示す模範的なブロック図である。 [0015]本発明の一実施形態により、パターンの基準イメージと追加イメージとの差を決定するための方法を表すフローチャートである。 [0016]照明システムの2通りの強度プロファイルの一方を示す図である。 [0017]従来の方法を使用して計算された照明変化に対するイメージ感度を示す図である。 [0018]図3の方法を使用して計算された照明変化に対するイメージ感度を示す図である。 [0019]照明システムの2通りの強度プロファイルの一方を示す図である。 [0020]従来の方法を使用して計算された照明変化に対するイメージ感度を示す図である。 [0021]図3の方法を使用して計算された照明変化に対するイメージ感度を示す図である。 [0022] 本発明のシミュレーション方法の実現を支援可能なコンピュータシステムを示すブロック図である。 [0023]本発明の方法による使用に適したリソグラフィ投影装置を概略的に描写する図である。
[0024] 次に、当業者が本発明を実施できるように本発明の図示例として提供される図面に関連して本発明について説明する。注目すべきことに、以下の図及び例は本発明の範囲を単一実施形態に限定するためのものではなく、説明又は例示された要素の一部又は全部を交換することにより他の実施形態が可能になる。その上、本発明の特定の要素が既知のコンポーネントを使用して部分的に又は完全に実現することができる場合、このような既知のコンポーネントのうち本発明の理解に必要な部分についてのみ説明し、本発明を曖昧にしないためにこのような既知のコンポーネントの他の部分に関する詳細な説明は省略する。ソフトウェアで実現されるものとして記載されている諸実施形態は、それに限定するべきではなく、本明細書に別段の指定がない限り、当業者にとって明らかになるように、ハードウェア又はソフトウェアとハードウェアの組合せで実現される諸実施形態を含むことができ、逆もまた同様である。本明細書では、単数形のコンポーネントを示す一実施形態は限定的であると見なすべきではなく、むしろ本発明は、本明細書に別段の明確な表明がない限り、複数の同じコンポーネントを含む他の諸実施形態を包含するものであり、逆もまた同様である。その上、本出願人は、このような明確な規定がない限り、本明細書又は特許請求の範囲内の任意の用語に珍しい意味又は特殊な意味を割り当てないものとする。さらに、本発明は、例示により本明細書で参照される既知のコンポーネントと同等で現在及び将来の既知のものを包含する。
[0025] 本発明について考察する前に、全体的なシミュレーション及び結像プロセスに関する簡単な考察を行う。図1は、模範的なリソグラフィ投影システム10を示している。主要コンポーネントは、深紫外線エキシマレーザ源にすることができる光源又は放射線源12と、部分コヒーレンス(シグマとして表示)を画定し、特定の光源整形光学系14、16a及び16bを含むことができる照明光学系と、パターニングデバイス(例えば、マスク又はレチクル)18と、ウェーハ面22上にパターニングデバイスパターンのイメージを生成する投影光学系16cである。瞳面のアジャスタブルフィルタ又はアパーチャ20は、最大可能角が投影光学系の開口数NA=sin(Θmax)を画定するウェーハ面22に衝突するビーム角の範囲を制限することができる。
[0026] リソグラフィシミュレーションシステムでは、例えば、図2に示されているように個別の機能モジュールでこれらの主要システムコンポーネントを記述することができる。図2を参照すると、機能モジュールとしては、ターゲット設計を画定する設計レイアウトモジュール26と、結像プロセスで使用するパターニングデバイス(例えば、マスク)を画定するパターニングデバイスレイアウトモジュール(例えば、マスクレイアウトモジュール)28と、シミュレーションプロセス中に使用するパターニングデバイスレイアウト(例えば、マスクレイアウト)のモデルを画定するパターニングデバイスモデルモジュール(例えば、マスクモデルモジュール)30と、リソグラフィシステムの光学コンポーネントの性能を画定する光学モデルモジュール32と、所与のプロセスで使用するレジストの性能を画定するレジストモデルモジュール34とを含む。既知の通り、シミュレーションプロセスの結果は、例えば、結果モジュール36内に予測輪郭及びCDを生成する。
[0027] より具体的には、NA−シグマ(σ)設定並びに任意の特定の照明源形状を含むが、これらに限定されない照明及び投影光学系の特性が光学モデル32で捕捉されることは注目に値する。この場合、σ(又はシグマ)は外側半径範囲である。当業者であれば認識するように、NA及びσは既知のパラメータである。基板上にコーティングされたフォトレジストレイヤの光学特性、すなわち、屈折率、膜厚、伝搬及び偏光効果も光学モデル32の一部として捕捉することができる。パターニングデバイスモデル(例えば、マスクモデル)30は、パターニングデバイス(例えば、レチクル又はマスク)の設計上の特徴を捕捉し、例えば、米国特許出願第10/530,402号に記載されているように、パターニングデバイス(例えば、レチクル又はマスク)の詳細な物理的性質の表現も含むことができる。最後に、レジストモデル34は、例えば、基板ウェーハ上に形成されたレジストフィーチャの輪郭を予測するために、レジスト露光、PEB及び現像中に行われる化学プロセスの効果を記述する。シミュレーションの目的は、例えば、ターゲット設計と比較可能なエッジ配置及びCDを正確に予測することである。ターゲット設計は、一般に、プレOPC(pre-OPC)パターニングデバイスレイアウトと定義され、GDSII又はOASISなどの標準化されたデジタルファイルフォーマットで提供される。
[0028] 一般に、光学モデルとレジストモデルとの接続はレジストレイヤ内のシミュレートされた空間像であり、これは基板上への放射線又は光の投影、レジスト境界面での屈折、並びにレジストフィルムスタック内の複数の反射から発生する。光強度分布(空間像)は、光子の吸収により潜在する「レジスト像(resist image)」になり、これは拡散プロセス及び種々のローディング効果によってさらに変更される。フルチップ適用に十分な高速である効率的なシミュレーション方法は、2次元空間(及びレジスト)像によってレジストスタック内の現実的な3次元強度分布を近似する。
[0029] 従って、上記から明らかになるように、モデル公式はプロセス全体の既知の物理現象及び化学作用をすべて記述し、それぞれのモデルパラメータは別個の物理効果又は化学効果に対応する。それ故、モデル公式はそのモデルを使用してリソグラフィプロセス全体をどのようにシミュレートできるかに関する上限を設定する。従って、モデルパラメータの精密な較正は極めて重要である。
[0030] モデルパラメータを定義できる方法は様々である。リソグラフィモデルの効率的な実現例の1つは以下の形式主義を使用して可能であり、その場合、イメージ(この場合はスカラ形式であり、偏光ベクトル効果を含むように展開することができる)は瞳面内の信号振幅におけるフーリエ合計として表される。標準的なホプキンス理論(Hopkins theory)によれば、空間像強度は以下の式で定義することができる。
[0031] 但し、AI(x)は像平面内の点xにおける空間像強度であり(表記の簡略化のために、単一変数で表される2次元座標を使用する)、kはソース面上の点を表し、S(k)は点kからのソース強度であり、k’及びk”は瞳面上の点であり、Mはマスクイメージのフーリエ変換であり、Pは瞳関数であり、そして、
である。
[0032] 上記の導出の重要な態様は、加法順序の変化(kにおける合計を内側に移動する)及び指数の変化(k’をk+k’で置換し、k”をk+k”で置換する)であり、その結果、式中の3行目の角括弧の内側の項によって定義される透過クロス係数(TCC:Transmission Cross Coefficient)が分離される。これらの係数はパターニングデバイスパターン(例えば、マスクパターン)とは無関係であり、従って、光学素子又は構成(例えば、NA及びσ又は詳細なイルミネータプロファイル)のみの知識を使用して事前計算することができる。さらに、所与の例(式1)ではスカラ結像モデルから導出されるが、この形式主義はベクトル結像モデルに展開することもでき、偏光コンポーネントが別々に合計されることは注目に値する。
[0033] さらに、近似空間像AIは、TCC行列を対角化して、以下「diagTCC」とも呼ばれる対角化TCC行列を求め、その最大固有値に対応するカーネルを保持する(切り捨てによる)ことによって決定できる、限られた数のドミナントTCCカーネルのみを使用することによって計算することができ、すなわち、
[0034]
である。
但し、λi(i=1,...,N)はN個の最大固有値を示し、φi(・)はTCC行列の対応する固有ベクトルを示す。(式2)はまさにすべてのカーネルが固有級数展開(Eigen series expansion)に保持される場合であり、すなわち、NがTCC行列のランクに等しい場合であることは注目に値する。しかし、実際の適用例では、計算プロセスの速度を上げるためにより小さいN(すなわち、n)を選択することによりこの級数を切り捨てることが典型的である。固有級数に保持されるカーネル数が多いほど、計算量が多くなるという犠牲を払って、より高い正確さが保持される。一般に、対角化動作以前のTCC行列は「生」のTCC行列と呼ぶことができ、以下「rawTCC」と示す。
[0035] それ故、(式1)は以下のように書き直すことができる。
但し、
であり、|・|は複素数の大きさを示す。
[0036] 十分に大きい数のTCCカーネルと適切なモデル較正方法を使用することにより、光学投影プロセスの正確な記述を可能にし、空間像の正確な表現を提供する。空間像の計算に関する追加情報は、その内容全体を本明細書に参照により組み込むものとする、2005年3月22日発行の米国特許第6,871,337号"Illumination Optimization For Specific Mask Patterns"から入手することができる。
[0037] 認識されるように、空間像AIはパターニングデバイス(例えば、マスク)イメージ及び透過クロス係数(TCC)に依存するだけである。TCCは光学システムの光学特性をすべて捕捉する。2つの光学システムに対応する2つのモデルが同じTCCを有する場合、2つのモデルからの空間像は同じパターニングデバイス(例えば、マスク)について完全にマッチングする。モデルのレジスト部も同じである場合、プリント結果も完全にマッチングする。
[0038] 製造環境では、光学システム(例えば、リソグラフィ露光装置内の第2のスキャナ又は第2の投影システム)の性能を基準光学システム(例えば、リソグラフィ露光装置内の第1の基準スキャナ又は第1の光学システム)にマッチングさせることが望ましい場合が多い。さらに、所与の光学システム内の光学設定の変化によって発生する空間像の変化を予測することも望ましい。これは、光学システムの開口数(NA)、シグマ、ステージ傾斜、露光ドーズなどのチューニングすべき光学システムの光学設定の微調整を含む。このような変化は非常に小さい可能性がある。例えば、0.01というNAの変化、又は10mσというσinner/outer値の変化は典型的である。光学設定に対する「感度」としても知られるこのような小さい摂動によって引き起こされる空間像(AI)及びクリティカルディメンション(CD)の変化を予測するために、非常に正確な光学モデルが必要である。
[0039] 光学設定は切り捨てられたTCC固有級数によって表されるので、切り捨て誘導誤差はモデル感度において重要な役割を果たす。シミュレーション実験によれば、64個のTCCカーネルの従来の設定は満足な正確さで摂動を捕捉するには不十分であることが分かっている。実際に、場合によっては1024個までのTCCカーネルが使用される。
[0040] (1)基準光学システムから他の光学システムへ又は(2)光学システム内で、空間像及びクリティカルディメンションの変化を予測するために使用可能な従来の手法の1つは、以下のように2つのTCC級数を使用して2組の空間像を計算することを含む。
[0041] 従来の手法では、以下の式4に示されているように、まず、第1の光学設定(「state_1」として示す)を有する基準光学システムについて、TCC行列(以下「rawTCC_1」としても示す)を使用してモデリングする。次に、第1のTCC行列を対角化し切り捨てて、最大固有値を有するカーネルを選択する。対角化及び切り捨て動作の結果は、以下TCC_1|largeとして示す限られた数のTCCカーネルを提供する。前述の通り、典型的に、小さい摂動を正確に説明するために、空間像を計算するときに多数のカーネルが必要である。式3を使用して空間像(AI(diagTCC_1)|large)を決定することができる。次に、空間像(AI(diagTCC_1)|large)のプロファイルに基づいて所与のパターンのクリティカルディメンション(CD(diagTCC_1)|large)を求める。
[0042] 式5に示されているように、第2の光学設定について同様の計算を実行する。第2の光学設定は、異なる光学システム又は同じ光学システムの光学設定であるが、例えば、光学素子のドリフト又は加熱によって引き起こされた摂動状態の光学システムの光学設定に対応する可能性がある。それ故、第2の光学設定(「state_2」として示す)を有する第2の光学システムについて、TCC行列(以下「rawTCC_2」としても示す)を使用してモデリングする。次に、第2のTCC行列を対角化し切り捨てて、最大固有値を有するカーネルを選択する。対角化及び切り捨て動作の結果は、以下diagTCC_2|largeとして示す限られた数のTCCカーネルを提供する。式3を使用して空間像(AI(diagTCC_2)|large)を決定することができる。次に、空間像のプロファイルに基づいて所与のパターンのクリティカルディメンション(CD(diagTCC_2)|large)を求める。
[0043] 基準光学システムと第2の光学システムとの光学設定の変化又は所与の光学システム内の異なる光学設定間の光学設定の変化によって発生するクリティカルディメンション感度は、以下のように計算することができる。
[0044] 小さい摂動によるクリティカルディメンションの変化を計算するための上記の方法は、多数のカーネル(典型的に1024個までのTCCカーネル)を選択したときに良好な結果をもたらすが、これは計算速度を犠牲にして、それ故、シミュレーションスループットを犠牲にして行われる。従って、光学設定の小さい摂動による空間像の変動を正確かつ迅速に決定できる手法を提供することが望ましい。
[0045] 本発明の一実施形態では、極端に大きい組のTCCカーネルを有する2つの光学モデルを使用して、対応する光学設定を記述し、感度を計算する代わりに、2つの小さい組のTCCカーネルについて計算する。第1の組のTCCカーネルは、光学システム状態のうちの1つ(以下「基本状態(base state)」として示す)に対応する可能性のある基準結像関数を記述し、「ΔTCC」と呼ばれる第2の組は、光学設定の変化を表す差分結像関数(difference imaging function)を記述する。基準結像関数(第1の組のTCCカーネル)と差分結像関数(第2の組のTCCカーネル)とを使用して新しい一組のTCCカーネルを作成することにより、追加結像関数を計算する。新しい一組のTCCカーネルは、第2の光学システム状態(以下「摂動状態(perturbed state)」として示す)を表すことができる。次に、この2組のTCC、すなわち、摂動状態を表す新しい一組のTCCカーネルと、基本状態を表す一組のTCCカーネルを感度計算に使用する。
[0046] 本発明の一実施形態では、基本状態と摂動状態は、異なる光学システム(例えば、第1の又は基準リソグラフィ装置及び第2の又は追加リソグラフィ装置)の異なる光学設定を表す。代替的に、基本状態と摂動状態は、同じ光学システムの異なる光学設定を表す。光学設定は、例えば、光学システムの開口数、露光ドーズ、及びイルミネータ内の照明のプロファイル(例えば、σinner及びσouterによって特徴付けられる)を含む種々のパラメータで記述することができる。追加のパラメータを使用して、本発明の他の諸実施形態において光学設定の変化を特徴付けることができることが認識されるであろう。
[0047] 次に図3を参照すると、同図はパターンの基準イメージと追加イメージとの差を決定するための方法300を示している。方法300は手順310から始まり、そこで基準結像関数を決定する。基準結像関数は、第1の又は基準光学システムの基本状態(「state_1」)を表すことができる。基準結像関数は、(a)S(k)が第1の光学システムのソース強度であり、P(k)が第1の光学システムの瞳関数である
によって定義される第1の行列の透過クロス係数(TCCk,k')を使用すること、並びに(b)その第1の行列の透過クロス係数(rawTCC_1k,k')を対角化して、λi(i=1,...,N)がN個の最大固有値であり、Φiが対応する固有ベクトルである
によって定義される対角化した第1の組の透過クロス係数カーネル(diagTCC_1k,k')を求めることにより、構築することができる。対角化した行列diagTCC_1k,k'は、結像に対する寄与率が最大であると思われる、最高固有値を有する少数のTCCカーネルを保持するように切り捨てられる。この切り捨て動作は、基準結像関数に対応する「diagTCC_1k,k'small」として示されるTCCカーネルの行列を提供する。典型的に、選択されたTCCカーネルの数nは、光学システムの特性、光学変化の性質、結像されるマスクパターンの形状、必要なレベルの正確さによって決まる。一実施形態では、TCCカーネルの数nは約300未満にすることができる。他の実施形態では、TCCカーネルの数nは約100未満にすることができる。
[0048] 基準結像関数の計算後、この方法は手順320に移行し、そこで基準結像関数と追加結像関数との差を表す差分関数のパラメータを決定する。差分関数は基本状態から摂動状態への光学設定の変化を表す。前記の通り、基本状態と摂動状態は、(a)異なる光学システム又は(b)同じ光学システムの異なる光学設定を表すことができる。一実施形態では、差分関数は、例えば、第1の光学システムの基本状態を表す第1の行列の透過クロス係数(rawTCC_1k,k')と、摂動状態を表す第2の行列の透過クロス係数(rawTCC_2k,k')との差(ΔrawTCC)を決定することによって計算される。摂動状態は、第2の又は追加光学システムあるいは同じ光学システムに関連する可能性がある。第2の行列の透過クロス係数(rawTCC_2k,k')は
によって定義される。それ故、差分関数は
になる。実際には、差分関数ΔrawTCCは透過クロス係数の行列に対応する。
[0049] 例えば、第1の光学システムの基本状態を表す第1の行列の透過クロス係数(rawTCC_1k,k')と、摂動状態を表す第2の行列の透過クロス係数(rawTCC_2k,k')との差分関数(ΔrawTCC)は、対角化され切り捨てられた透過クロス係数の行列を提供する。基準結像関数と同様に、切り捨て動作は、最高固有値を有し、「ΔdiagTCCk,k'small」として示される少数n’個のTCCカーネルを保持するように行われる。TCCカーネルの数n’は、光学システムの特性、光学変化の性質、結像されるマスクパターンの形状、必要なレベルの正確さによって決まる。一実施形態では、TCCカーネルの数n’は約300未満にすることができる。他の実施形態では、TCCカーネルの数n’は約100未満にすることができる。一実施形態では、手順310で選択されたカーネルの数nは手順320で選択されたカーネルの数n’と同じにすることができる。他の実施形態では、この数nはn’より小さい場合もあれば、大きい場合もある。切り捨て動作によって簡易差分関数が得られる。
[0050] 追加結像関数は基準結像関数及び差分関数に基づくものである。一実施形態では、追加結像関数は、基準結像関数と差分関数を連結することによって決定される。例えば、diagTCC_1k,k'small:ΔdiagTCCk,k'smallとして示される追加結像関数は、基準結像関数diagTCC_1k,k'smallと差分関数ΔdiagTCCk,k'smallを使用して作成され、式中、「:」という符号は2組の対角化TCCカーネルの結合を示す。例えば、一実施形態では、追加結像関数は、一組のTCCカーネルdiagTCC_1k,k'smallと一組のTCCカーネルΔdiagTCCk,k'smallを連結することによって作成される。追加結像関数は、摂動状態を表し、マスクパターンの空間像AI(diagTCC_1k,k'small:ΔdiagTCC|small)及びクリティカルディメンションCD(diagTCC_1k,k'small:ΔdiagTCCk,k'small)を計算するために使用される。
[0051] 図3に戻って参照すると、この方法は手順330に移行し、そこで差分関数及び決定されたパラメータに基づいてパターンの基準イメージと追加イメージとの差を計算する。一実施形態では、パターンの基準イメージと追加イメージとの差を計算することは、パターンの基準イメージと追加イメージとの像強度の差を決定することを含む。さらに、パターンの基準イメージと追加イメージとの差を計算することは、基準イメージ及び追加イメージにおけるクリティカルディメンションの測定間の差を決定することを含むことができる。
[0052] 例えば、空間像感度ΔAIは、追加結像関数を使用して決定された空間像と、基準結像関数を使用して決定された空間像との差を決定することによって計算される。それ故、ΔAI=AI(diagTCC_1k,k'small:ΔdiagTCC|small)−AI((diagTCC_1k,k'small)になる。クリティカルディメンション感度は、追加結像関数を使用して決定されたクリティカルディメンションCD(diagTCC_1k,k'small:ΔdiagTCCk,k'small)と基準結像関数を使用して決定されたクリティカルディメンションCD(diagTCC_1k,k'small)との差を計算することによって、空間像感度から推論することができる。
[0053] 一実施形態では、基準イメージと追加イメージとの差は、追加光学システム、基準光学システム、又は改良基準光学システムの条件を変更することによって減少する。
[0054] 図3の実施形態に定義された手法では、摂動がベースライン空間像と結合される従来の手法とは対照的に、摂動が「個別に」処理される。その推論としては、摂動に対応する差分関数ΔdiagTCCk,k'smallは典型的にランクが低くなり、従って、感度を効果的に捕捉するためにより多くのTCCカーネルを要する完全なTCC行列とは対照的に、より少数のTCCカーネルで十分正確に近似値を求めることができる。
[0055] 図3の手法には多数の利点がある。従来の手法と比較すると、TCC対角化中に保持するカーネル数が少ないことにより、計算時間が著しく節約される。図3の方法を使用すると、少なくとも係数3、実施形態によっては係数8の計算時間の短縮が得られることが認識されるであろう。加えて、メモリ消費量も著しく低減される。使用するTCCカーネル数が少なくなると、実行時に使用するメモリ量は確かに少なくなり(例えば、64個のTCCカーネル対1024個のTCCカーネルの場合は16倍)、これにより同じ計算プラットフォーム上でより多くのシミュレーションタスクを並列に実行することができる。これは、複数の光学条件を同時にシミュレートする必要があり、従って、複数のTCCをメモリ内に保持する必要がある適用例では特に重要である。シミュレーションジョブセットアップではフレキシビリティが高くなる。図3の実施形態の手法を使用すると、ユーザは、既存のTCCに関する数値設定の完全な知識がなくても、既存のTCCに対して差分関数ΔTCCを連結し、空間像AI又はクリティカルディメンションCDを計算することができる。例えば、本発明を使用してベースモデルに摂動を起こさせ、必要な感度精度を有する新しいモデルを生成し、摂動がゼロに接近したときに既存のモデルに収束することができる。このような利点については、図4a〜図4d及び図5a〜図5dにさらに例示する。
[0056] 図4a〜図4dは、イルミネータの2通りの強度プロファイル(図4a及び図4b)に関するクリティカルディメンション感度計算(図4c及び図4d)を示している。シミュレーションで使用するマスクパターンは、100nm〜1000nmの範囲の種々のピッチで配置された50nmのラインのアレイである。図4a〜図4bの強度プロファイルは、図4bの強度プロファイルのσouterの傾斜が図4aの強度プロファイルのσouterの傾斜より3倍急激であるという点で相互に異なっている。
[0057] 図4cは、従来の手法を使用して異なるゲージについて、(a)無限の又は非常に多数の多角化透過クロス係数カーネルあるいは非多角化rawTCC、並びに(b)限られた数のTCCカーネルを使用して計算された光学設定の変化によって誘導されたクリティカルディメンションの変動を示している。曲線内のそれぞれの点は単一ゲージを表す。それぞれのゲージは1つのパターンに対応する。ベースライン、すなわち、ΔCD(デルタクリティカルディメンション)=0は、従来の手法を使用してイルミネータ強度プロファイルの変化によるイメージ感度を計算するときに、無限の又は非常に多数の透過クロス係数カーネルを使用して得られる理想的な結果に対応する。それ故、それぞれのゲージについてΔCD=0であるときに、空間像感度は正確に決定され、無限の又は非常に多数の透過クロス係数カーネルで計算された空間像と、限られた数の透過クロス係数カーネルで計算された空間像との差はまったくない。図4cに示されているように、従来の手法を使用し、少なくとも1024個のTCCカーネルを使用して、それぞれのゲージについて満足な結果を得ることが望ましい。TCCカーネルの数が(1024個から512個、256個、128個、64個に)削減された場合、非常に多数の透過クロス係数カーネル(ベースライン)を使用して得られた結果と限られた数の透過クロス係数カーネルを使用して得られた結果との差は著しく増加する。
[0058] 図4dは、感度の差が図3の方法により計算されたという点で図4cとは異なっている。図4cでは、基本状態(すなわち、図4aの強度プロファイル)に対応するTCCカーネルの数は64である。それぞれの曲線は、差分関数、すなわち、ΔdiagTCCk,k'smallにおける異なる数のTCCカーネルに対応する。この数は、32から256まで変化する。図4dで分かるように、差分関数に関する64個のTCCカーネルと、基本状態に関する64個のTCCカーネルのみを使用することにより、無限数のTCCカーネルで得られたものと一致する結果を得ることは可能である。それ故、従来の手法で1024個のTCCカーネルを使用する代わりに、基本状態に関する64個のTCCカーネルと、摂動状態に関する64+64=128個のカーネルのみで、同様の結果を得ることは可能である。それ故、計算時間は図3の方法で著しく短縮され、必要なメモリは少なくなることが認識されるであろう。
[0059] 図5a〜図5bに示されている強度ファイルの他の変化について、図4c〜図4dの計算と同様の計算を実行した。感度計算は図5c〜図5dに示されている。図5aの強度プロファイルは0.96のσouter及び0.76のσinnerを有する環状形状に対応する。図5bの強度プロファイルは、0.997のσouter及び0.797のσinnerを有する環状形状に対応する。図4a〜図4dと同じマスクパターンについて計算を実行した。
[0060] 図5cで分かるように、従来の手法で空間像の変化を正確に決定するために少なくとも512個のTCCカーネルを使用することが望ましい。対照的に、図5dに示されているように、約64+256=320個のTCCカーネルのみでモデリングした摂動状態は、すべてのゲージについて妥当な収束を示す。それ故、図5cと同じ変化は、512個のTCCカーネルとは対照的に約320個のTCCカーネルのみで決定することができる。
[0061] 図6は、本明細書に開示したリソグラフィシミュレーション方法の実現を支援可能なコンピュータシステム100を示すブロック図である。コンピュータシステム100は、バス102又は情報を伝達するためのその他の通信メカニズムと、情報を処理するためにバス102に結合されたプロセッサ104とを含む。また、コンピュータシステム100は、プロセッサ104によって実行される命令及び情報を保管するためにバス102に結合されたランダムアクセスメモリ(RAM)又はその他の動的ストレージデバイスなどのメインメモリ106も含む。メインメモリ106は、プロセッサ104によって実行される命令の実行中に一時変数又はその他の中間情報を保管するために使用することもできる。コンピュータシステム100は、プロセッサ104のための命令及び静的情報を保管するためにバス102に結合された読み取り専用メモリ(ROM)108又はその他の静的ストレージデバイスをさらに含む。情報及び命令を保管するために、磁気ディスク又は光ディスクなどのストレージデバイス110が提供され、バス102に結合される。
[0062] コンピュータシステム100は、コンピュータユーザに情報を表示するためにバス102を介して陰極線管(CRT)又はフラットパネル又はタッチパネルディスプレイなどのディスプレイ112に結合することができる。英数字キー及びその他のキーを含む入力装置114は、プロセッサ104に情報及びコマンド選択を伝達するためにバス102に結合される。もう1つのタイプのユーザ入力装置は、プロセッサ104に方向情報及びコマンド選択を伝達し、ディスプレイ112上のカーソル移動を制御するためのマウス、トラックボール、又はカーソル方向キーなどのカーソルコントロール116である。この入力装置は典型的に、その装置が平面内の位置を指定できるようにする、第1の軸(例えば、x)と第2の軸(例えば、y)という2通りの軸の2通りの自由度を有する。タッチパネル(スクリーン)ディスプレイも入力装置として使用することができる。
[0063] 本発明の一実施形態によれば、シミュレーションプロセスの一部分は、メインメモリ106に収容された1つ又は複数の命令の1つ又は複数のシーケンスをプロセッサ104が実行したことに応答して、コンピュータシステム100によって実行することができる。このような命令は、ストレージデバイス110などの他のコンピュータ可読媒体からメインメモリ106に読み込むことができる。メインメモリ106に収容された命令のシーケンスを実行することにより、プロセッサ104は本明細書に記載したプロセスステップを実行する。メインメモリ106に収容された命令のシーケンスを実行するために、マルチプロセッシング配置の1つ又は複数のプロセッサを使用することもできる。代替実施形態では、本発明を実現するためにソフトウェア命令の代わりに又はソフトウェア命令と組み合わせて、ハードワイヤード回路を使用することができる。それ故、本発明の諸実施形態は、ハードウェア回路とソフトウェアとの特定の組合せに限定されない。
[0064] 本明細書で使用する「コンピュータ可読媒体」という用語は、実行のためにプロセッサ104に命令を提供することに関与する媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体、及び伝送媒体を含むがこれらに限定されない多くの形を取ることができる。不揮発性媒体は、例えば、ストレージデバイス110などの光ディスク又は磁気ディスクを含む。揮発性媒体は、メインメモリ106などのダイナミックメモリを含む。伝送媒体は、バス102を有するワイヤを含む、同軸ケーブル、銅線、及び光ファイバを含む。また、伝送媒体は、無線周波(RF)及び赤外線(IR)データ通信中に生成されたものなどの音波又は光波の形を取ることもできる。一般的な形のコンピュータ可読媒体は、例えば、フロッピー(登録商標)ディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意のその他の磁気媒体、CD−ROM、DVD、任意のその他の光学媒体、パンチカード、紙テープ、穴のパターンを有する任意のその他の物理媒体、RAM、PROM、及びEPROM、FLASH−EPROM、任意のその他のメモリチップ又はカートリッジ、以下に記載する搬送波、あるいはコンピュータがそこから読み取ることができる任意のその他の媒体を含む。
[0065] 実行のためにプロセッサ104に1つ又は複数の命令の1つ又は複数のシーケンスを搬送する際に種々の形のコンピュータ可読媒体が係わる可能性がある。例えば、命令は最初にリモートコンピュータの磁気ディスク上に載せられる可能性がある。リモートコンピュータは、そのダイナミックメモリに命令をロードし、モデムを使用して電話回線によって命令を送信することができる。コンピュータシステム100に対してローカルなモデムは、その電話回線上でデータを受信し、赤外線送信機を使用してそのデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器は、赤外線信号で搬送されたデータを受信し、そのデータをバス102上に置くことができる。バス102はそのデータをメインメモリ106に搬送し、そこからプロセッサ104が命令を取り出して実行する。メインメモリ106が受け取った命令は任意選択で、プロセッサ104による実行前又は実行後のいずれかにストレージデバイス110に保管することができる。
[0066] また、コンピュータシステム100は好ましくは、バス102に結合された通信インターフェイス118も含む。通信インターフェイス118は、ローカルネットワーク122に接続されたネットワークリンク120に結合する双方向データ通信を可能にする。例えば、通信インターフェイス118は、対応するタイプの電話回線へのデータ通信接続を可能にするための統合サービスデジタル通信網(ISDN)カード又はモデムにすることができる。もう1つの例として、通信インターフェイス118は、互換性のあるLANへのデータ通信接続を可能にするためのローカルエリアネットワーク(LAN)カードにすることもできる。ワイヤレスリンクも実現可能である。このような実現例では、通信インターフェイス118は、種々のタイプの情報を表すデジタルデータストリームを搬送する電気信号、電磁気信号、又は光学信号を送受信する。
[0067] ネットワークリンク120は典型的に、1つ又は複数のネットワークにより他のデータデバイスへのデータ通信を可能にする。例えば、ネットワークリンク120は、ローカルネットワーク122によりホストコンピュータ124又はインターネットサービスプロバイダ(ISP)126によって操作されるデータ機器への接続を可能にすることができる。次にISP126は、現在一般的に「インターネット」128と呼ばれる世界的なパケットデータ通信ネットワークによりデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128はいずれも、デジタルデータストリームを搬送する電気信号、電磁気信号、又は光学信号を使用する。種々のネットワークによる信号、ネットワークリンク120上の信号、並びにコンピュータシステム100との間でデジタルデータを搬送する通信インターフェイス118による信号は、情報を移送する搬送波の模範的な形である。
[0068] コンピュータシステム100は、ネットワーク(複数も可)、ネットワークリンク120、及び通信インターフェイス118により、プログラムコードを含む、メッセージを送信し、データを受信することができる。インターネットの例では、サーバ130は、インターネット128、ISP126、ローカルネットワーク122、及び通信インターフェイス118により、アプリケーションプログラムについて要求されたコードを送信することができる。本発明によれば、このようにダウンロードされたアプリケーションは、例えば、その実施形態のTCC計算に備えるものである。受信したコードは、受信したときにプロセッサ104によって実行するか、及び/又は後で実行するためにストレージデバイス110又はその他の不揮発性ストレージに保管することができる。このように、コンピュータシステム100は搬送波の形でアプリケーションコードを入手することができる。
[0069] 図7は、本発明のプロセスを使用してリソグラフィプロセスをシミュレート可能な模範的なリソグラフィ投影装置を概略的に描写している。この装置は以下のものを含む。
−投影ビームPBの放射を供給するための放射システムEx、IL。この特定のケースでは放射システムは放射源LAも含む。
−パターニングデバイス(例えば、マスク)MA(例えば、レチクル)を保持するためのマスクホルダが設けられ、アイテムPLに対してマスクを正確に位置決めするための第1の位置決め装置に接続された第1のオブジェクトテーブル(パターニングデバイスサポート又はマスクテーブル)MT
−基板W(例えば、レジストコーティングシリコンウェーハ)を保持するための基板ホルダが設けられ、アイテムPLに対して基板を正確に位置決めするための第2の位置決め装置に接続された第2のオブジェクトテーブル(基板テーブル)WT
−マスクMAの照射部分を基板Wのターゲット部分C(例えば、1つ又は複数のダイを含む)上に結像するための投影システム(「レンズ」)PL(例えば、屈折、反射、又は反射屈折光学システム)
[0070] 図7に描写されている通り、この装置は反射タイプのものである(すなわち、反射マスクを有する)。しかし、一般に、この装置は、例えば、透過タイプ(透過マスクを有する)ものにすることもできる。代替的に、この装置は、マスク使用の代替策として他の種類のパターニング手段を使用することもでき、例としてはプログラマブルミラーアレイ又はLCDマトリクスを含む。
[0071] 光源LA(例えば、水銀灯又はエキシマレーザ)は放射ビームを発生する。このビームは、直接又は例えばビームエクスパンダExなどのコンディショナを横断した後に照明システム(イルミネータ)ILに供給される。イルミネータILは、ビーム内の強度分布の外側及び/又は内側半径範囲(一般に、それぞれσ−outer及びσ−innerと呼ばれる)を設定するためのアジャスタAMを含むことができる。加えて、イルミネータは一般に、インテグレータIN及びコンデンサCOなどの種々の他のコンポーネントを含む。このようにして、パターニングデバイス(例えば、マスク)MAに衝突するビームPBは、その断面において所望の均一性及び強度分布を有する。
[0072] 図7に関しては、光源LAは(光源LAが例えば水銀灯であるときにしばしばそうであるように)リソグラフィ投影装置のハウジング内にすることができるが、リソグラフィ投影装置から離すこともでき、その装置が発生する放射ビームは(例えば、適切な誘導ミラーを用いて)装置内に誘導され、後者のシナリオは光源LAがエキシマレーザ(例えば、KrF、ArF、又はF2レイジングに基づく)であるときにしばしばそうなることに留意されたい。本発明はこれらのシナリオの少なくとも両者を包含する。
[0073] ビームPBは、その後、パターニングデバイスサポート(例えば、マスクテーブル)MT上に保持されたパターニングデバイス(例えば、マスク)MAをインターセプトする。パターニングデバイス(例えば、マスク)MAによって反射された後、ビームPBはレンズPLを通過し、そのレンズが基板Wのターゲット部分CにビームPBを焦点に集める。第2の位置決め装置(及び干渉測定手段IF)を用いて、例えば、異なるターゲット部分CをビームPBの経路内に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、第1の位置決め装置を使用して、例えば、パターニングデバイスライブラリからパターニングデバイス(例えば、マスク)MAを機械的に取り出した後又はスキャン中に、ビームPBの経路に対してパターニングデバイス(例えば、マスク)MAを正確に位置決めすることができる。一般に、オブジェクトテーブルMT、WTの移動は、ロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)を用いて認識されるが、どちらも図7には明確に描写されていない。しかし、(ステップアンドスキャンツールとは対照的に)ウェーハステッパの場合、パターニングデバイスサポート(例えば、マスクテーブル)MTは、単にショートストロークアクチュエータに接続される場合もあれば、固定される場合もある。
[0074] 描写したツールは以下の2通りのモードで使用することができる。
−ステップモードでは、パターニングデバイスサポート(例えば、マスクテーブル)MTは本質的に静止状態に保持され、マスクイメージ全体が一度に(すなわち、単一「フラッシュ」で)ターゲット部分Cに投影される。次に、異なるターゲット部分CをビームPBで照射できるように、基板テーブルWTがx方向及び/又はy方向にシフトする。
−スキャンモードでは、所与のターゲット部分Cが単一「フラッシュ」で露光されないことを除いて、本質的に同じシナリオが適用される。その代わりに、パターニングデバイスサポート(例えば、マスクテーブル)MTは速度vで所与の方向(いわゆる「スキャン方向」、例えば、y方向)に移動可能であり、従って、投影ビームPBはマスクイメージの上をスキャンするようになり、同時に、基板テーブルWTは速度V=Mvで同じ方向又は反対方向に同時に移動し、MはレンズPLの倍率である(典型的に、M=1/4又は1/5)。このように、解像度について妥協する必要なしに、相対的に大きいターゲット部分Cを露光することができる。
[0075] 本明細書に開示されている概念は、サブ波長フィーチャ(sub wavelength feature)を結像するための汎用結像システムをシミュレート又は数学的にモデリングすることができ、ますますサイズが小さくなる波長を発生可能な新結像技術により特に有用である可能性がある。すでに使用されている新技術は、ArFレーザ使用の場合には193nmの波長、フッ素レーザ使用の場合には157nmの波長を発生可能なEUV(極端紫外線)リソグラフィを含む。その上、EUVリソグラフィは、シンクロトロンを使用するか、又はこの範囲内の光子を発生するために高エネルギー電子で材料(固体又はプラズマ)を打つことにより、20〜5nmの範囲内の波長を発生することができる。大半の材料はこの範囲内では吸収性であるので、モリブデンとシリコンのマルチスタックを有する反射ミラーによって照明を発生することができる。このマルチスタックミラーは、40レイヤ対のモリブデンとシリコンを有し、各レイヤの厚さは4分の1波長である。さらに小さい波長はX線リソグラフィで発生することができる。典型的に、X線波長を発生するためにシンクロトロンが使用される。大半の材料はX線波長で吸収性であるので、吸収材料の薄片によって、フィーチャをプリントする場所(ポジティブレジスト)又はフィーチャをプリントしない場所(ネガティブレジスト)が画定される。
[0076] 本明細書に開示されている概念はシリコンウェーハなどの基板上に結像するために使用することができるが、開示されている概念は、任意のタイプのリソグラフィ結像システム、例えば、シリコンウェーハ以外の基板上に結像するために使用されるものでも使用できることを理解されたい。
[0077] 上記の説明は例示的なものであって、限定的なものではない。それ故、以下に記載する特許請求の範囲の範囲を逸脱せずに記載されているように本発明に対して変更を加えることができることは当業者にとって明白なことである。

Claims (11)

  1. パターンの基準イメージと追加イメージとの差を決定するための方法であって、基準結像関数を決定すること、前記基準結像関数と追加結像関数との差を表す差分関数のパラメータを決定すること、前記差分関数及び前記決定されたパラメータに基づいて前記パターンの前記基準イメージと前記追加イメージとの差を計算することを含み、
    さらに、前記差分関数の項の少なくとも一部を固有関数及び対応する固有値で表すこと、及び、前記固有値に基づいて固有関数及び固有値を無視することによって簡易差分関数を決定することを含む、方法。
  2. 前記基準結像関数及び前記差分関数に基づいて前記追加結像関数を決定することを含む、請求項1記載の方法。
  3. 前記追加結像関数が、前記基準結像関数と前記差分関数を連結させることによって決定される、請求項2記載の方法。
  4. 前記パターンの前記基準イメージと前記追加イメージとの前記差を計算することが、前記パターンの前記基準イメージと前記追加イメージとの像強度の差を決定することを含む、請求項1〜3のいずれかに記載の方法。
  5. 前記パターンの前記基準イメージと前記追加イメージとの前記差を計算することが、前記基準イメージ及び前記追加イメージにおけるクリティカルディメンションの測定間の差を決定することをさらに含む、請求項4記載の方法。
  6. 前記簡易差分関数が300個未満の固有値又は100個未満の固有値を含む、請求項記載の方法。
  7. 前記基準イメージが、基準条件下の基準光学システムによって形成された前記パターンのイメージをモデリングし、前記追加イメージが、追加光学システムによって形成された、又は追加条件下の前記基準光学システムによって形成された、又は改良基準光学システムによって形成された前記パターンのイメージをモデリングする、請求項1〜のいずれかに記載の方法。
  8. 前記追加光学システム、前記基準光学システム、又は前記改良基準光学システムの前記条件を変更することによって前記基準イメージと前記追加イメージとの前記差を減少させることを含む、請求項記載の方法。
  9. 前記基準光学システムがリソグラフィ露光装置の投影システムである、請求項記載の方法。
  10. 機械実行可能命令を有するコンピュータプログラムであって、前記命令が、請求項1〜のいずれか1項に記載されたマスクパターンのイメージの変化を決定するための方法を実行するようにコンピュータシステムによって実行される、コンピュータプログラム
  11. 請求項10記載のプログラムを格納するコンピュータ可読記憶媒体。
JP2009248812A 2008-11-10 2009-10-29 高速感度モデル計算のためのデルタtcc Active JP5033860B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11302408P 2008-11-10 2008-11-10
US61/113,024 2008-11-10
PCT/US2009/049792 WO2010005957A1 (en) 2008-07-07 2009-07-07 Illumination optimization
WOPCT/US2009/049792 2009-07-07

Publications (2)

Publication Number Publication Date
JP2010118655A JP2010118655A (ja) 2010-05-27
JP5033860B2 true JP5033860B2 (ja) 2012-09-26

Family

ID=42232499

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009248677A Expired - Fee Related JP5033859B2 (ja) 2008-11-10 2009-10-29 モデルベースの汎用マッチング及びチューニングのための方法及びシステム
JP2009248812A Active JP5033860B2 (ja) 2008-11-10 2009-10-29 高速感度モデル計算のためのデルタtcc

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2009248677A Expired - Fee Related JP5033859B2 (ja) 2008-11-10 2009-10-29 モデルベースの汎用マッチング及びチューニングのための方法及びシステム

Country Status (4)

Country Link
US (4) US8443307B2 (ja)
JP (2) JP5033859B2 (ja)
CN (1) CN101846886B (ja)
NL (3) NL2003719A (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2003716A (en) 2008-11-24 2010-05-26 Brion Tech Inc Harmonic resist model for use in a lithographic apparatus and a device manufacturing method.
JP2010156866A (ja) * 2008-12-27 2010-07-15 Toshiba Corp 特徴量抽出方法、テストパターン選択方法、レジストモデル作成方法および設計回路パターン検証方法
US8805630B2 (en) * 2009-08-25 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for modeling in semiconductor fabrication
US8812145B2 (en) 2010-01-22 2014-08-19 Synopsys, Inc. Modeling mask errors using aerial image sensitivity
US8355807B2 (en) * 2010-01-22 2013-01-15 Synopsys, Inc. Method and apparatus for using aerial image sensitivity to model mask errors
KR101096979B1 (ko) * 2010-05-07 2011-12-20 주식회사 하이닉스반도체 반도체 소자의 패턴 균일도 조절 방법
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
NL2008924A (en) 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US9466100B2 (en) 2012-06-06 2016-10-11 Kla-Tencor Corporation Focus monitoring method using asymmetry embedded imaging target
KR101396088B1 (ko) 2012-12-10 2014-05-27 한양대학교 산학협력단 3차원 cmos 전계효과 트랜지스터 및 이의 제조방법
US9519285B2 (en) * 2013-01-23 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and associated methods for tuning processing tools
US10338480B2 (en) 2014-05-30 2019-07-02 Nikon Corporation Lithography system, simulation apparatus, and pattern forming method
WO2017067748A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to reduce effects of nonlinear behavior
US10915689B2 (en) 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067757A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10691863B2 (en) * 2015-10-19 2020-06-23 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2019179782A1 (en) 2018-03-20 2019-09-26 Asml Netherlands B.V. Instant tuning method for accelerating resist and etch model calibration
US10867112B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of making mask using transmission cross coefficient (TCC) matrix of lithography process optical system
EP3588191A1 (en) * 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO2001084382A1 (en) * 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
TW500987B (en) * 2000-06-14 2002-09-01 Asm Lithography Bv Method of operating an optical imaging system, lithographic projection apparatus, device manufacturing method, and device manufactured thereby
TW552561B (en) 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6809809B2 (en) 2000-11-15 2004-10-26 Real Time Metrology, Inc. Optical method and apparatus for inspecting large area planar objects
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
TWI285299B (en) 2001-04-04 2007-08-11 Asml Netherlands Bv Lithographic manufacturing process, lithographic projection apparatus, and device manufactured thereby
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
JP2004103674A (ja) * 2002-09-06 2004-04-02 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2006502518A (ja) 2002-10-07 2006-01-19 メンパイル インク 精密なフォーカシングの方法及びシステム
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP2004341160A (ja) * 2003-05-15 2004-12-02 Seiko Epson Corp 露光用マスク、光近接効果補正装置、光近接効果補正方法、半導体装置の製造方法および光近接効果補正プログラム
JP4758358B2 (ja) * 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
KR100824031B1 (ko) 2004-01-30 2008-04-21 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
WO2005078528A2 (en) * 2004-02-03 2005-08-25 Mentor Graphics Corporation Source optimization for image fidelity and throughput
US7079223B2 (en) * 2004-02-20 2006-07-18 International Business Machines Corporation Fast model-based optical proximity correction
JP2007536564A (ja) * 2004-04-02 2007-12-13 クリア・シェイプ・テクノロジーズ・インコーポレーテッド 集積回路の製造における超解像プロセスのモデル化
US20050240895A1 (en) * 2004-04-20 2005-10-27 Smith Adlai H Method of emulation of lithographic projection tools
US7403264B2 (en) * 2004-07-08 2008-07-22 Asml Netherlands B.V. Lithographic projection apparatus and a device manufacturing method using such lithographic projection apparatus
US7116411B2 (en) * 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
US7331033B2 (en) 2004-08-27 2008-02-12 Applied Materials, Israel, Ltd. Simulation of aerial images
US7251807B2 (en) 2005-02-24 2007-07-31 Synopsys, Inc. Method and apparatus for identifying a manufacturing problem area in a layout using a process-sensitivity model
US7262831B2 (en) * 2004-12-01 2007-08-28 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method using such lithographic projection apparatus
US7297453B2 (en) * 2005-04-13 2007-11-20 Kla-Tencor Technologies Corporation Systems and methods for mitigating variances on a patterned wafer using a prediction model
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7374957B2 (en) * 2005-07-11 2008-05-20 Asml Netherlands B.V. Method of calibrating or qualifying a lithographic apparatus or part thereof, and device manufacturing method
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
CN101258498B (zh) * 2005-08-08 2011-04-13 Asml荷兰有限公司 用于形成光刻工艺的焦点曝光模型的系统和方法
US7617477B2 (en) * 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7425397B2 (en) 2005-09-12 2008-09-16 Asml Netherlands B.V. Method of determining an illumination profile and device manufacturing method
US7830493B2 (en) * 2005-10-04 2010-11-09 Asml Netherlands B.V. System and method for compensating for radiation induced thermal distortions in a substrate or projection system
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7433791B2 (en) * 2006-02-17 2008-10-07 Asml Masktools B.V. Method of performing multiple stage model calibration for optical imaging simulation models
US7679069B2 (en) * 2006-03-16 2010-03-16 Kla-Tencor Technologies Corporation Method and system for optimizing alignment performance in a fleet of exposure tools
EP2013570A1 (en) * 2006-04-28 2009-01-14 Micronic Laser Systems Ab Method and apparatus for recording of images and study of surfaces
US7743357B2 (en) * 2006-05-31 2010-06-22 Synopsys, Inc. Method and apparatus for determining a process model that models the impact of CAR/PEB on the resist profile
US8102408B2 (en) * 2006-06-29 2012-01-24 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
US8040573B2 (en) * 2006-08-14 2011-10-18 Asml Masktools B.V. Method, program product and apparatus for translating geometrical design rules into boundary conditions in the imaging space so as to define test patterns for use in optical model calibration
JP4707701B2 (ja) * 2006-11-08 2011-06-22 エーエスエムエル マスクツールズ ビー.ブイ. 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
US8611637B2 (en) * 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
US7873204B2 (en) * 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
US8365107B2 (en) * 2007-01-18 2013-01-29 Nikon Corporation Scanner based optical proximity correction system and method of use
US7962863B2 (en) * 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7999920B2 (en) * 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
US20110033887A1 (en) * 2007-09-24 2011-02-10 Fang Nicholas X Three-Dimensional Microfabricated Bioreactors with Embedded Capillary Network
US7673278B2 (en) * 2007-11-29 2010-03-02 Tokyo Electron Limited Enhanced process yield using a hot-spot library
US7925369B2 (en) * 2007-12-18 2011-04-12 Globalfoundries Inc. Method and apparatus for optimizing models for extracting dose and focus from critical dimension
NL1036750A1 (nl) * 2008-04-14 2009-10-15 Brion Tech Inc A Method Of Performing Mask-Writer Tuning and Optimization.
US8806387B2 (en) * 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods
US8006203B2 (en) * 2008-08-28 2011-08-23 Synopsys, Inc. Bulk image modeling for optical proximity correction
US8181128B2 (en) * 2008-10-13 2012-05-15 Synopsys, Inc. Method and apparatus for determining a photolithography process model which models the influence of topography variations
NL2003719A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
US8612903B2 (en) * 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
NL2007579A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007578A (en) * 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
JP2013004672A (ja) * 2011-06-15 2013-01-07 Toshiba Corp シミュレーションモデル作成方法
NL2008924A (en) * 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.

Also Published As

Publication number Publication date
JP2010114443A (ja) 2010-05-20
US20130263064A1 (en) 2013-10-03
US10169522B2 (en) 2019-01-01
US8893058B2 (en) 2014-11-18
US8443307B2 (en) 2013-05-14
JP2010118655A (ja) 2010-05-27
US20100260427A1 (en) 2010-10-14
US20150074619A1 (en) 2015-03-12
US20100146475A1 (en) 2010-06-10
CN101846886B (zh) 2013-01-09
NL2003719A (en) 2010-05-11
US8379991B2 (en) 2013-02-19
CN101846886A (zh) 2010-09-29
NL2003729A (en) 2011-01-10
NL2003718A (en) 2010-05-11
JP5033859B2 (ja) 2012-09-26

Similar Documents

Publication Publication Date Title
JP5033860B2 (ja) 高速感度モデル計算のためのデルタtcc
JP4707701B2 (ja) 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
JP5756739B2 (ja) リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
JP5461477B2 (ja) モデルベーススキャナ調整を実行する方法
US7494753B2 (en) Method, program product and apparatus for improving calibration of resist models used in critical dimension calculation
US9053280B2 (en) Rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions
KR101527496B1 (ko) 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델
TWI737935B (zh) 器件製造程序中的方法、非暫時性電腦可讀媒體及經組態以執行該方法之系統
TWI723292B (zh) 圖案化製程之最佳化流程
TWI750648B (zh) 用於判定與期望圖案相關聯之隨機變異之方法
TWI620034B (zh) 用於微影模擬的電腦實施方法及電腦程式產品
US10025198B2 (en) Smart selection and/or weighting of parameters for lithographic process simulation
KR101394585B1 (ko) 3d 토포그래픽 웨이퍼들을 위한 리소그래피 모델
TWI752652B (zh) 在裝置製程中的方法、非暫態電腦可讀媒體、及組態以執行該方法的系統
US8792147B2 (en) Method, program product and apparatus for creating optimal test patterns for optical model calibration and for selecting suitable calibration test patterns from an arbitrary layout
CN112823312A (zh) 用于高数值孔径穿缝源掩模优化的方法
TWI839015B (zh) 用於判定恆定寬度次解析度輔助特徵的方法、軟體、及系統

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120405

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120604

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120702

R150 Certificate of patent or registration of utility model

Ref document number: 5033860

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150706

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250