KR101769258B1 - 스캐너 기반의 광 근접 보정 시스템 및 이용 방법 - Google Patents

스캐너 기반의 광 근접 보정 시스템 및 이용 방법 Download PDF

Info

Publication number
KR101769258B1
KR101769258B1 KR1020167001847A KR20167001847A KR101769258B1 KR 101769258 B1 KR101769258 B1 KR 101769258B1 KR 1020167001847 A KR1020167001847 A KR 1020167001847A KR 20167001847 A KR20167001847 A KR 20167001847A KR 101769258 B1 KR101769258 B1 KR 101769258B1
Authority
KR
South Korea
Prior art keywords
model
parameters
data
reticle
opc
Prior art date
Application number
KR1020167001847A
Other languages
English (en)
Other versions
KR20160014780A (ko
Inventor
야섹 티민스키
라루카 포페스쿠
도모유키 마츠야마
Original Assignee
가부시키가이샤 니콘
니콘 프리시즌 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 니콘, 니콘 프리시즌 인크. filed Critical 가부시키가이샤 니콘
Publication of KR20160014780A publication Critical patent/KR20160014780A/ko
Application granted granted Critical
Publication of KR101769258B1 publication Critical patent/KR101769258B1/ko

Links

Images

Classifications

    • G06F17/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • G03F1/144
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G06F17/5081
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Image Processing (AREA)

Abstract

본 발명에 의해 제공되는 모델링 기법은, 툴 파라미터를 모델에 입력하는 단계와, 기본 모델 파라미터를 모델에 입력하는 단계를 포함한다. 이 기법은 상기 툴 파라미터와 기본 모델 파라미터를 이용하여 시뮬레이션용 보정 레티클 설계를 작성하는 단계를 더 포함한다. 테스트 패턴의 이미지가 상기 시뮬레이션용 보정 레티클 설계와 대조된다. δ11의 여부에 관해 판정이 이루어지며, 여기서 δ1은 모델 대 노광 차이를 나타내고, ε1은 미리 정해진 기준을 나타낸다. 이 기법은 δ11인 경우 모델을 완성하는 단계를 더 포함한다.

Description

스캐너 기반의 광 근접 보정 시스템 및 이용 방법{SCANNER BASED OPTICAL PROXIMITY CORRECTION SYSTEM AND METHOD OF USE}
<관련 출원과의 상호 참조>
본 출원은 미국의 35 U.S.C §119에 의거하여 2007년 1월 18일자로 출원된 미국 가출원 일련 번호 60/885,547호의 우선권을 주장하며, 이 우선권의 내용은 그 전체가 참조로 본 명세서에 원용된다.
본 발명은 개괄적으로 광 근접 보정(OPC: Optical Proximity Correction) 시스템 및 이용 방법에 관한 것이며, 보다 구체적으로는 스캐너 기반의 레티클 설계에 이용되는 모델링 기법에 관한 것이다.
반도체 제조는 점점 더 미세한 회로 패턴을 프린트하는 리소그래피 공정에 의해 제한되고 있다. 그리고, 리소그래피 공정은 2가지 기술, 즉 웨이퍼 리소그래피 장비 및 전산 리소그래피(computational lithography)에 의해 주도된다. 역사적으로, 웨이퍼 리소그래피 및 전산 리소그래피는 각각 미세 회로 패턴을 형성하기 위해 리소그래피 공정을 최적화하려고 시도하는 별개의 독립된 공정이었다.
현세대의 마이크로전자공학에서는 미세 회로 패턴을 형성하기가 점점 더 어려워지고 있다. 예컨대, 특징부(feature) 사이즈, 라인 폭, 특징부와 라인 간의 경계선은 점점 더 소형화되어 신세대 기술, 예컨대 45 nm 기술로 형성하기가 더 어려워진다. 이들 어려움의 근본적인 이유는 집적 회로(IC) 패턴의 촬상에 있어서 임의의 패턴이 그 이웃부의 촬상과 상호작용하는 상호 종속적인 방법으로 이미지를 변형하는 광 근접 효과(OPE: Optical Proximity Effect)를 일으키기 쉽기 때문이다. 이러한 미세 회로 패턴에 대한 요구를 따라가기 위해, 광 근접 보정(OPC: Optical Proximity Corrections) 공정을 이용하여 이미지 충실도를 향상시키고 있다. OPC의 목적은 IC 제조에 이용된 패턴의 이미지 충실도를 열화시키는 OPE를 보정하는 것이다. 그러나, OPC 모델의 정확성은 미세 회로 패턴의 요건에 뒤처지기 때문에 제조 비용이 상승하게 되고, 출시 시간이 증가하며 제조 품질이 하락한다. 기본적으로, 지금까지 이용된 OPC 모델들은 OPE에 영향을 미치는 모든 요인을 포함하지 않기 때문에 불완전하다.
기본적으로, OPC 공정은 일련의 광학 규칙, 일련의 모델링 원리, 또는 규칙 기반의 OPC와 모델 기반의 OPC의 혼성 조합에 의해 좌우된다. 일반적으로, 현재의 OPC 기술은 규칙 기반의 OPC용 OPC 규칙, 또는 모델 기반의 OPC용 OPC 모델을 형성하기 위해 OPC 스크립트가 첨부된 OPC 소프트웨어 프로그램을 셋업하는 것을 필요로 한다. OPC 프로그램은 원하는 패턴에 관한 정보로 초기 데이터 세트의 컴퓨터 보정을 수행하고 그 데이터 세트를 조작하여 보정 데이터 세트를 얻는다. 그런 다음 이 데이터 세트는 웨이퍼 상에 회로 패턴을 제조하는데 이용된 레티클을 설계하는 데 이용된다.
그러나, 데이터를 조작하여 보정 데이터 세트를 얻는 것은 반복 공정을 필요로 하므로 시간 소모적인 공정이다. 이 반복 공정은 바람직한 OPC 모델을 얻기 위해 OPC 모델 셋업 또는 OPC 규칙을 끊임없이 수정하는 것을 포함한다. 통상, 이것은 최상의 추측 및 추정을 필요로 하는 집약적인 수동 공정이다. 예를 들어, OPC 모델 반복 동안, OPC 엔지니어들은 OPC 모델의 불완정성을 보상하는 방법을 알아내려고 노력한다. 이것은 시간 소모적이며 에러 및/또는 생략을 초래하기 쉽다.
따라서, 전술한 결함 및 한계를 극복하기 위한 기법이 필요하다.
본 발명의 일 양태에서는 모델링 기법이 제공된다. 이 모델링 기법은 툴 파라미터를 모델에 입력하는 단계와, 기본 모델 파라미터를 모델에 입력하는 단계를 포함한다. 이 기법은 상기 툴 파라미터 및 기본 모델 파라미터를 이용하여 시뮬레이션용 보정 레티클 설계를 작성하는 단계를 더 포함한다. 테스트 패턴의 이미지가 상기 시뮬레이션용 보정 레티클 설계와 대조된다. δ11의 여부에 관해 판정이 이루어지며, 여기서 δ1은 모델 대 노광 차이를 나타내고, ε1은 미리 정해진 기준을 나타낸다. 상기 기법은 δ11인 경우 모델을 완성하는 단계를 더 포함한다.
본 발명의 다른 양태에 있어서, 설계 레이아웃을 모델링하기 위한 애플리케이션을 전개시키는 시스템이 제공된다. 이 시스템은, 툴 파라미터 및 기본 모델 파라미터를 이용하여 시뮬레이션용 보정 레티클 설계를 작성하고, 테스트 패턴의 이미지를 상기 시뮬레이션용 보정 레티클 설계와 대조하며, 모델 대 노광 차이를 미리 정해진 기준과 비교하고, 상기 모델 대 노광 차이가 상기 미리 정해진 기준보다 작은 경우 상기 모델을 완성하도록 동작 가능한 컴퓨터 인프라스트럭처(infrastructure)를 포함한다.
본 발명의 또 다른 양태에서는 노광 장치가 제공된다. 이 노광 장치는, 툴 파라미터 및 기본 모델 파라미터를 이용하여 시뮬레이션용 보정 레티클 설계를 작성하고, 테스트 패턴의 이미지를 상기 시뮬레이션용 보정 레티클 설계와 대조하며, 모델 대 노광 차이를 미리 정해진 기준과 비교하며, 상기 모델 대 노광 차이가 상기 미리 정해진 기준보다 작은 경우 상기 모델을 완성하도록 구성된 하나 이상의 모듈을 포함한다.
본 발명의 또 다른 양태에서는 모델을 제공하는 방법이 제공된다. 이 방법은, 툴 파라미터 및 기본 모델 파라미터를 이용하여 시뮬레이션용 보정 레티클 설계를 작성하는 단계와, 테스트 패턴의 이미지를 상기 시뮬레이션용 보정 레티클 설계와 대조하는 단계를 포함한다. 상기 방법은 모델 대 노광 차이와 미리 정해진 기준를 반복 비교하는 단계와, 상기 비교 단계에서 상기 모델 대 노광 차이가 상기 미리 정해진 기준보다 작을 때까지 상기 툴 파라미터와 기본 모델 파라미터 중 하나 이상을 변경하는 단계를 더 포함한다.
본 발명의 또 다른 양태에 있어서, 컴퓨터 프로그램 제품은 판독 가능한 프로그램 코드가 내장되어 있는 컴퓨터 사용 가능한 매체를 포함하고, 이 컴퓨터 프로그램 제품은, 툴 파라미터 및 기본 모델 파라미터를 이용하여 시뮬레이션용 보정 레티클 설계를 작성하고, 모델 대 노광 차이가 미리 정해진 기준보다 작을 때까지 상기 툴 파라미터와 기존 모델 파라미터 중 하나 이상을 반복 변경하며 상기 모델 대 노광 차이를 상기 미리 정해진 기준과 비교하는 하나 이상의 구성요소를 포함한다.
본 발명의 다른 양태에 있어서, 레티클 및 반도체 디바이스를 제조하는 방법은, 미리 정해진 프로젝션 툴의 툴 파라미터와, 기본 모델 파라미터를 이용하여 시뮬레이션용 보정 레티클 설계를 수정하는 단계와, 상기 반도체 디바이스에 대해 촬상될 레이아웃의 설계를 나타내는 레티클 설계 데이터를 작성하는 단계와, 상기 시뮬레이션용 보정 레티클 설계와 상기 레티클 설계 데이터를 비교하여 마스크 세트를 작성하는 단계를 포함한다.
또 다른 실시형태에 있어서, OPC 설계 공정은 미리 정해진 투영 툴의 스캐너 파라미터를 소프트웨어 공급자에게 제공하는 단계와, 상기 스캐너 파라미터를 레티클을 설계하기 위한 소프트웨어 제품에 입력하는 단계와, 상기 레티클의 설계에 이용하도록 상기 소프트웨어를 반도체 디바이스 제조자에게 제공하는 단계를 포함한다.
이하, 본 발명의 예시적인 실시형태들의 비제한적인 예를 나타내는 첨부하는 복수개의 도면을 참조하여, 발명에 대해 상세하게 설명한다.
도 1은 5 세대의 집적 회로에 있어서 k1 추세 대 레티클 설계 솔루션의 그래프를 나타내는 도면이다.
도 2는 본 발명에 따른 모델링 기법에 이용될 수 있는 조명장치(illuminator) 관련 파라미터를 나타내는 도면이다.
도 3은 본 발명에 따른 모델링 기법에 이용될 수 있는 프로젝터 렌즈 관련 파라미터를 나타내는 도면이다
도 4a는 본 발명에 따른 모델링 기법에서 고려될 수 있는 촬상 렌즈의 열수차를 나타내는 도면이다.
도 4b는 90 ㎚ 라인을 이용하여 OPE에 대한 열 수차의 영향을 그래프로 나타내는 도면이다.
도 5는 본 발명의 양태들을 구현하는데 이용될 수 있는 OPE에 대한 툴 파라미터 감도의 그래프를 나타내는 도면이다.
도 6은 본 발명의 실시형태들에 따른 공정들을 구현하는 흐름도이다.
도 7은 신규 스캐너의 OPC 설계를 위해 본 발명에 따라 구현된 광학 모델 기법을 이용함에 따른 시간적 이득을 나타내는 도면이다.
도 8은 신규 장치의 OPC 설계를 위해 본 발명에 따라 구현된 광학 모델 기법을 이용함에 따른 시간적 이득을 나타내는 도면이다.
도 9는 종래의 광학 모델 대 본 발명에 따라 구현된 광학 모델의 영향 비교를 나타내는 도면이다.
도 10은 본 발명에 따른 포토리소그래피 장치를 도시하는 개략도이다.
도 11은 반도체 디바이스 제조를 나타내는 흐름도이다.
도 12는 웨이퍼 처리를 나타내는 흐름도이다.
도 13은 OPC 설계 공정을 나타내는 도면이다.
도 14는 본 발명에 따른 인증 공정을 나타내는 도면이다.
본 발명은 개괄적으로 스캐너 기반의 광 근접 보정(OPC) 시스템 및 이용 방법에 관한 것이다. 실시형태에 있어서 본 발명은 집적 회로의 임계 치수(CD) 성능을 향상시키기 위해 리소그래피 시뮬레이션 및 OPC 모델을 이용한다. 바람직한 실시형태들에 있어서, 본 명세서에 기재하는 기법을 구현하는 OPC 모델은 45 nm CD 이하에 대해 집적 회로의 CD 성능을 향상시킬 것이다. 본 발명은 스캐너 또는 전범위 스텝퍼(full-field stepper) 등의 어떤 툴에 대해서도 구현될 수 있다.
총 처리시간(turnaround time)이 빠른 나노미터 수준의 CD 제어를 달성하기 위하여, 본 발명의 시스템 및 방법은 리소그래피 도우즈, 디포커스, 광원 종류 및 렌즈 파라미터와 같은 그런 전통적 입력 파라미터 이상을 이용한다. 예컨대, 본 발명의 시뮬레이션 및 모델링 입력은, 본 명세서에 설명하는 바와 같이, 액침 효과, 스펙트럼 대역폭과 색수차, 편광 영향, 전역 및 국소 플레어(flare), 파면 수차, 스캔 동기화 효과 및/또는 CD 성능에 영향을 끼칠 수 있는 기타 파라미터를 포함한다. 이들 파라미터는 집적 회로 제조 시에 패터닝된 특징부(feature)의 정확성을 예측함에 있어서 전체 효율을 높이기 위하여 툴 생성마다 또는 임의의 개별 툴마다 결정될 수 있다.
비교를 위해, 도 1은 17년의 기간에 걸쳐 CD의 5 세대에 있어서 k1 추세 대 레티클 설계 솔루션을 나타내고 있다. 이들 세대는 400 ㎚ CD(1990), 250 ㎚ CD(1995), 130 ㎚ CD(2000), 65 ㎚ CD(2005), 45 ㎚ CD(2007)를 포함한다. 당업자들이 이해하는 바와 같이, k1은 집적 회로를 촬상하기가 얼마나 어려워지는지를 나타내는 계수이다. 도 1에 나타내는 바와 같이, 광학 이미지가 소형화됨에 따라, 예컨대 선, 공간, 홀(hole), 포스트, 및 집적 회로 레이아웃의 기타 특징부와 같은 소형 특징부를 촬상하기가 더 어려워진다. 이것은 각 후속 세대에서 덜 선명한 선, 예컨대 "희미하거나" 감도가 낮은 선에 의해 예증된다.
예컨대 k1 값의 감소로 인해, 소형 특징부의 촬상을 제어함에 따른 어려움을 보상하기 위해, k1 값의 감소 문제를 완화하는 방법이 도입되고 있다. 예컨대, 400 ㎚ CD 세대에서는, k1 감소와 관련된 문제를 완화하기 위해 특정 기법이 필요하지 않았다. 그러나, 250 ㎚ CD 세대에서는 패터닝 및 패턴 모델링 기법에 특징부 바이어싱(feature biasing)을 도입하였다. 그것의 역할은 분리되며 밀집된 특징부들 간의 바이어스에 기인하는 광 근접 효과를 보정하는 것이었다. 그러나, 130 ㎚ 세대에서는, 특징부 모델링이 더 작은 특징부 사이즈 촬상에 따른 어려움을 적절하게 보상할 수 없었다. 그래서, k1 감소와 관련된 문제를 완화하기 위해 패터닝에 프린트 불능 SRAF(Sub-Rsolution Assist Feature)를 도입하였다. 이 경우에도, 65 ㎚ CD 세대에서는, 특징부 모델링 및 프린트 불능 SRAF가 더 작은 특징부 사이즈 촬상에 따른 어려움을 적절하게 보상할 수 없었다. 따라서, k1 감소와 관련된 문제를 완화하기 위해 DFM(Design For Manufacturing)를 도입하였다. DFM은 패터닝된 이미지의 충실도 향상을 목적으로 하는 광범위한 IC 설계 레이아웃 수정을 자동화하는 일련의 기술이다.
현재의 45 ㎚ 이하의 CD 세대에 있어서, 현재 기술의 도입 이전에는, k1 감소에 따른 문제를 완화하기 위해, 조명장치 레이아웃과 관련된 데이터, 개구수, 특징부 바이어싱, 프린트 불능 SRAF만을 DFM에 이용하였다. 그러나, k1 감소에 따른 문제를 완화하는 데에 그러한 데이터가 적절하지 않다고 알려지고 있다. 그래서, 본 발명은 당업자들이 이전에는 고려하지 않았던 추가 파라미터들을 OPC 모델링 기법에 도입함으로써, 솔루션을 제공한다.
보다 구체적으로, 본 발명은 레티클 설계 관련 수율을 높이며, IC 출시 시간뿐만 아니라, 설계 및 제조 비용을 줄이며, 스캐너 설계 정보와 실제 촬상 툴 성능 정보를 레티클 설계 모델링에 내장하여 보다 정확하고 고속의 OPC 설계를 제공한다. 본 발명은 어떤 CD 세대에도 유리하지만, k1이 약 0.3 미만인 경우에 적용성이 가장 높다.
실시형태에 있어서, 촬상이 통계적 및 결정적 에러에 더욱 취약한 경우, 모델링의 신규 도입된 파라미터들은 이전 세대들에 비해 보다 강력한 IC 레이아웃 설계를 제공한다. 이들 파라미터가 임의의 툴, 또는 툴 생성에 도입됨에 따라, 툴에 따른 또는 툴 타입에 따른 맞춤화된 OPC 및 OPC 검증 모델을 제공할 수 있다. 예컨대, 그 툴은 스캐너 또는 전범위 스텝퍼일 수 있다. 실시형태에서 본 발명의 시스템 및 방법은 레티클 설계의 모델링에 이하의 바람직한 파라미터들을 이용한다.
― 예컨대, 동공에서의 편광 기호(편광 상태) 및 강도 분포와 같은 조명장치 세부사항
― 존스 행렬 맵(Jones Matrix Map)으로 정의된 렌즈 기호, 또는 렌즈에서의 위상, 진폭 및 편광 변환에 대한 그외 표현
― 전역 플레어 및 국소 플레어를 포함한 플레어 데이터
― 세로방향의 색수차
― 조명장치 스펙트럼
― 가로방향 동기화 에러
― 세로방향 동기화 에러
조명장치 기호(illuminator signature)는 조명장치 동공에서 각 위치의 강도 및 편광량(polarization content)에 관한 정보를 포함한다. 존스 행렬 맵은 툴의 렌즈에서 발생하는 위상, 진폭 및 편광 변환에 관한 정보를 포함한다. 이 변환은 다음의 것을 나타낸다.
― 파면 수차: 파면 수차는 투영 렌즈를 통과하는 파의 정위상면(constant phase plane)의 왜곡을 나타낸다. 통상의 파면 수차 기술이 편광과 무관하기 때문에, 과거에는 파의 편광 특성 및 변환이 무시되었다는 것이 중요하다.
― 아포다이제이션(apodization): 아포다이제이션은 광학 시스템의 출사 강도 프로파일의 변화를 나타내며, 렌즈의 소정의 특성에 의해 제어되는 복잡한 함수이다. 아포다이제이션은 통상, 렌즈 동공의 가장자리에서 제로로 접근하는 비균일 전달 프로파일을 나타낸다.
― 렌즈를 통과하는 각종 편광 상태들 사이에서 발생하는 편광 변환
실시형태에서는 투영 시스템의 각 렌즈마다 존스 행렬 맵이 정의될 것이지만, 다른 실시형태에서는 툴의 소정의 관련 렌즈에 대해 존스 행렬 맵이 정의될 수도 있다.
국소 및 전역 플레어를 포함하는 플레어 데이터는 이미지의 품질 저하에 관계되는 미광이다. 다른 소스들도 플레어의 원인이 될 수 있지만 통상 플레어는 렌즈에서 발생한다. 국소 플레어가 이미지의 사이즈에 종속되는 것인 반면 전역 플레어는 이미지 치수에 독립적이다. 당업자라면, 이제 본 발명의 모델링에 포함될 수 있는 플레어 데이터를 측정하는 것이 가능하다.
세로방향의 색수차에 관련하여, 조명장치로부터의 상이한 색들은 서로 약간씩 오프셋된 이미지들을 형성할 것이다. 상이한 색마다 존재하는 오프셋의 정도는 렌즈의 세로방향의 색수차가 포함되는 것을 특징으로 한다. 조명장치 스펙트럼 데이터는, 조명장치를 단색인 것으로 가정하는 종래의 시스템의 간단한 접근법이 아니라, 강도 분포 대 파장을 포함하는, 투영 시스템에 사용된 모든 색들을 고려한다.
스캐너에 있어서, 이동 표준 편차(MSD: Moving Standard Deviation)를 포함하는 것을 특징으로 하는 가로방향 및 세로방향 동기화 에러는 이미지 형성 시 레티클과 웨이퍼 간의 이동에 따른 에러를 고려한다. 예를 들어, 당업자라면 이해하는 바와 같이, 레티클과 웨이퍼 쌍방은 집적 회로의 촬상 시에 IC 패턴 이미지 전체를 웨이퍼 위에 노광시키기 위하여 이동한다. 레티클과 웨이퍼의 이러한 이동은 매우 정확하게 동기화되어야 한다. 그러나, 레티클과 웨이퍼 쌍방의 이동이 동기화 에러를 도입하여 촬상 에러를 일으킬 수 있고, 그래서 동기화 에러를 고려하여 웨이퍼 상에서의 레티클 촬상에 대한 그 에러의 영향을 정확하게 예측하는 것이 중요하다. 이에, 본 발명에 있어서, 모델링 기법은 웨이퍼 상에서의 레티클 촬상을 보다 정확하게 예측하기 위하여 레티클과 웨이퍼 쌍방의 스캐너 동기화 에러를 고려한다. 일반적으로 간섭 기술(interferometric technique)을 이용하여 동기화 에러를 포착할 수 있다.
추가 실시형태에 있어서, 본 발명의 시스템 및 방법은 본 발명의 모델링 기법에 기타 파라미터 또는 대안 파라미터를 이용할 수 있다. 예컨대 본 발명은 다음의 파라미터들도 고려한다.
― 개구수(NA) 에러: 광학 시스템의 NA는 그 시스템이 수광 또는 발광할 수 있는 각도 범위에 특성을 부여하는 무차원 수이다.
― 시그마(sigma) 에러: 시그마 에러는 조명장치 셋업 에러이다.
― 열수차: 피사체의 한 점으로부터의 광이 시스템 통과 후, 노광 시의 렌즈의 열적 조건에 따라, 열수차 없이 렌즈를 통과하여 광에 대해 예측된 것과 다른 점에 도착하게 하는 영향을 나타낸다. 열수차는 광학 시스템 동작 동안 렌즈의 비균일 가열에 의해 발생한다. 본 실시형태에서는 필드 종속 기호가 도입될 수 있는 것도 고려한다.
이에, 본 발명의 모델링 기법에 전술한 파라미터들을 이용하여, 이제 모델링 공정의 정확성을 향상시키고 속도를 높이는 것이 가능하다. 보다 구체적으로 이하의 것들이 바람직하게 가능하다.
― 모델 설정 공정에서 물리적 모델 품질 향상
― 보다 정확한, 모델 기반의 OPC를 제공
― 레티클 설계의 고속 변환에 OPE(광 근접 효과) 테스트 노광 제공
― OPE 테스트 노광 없이 툴 설계 데이터에 기초한 예비 OPC 제공
― 더 적은 수의 레티클 설계 반복 사이클을 제공
도 2는 본 발명에 따른 모델에 이용할 수 있는 조명장치 관련 파라미터를 나타내고 있다. 보다 구체적으로, 광원 강도 분포 및 스토크스(Stokes) 파라미터 분포 또는 그외 다른 형태의 조명장치 편광 기호를 본 발명의 모델링 기법에 이용할 수 있다. 예시적으로, 도 2는 환형 조명장치(200)의 광원 형상을 도시하고 있다. 도시된 바와 같이, 환형 조명장치는 그 조명장치 주변에서 변하는 광원 강도 분포(205)를 갖는다. 광원 강도 분포는 광원 레이아웃의 중앙에서 가장 강하고 가장자리에서 덜 강하게 나타난다. 도 2는 스토크스 파라미터 분포(210)도 도시하고 있다. 당업자라는 스토크스 파라미터가 전자기 방사의 분극 상태를 나타내는 일련의 값인 것을 안다.
도 3은 본 발명에 따른 모델에 이용할 수 있는 프로젝터 렌즈 관련 파라미터들을 나타내고 있다. 보다 구체적으로 도 3은 렌즈(300)의 스칼라인 편광 독립 수차 및 아포다이제이션과, 렌즈 존스 행렬 맵(305)을 도시하고 있다. 마찬가지로 이들 파라미터도 본 발명의 모델링 기법에 이용될 수 있다.
도 4a는 본 발명에 따른 모델에서 고려될 수 있는 투영 렌즈의 열수차를 나타내고 있다. 도 4a에 도시하는 바와 같이, 이미지 필드 중앙 및 필드 우측은 열수차의 상이한 비균일 분포를 나타내고 있다. 예를 들어, 30분에 필드 중앙은 8.8 mλ RMS인 반면, 30분에 필드 우측은 6.1 mλ RMS이다. 이들 변화를 본 발명의 모델링 기법에서 고려할 수 있다.
도 4b는 90 ㎚ 선을 이용한, OPE에 대한 열수차의 영향을 그래프로 나타내고 있다. 도 4b의 그래프에서, y축 또는 세로좌표는 OPE에 대한 열수차의 영향을 나타내고, x축 또는 가로좌표는 나노미터 단위의 피치이다. 보다 구체적으로 도 4b는 0분과 30분 사이에서 다양한 피치에 대해 열수차에 의해 주도되는 이미지 사이즈 델타를 나타내고 있다.
도 5는 본 발명에 따른 촬상 툴 기호에 대한 OPE 감도의 그래프를 나타내고 있다. 보다 구체적으로, 도 5의 그래프는 OPE 감도 분석에 기초한 통상의 OPE를 도시하고 있다. 도 5에서, OPE 감도는 다음의 파라미터, 즉 NA, 시그마, 파면 수차, 아포다이제이션, 편광 수차, 열수차, 조명장치 편광 상태 및 플레어에 대해 분석되었다. 이들 영향은 1.8 ㎚에 걸친 OPE 범위를 나타내고 있다. 도시하는 도 5의 그래프에서는, 툴(포토리소그래피 장치)이 아포데이제이션, 편광 수차 및 플레어에 가장 민감한 것으로 발견되었으며, 이들 파라미터 각각은 본 발명의 모델링 기법에 입력으로서 이용될 수 있다. 상이한 패턴들마다, 툴 파라미터에 대한 OPE 감도의 스케일이 상이한 것을 볼 수 있다.
당업자라면, 그 파라미터를 본 발명의 모델링에 입력하기 전에, 도 5의 그래프로 나타낸 툴 감도 분석을 수행할 수 있음을 이해할 것이다. 툴 감도 분석은 렌즈 기호와 관련된 임의의 파라미터, 또는 임의의 툴이나 툴 생성(생성 시 각 툴이 동일한 또는 실질적으로 동일한 결과를 제공한다고 가정)에 따른 기타 툴 파라미터와 함께 이용될 수도 있다. 파라미터를 모델링에 입력하기 전에 툴 감도 분석을 수행함으로써, 툴에 대한 파라미터의 영향을 결정하는 것이 가능하다. 그리고, 어떤 파라미터가 툴 성능에 영향을 끼치는지 앎으로써, 본 발명의 모델링에 대한 입력을 그 파라미터로 제한할 수 있다.
본 발명에 따른 예시적인 공정
도 6은 본 발명의 실시형태들의 처리 단계를 나타내는 흐름도이다. 보다 구체적으로 도 6은 촬상 모델 셋업, 및 본 발명에 따른 OPC 및 OPC 검증을 포함한 집적 회로(IC) 설계 공정을 나타내고 있다. 더욱 더 구체적으로, 단계 600부터 단계 635는 본 발명에 따른 촬상 모델 셋업 공정을 나타내는 반면, 단계 640부터 단계 670은 본 발명에 따른 OPC 및 OPC 검증을 포함한 IC 설계를 나타낸다. OPC 셋업 공정 및 소프트웨어, 그리고 OPC 검증은 전자 설계 자동화(EDA: Electronic Design Automation) 툴 또는 전자 컴퓨터 지원 설계 툴(ECAD: Electronic Computer-Aided Design) 툴로 구현될 수 있어 종래의 모델링 및 검증 기법과 비교하여, 본 발명에 있어서 레티클 설계를 보다 정확하게 모델링하여 검증할 수 있다.
도 6은 본 발명의 실시형태들의 처리 단계를 구현하는 본 발명의 구성요소의 상위 레벨 블록도도 동시에 나타낼 수 있다. 도 6의 단계들은 적절한 하드웨어와의 조합으로 컴퓨터 프로그램 코드로 구현될 수 있다. 이 컴퓨터 프로그램 코드는 디스켓, 하드 디스크, CD-ROM, DVD-ROM 또는 테이프 등의 저장 매체뿐만 아니라, ROM(Read-Only Memory) 또는 RAM(Random Access Memory) 등의 메모리 저장 장치 또는 메모리 저장 장치들의 컬렉션 등에 저장될 수 있다. 본 발명은 전적으로 하드웨어 실시형태, 또는 하드웨어 및 소프트웨어(그 중 임의의 것을 일반적으로 "제어 프로그램"이라고 칭함)의 양 요소를 포함하는 실시형태의 형태를 취할 수 있다. 하드웨어와 소프트웨어 요소는 본 발명의 기능성을 구현하도록 구성된 컴퓨터 인프라스트럭처(infrastructure)를 포함한다. 또한, 본 발명은 컴퓨터 또는 임의의 명령 실행 시스템에 의해 이용되도록 또는 이들과 함께 프로그램 코드를 제공하는 컴퓨터 사용 가능한 또는 컴퓨터 판독 가능한 매체로부터 액세스 가능한 컴퓨터 프로그램 제품의 형태를 취할 수도 있다.
단계 600에서, 툴 파라미터가 모델에 입력된다. 툴 파라미터는 예컨대 도 10에 도시한 예시적인 포토리소그래피 장치의 것일 수 있다. 이것은 예컨대 본 명세서에 개시한 특정 툴에 대한 레티클 설계 레이아웃을 제공할 것이다. 보다 구체적으로 파라미터(데이터)는, (i) 조명장치 세부사항, (ii) 렌즈 기호를 표현하는 존스 행렬 맵으로 정의된 렌즈 기호, (iii) 국소 및 전역 플레어 데이터, (iv) 세로방향의 색수차, (v) 조명장치 스펙트럼, (vi) 가로방향 및 세로방향의 동기화 에러를 포함할 수 있다. 물론, 본 명세서에 개시한 바와 같이, 추가 또는 대안 파라미터의 조합이 모델에 입력될 수도 있다. 이 기타 파라미터는, 예컨대 (i) NA 에러, (ii) 시그마 에러, 및/또는 (iii) 열수차를 포함한다. 툴 파라미터를 입력하기 전에, 어떤 툴 파라미터를 모델에 바람직하게 이용하여야 하는지를 결정하기 위하여 OPE 감도 분석을 수행할 수 있다.
단계 605에서, 기본 모델 파라미터를 모델에 입력한다. 기본 모델 파라미터는 도 1을 참조하여 설명한 바와 같이 이전 세대들에 이용된 것과 같은 레티클 솔루션들을 포함하며, 이 파라미터의 일부 및 전부는 툴의 작용을 정확하게 예측하지 못한다. 예를 들어, 기본 모델 파라미터는 포괄적인 테스트 레티클 데이터, 조명장치 데이터, 개구수 데이터, 특징부 바이어싱 데이터, 및 프린트 불능 SRAF(Sub-Resolution Assist Feature)를 포함한다. 단계 600과 단계 605의 파라미터를 이용하여, 의도한 설계의 기본 규칙을 촬상하기 위한 타깃 레이아웃을 제공하는데 이용된 레티클 설계를 나타내는 시뮬레이션용 보정 레티클 설계를 작성한다.
단계 610에서, 포괄적 테스트 레티클 데이터를 이용하여 테스트 패턴을 형성한다. 테스트 패턴은, 예컨대 평행선, 수직선, 및 간격 및/또는 치수가 다른 선 등의 특징부들의 임의의 조합을 포함할 수 있다. 단계 615에서, 테스트 패턴의 이미지는 OPC 모델에 기초한 시뮬레이션용 보정 레티클 촬상 예측, 예컨대 모델에 의해 작성된 예상 웨이퍼 이미지와 대조된다. 비제한적인 일례로, 테스트 레티클을 이용하는 100 ㎚ 레티클 선은 80 ㎚ 선으로서 촬상될 것으로 예측된다. 이 80 ㎚ 선은 시뮬레이션용 레티클 모델로부터 예측된 이미지와 대조될 수 있다.
단계 620에서는 δ11의 여부에 관해 판정이 이루어진다. δ1은 모델 대 노광 차이를 나타내고 ε1은 미리 정해진 기준을 나타낸다. 미리 정해진 기준은 예컨대 설계자가 모델에 허용하는 에러의 한계와 같은 모델의 원하는 정확성일 수 있다. δ11이면, 기본 모델 파라미터는 단계 625에서 변경되고 단계 605에서 모델에 입력될 수 있다. 이와 달리 또는 추가적으로, 툴 파라미터는 단계 630에서 변경되고 단계 600에서 모델에 입력될 수도 있다. 툴 파라미터의 변경은 이전에 종래의 시스템에서 요구되었던 많은 반복을 없애는데 바람직하게 이용될 수 있다. 단계 600, 단계 605, 단계 610, 단계 620, 단계 625, 단계 630은 δ11까지 반복된다.
δ11이면, 단계 635에서 모델 설정이 완료된다. 보다 구체적으로는 모델 설정이 완료되면, 이제 촬상 툴의 기본 규칙을 예측하는 것과, 그래서 그 툴을 이용하여 이미지가 어떻게 형성될 것인지를 보다 정확하게 예측하는 것이 가능하다. 따라서, 이런 식으로, 모델 설정이 완료되면, 이제 모델의 셋업 파라미터가 알려져 레티클의 설계에 이용될 수 있다.
단계 640에서, 의도한 IC 레이아웃을 나타내는 레티클 설계가 제공되는데, 즉 설계를 나타내어야 하는 유리 상의 패턴이 툴에 제공된다. 보다 구체적으로, 단계 645에서 레티클 설계 데이터가 OPC 툴에 입력된다. 레티틀 설계 데이터는 촬상될 레이아웃의 설계를 나타내는 일련의 숫자들을 포함한다. 단계 645의 출력은 단계 635에서 설정된 모델에 따라 이루어진 OPC를 포함하는 레티클의 레이아웃이다. 단계 650에서, OPC를 포함하는 레티클 촬상이 모델을 통해 예측되고 단계 655에서는 레티클 설계의 노광 결과가 제공된다. 모델을 통해 이루어진 예측과 노광 결과가 단계 660에서 비교된다. 단계 665에서 δ22이면, 레티클 설계가 완료된다. 그러나, δ22이면, 공정은 단계 640으로 되돌아 가서, 레티클이 재설계되어야 하고, IC 레이아웃 패턴은 보정되어야 한다. 모델 셋업(단계 600-단계 635)과 설계 검증(단계 640-단계 670) 시에 이용된 기준이 다를 수 있기 때문에, δ22는 δ11과 다를 수 있음을 이해하여야 한다. 그러나, δ22와 δ11은 같은 역할을 한다.
본 발명에 따른 공정을 이용한 예시적인 구현
본 발명은 스캐너 기반의 광 근접 보정(OPC)에 이용된 스캐너 기호 파일(SSF: Scanner Signature File)을 이용하여 구현될 수 있다. 구현 시, 이미지 OPE에 영향을 미치는 스캐너 기호 데이터가 SSF로부터 추출되어 본 발명의 모델에 이용될 것이다. 이들 스캐너 기호는 본 명세서에서 상세하게 설명한 이미지 형성에 영향을 미치는 상이한 스캐너 특징을 정량화하는 일련의 파라미터 및 데이터 세트를 포함할 것이다. 실시형태에 있어서, 스캐너 모델마다 또는 스캐너 타입마다 단일 SSF가 존재한다. SSF에 포함된 정보는 통상 기밀이므로 암호화된 형태로 EDA 솔루션 공급자에게 제공된다.
본 명세서에 설명하는 바와 같이, 실시형태에 있어서, SSF는 설계치에 기초한 버전, 및 설계 데이터와 일부 계측 결과에 기초한 버전을 포함할 것이다. 설계치에 기초한 버전의 용도는 스캐너 기호 데이터와 OPC 및 OPC 검증 소프트웨어와의 통합을 가이드하도록 SSF 템플릿을 제공하는 것이다. 설계 데이터와 일부 계측 결과에 기초한 버전은 OPC 소프트웨어와 통합되는 스캐너 영향의 초기 평가를 나타낸다. 이들 버전 역시 필드 독립 스캐너 영향을 나타낸다. 따라서, 이들 버전으로부터 추출된 OPC 모델에 대한 모든 스캐너 주도식 조정이 이미지 필드 전체에 걸쳐 균일하게 적용되어야 한다. 이들 파일 버전에 포함된 데이터의 개요가 이하의 표 1에 정리되며, 이미 설명한 것들이다.
[표 1]
Figure 112016007071365-pat00001
2개 세트의 스캐너 영향, 즉 촬상 셋업에 따른(촬상 ID별) 그리고 스캐너 모델이나 스캐너 타입에 따른 스캐너 영향을 SSF의 데이터로부터 추정할 수 있다. 스캐너 셋업 ID는 OPC 모델에 대한 조정을 결정하는 하나의 요소이다. ID별 스캐너 데이터는 조명장치 필드의 동공 분포 및 조명장치 편광 맵을 조합한 스캐너 조명장치 기호이다.
파일 헤더
SSF 파일 헤더는 임의의 알려진 포맷으로 제공된 기본적 아카이빙 데이터(archiving data)를 포함한다. 각각의 라벨 하에, SSF 헤더는 이하에 대한 정보를 포함할 수 있다.
― 스캐너 본체 타입
― SSF 버전
― SSF 배포 날짜
― 파장(단위 ㎚)
― 이미지 평면에서 매질의 굴절율
― 스캐너 배율
― 그리드 맵 데이터에서 X 및 Y 좌표에 따른 노드의 수
― 이미지 필드 포인트의 수
― 데이터가 수집된 이미지 필드 좌표
조명장치 데이터
예시적인 실시형태에서, 조명장치 데이터는 탑햇(top-hat) 강도 분포를 포함한다. 실시형태에서, ID별 편광 조명장치 기호는 조명장치 레이아웃에 걸쳐 강도 분포를 지정하는 명령 또는 형식, 조명장치 셋업 사양, 및 조명장치 편광 그리드 맵으로부터 작성될 수 있다. SSF는 ID별 조명장치 기호를 작성하는데 필요한 이들 편광 그리드 맵을 포함한다.
SSF에는 방위 편광에 대한 편광 조명장치 그리드 맵이 존재할 것이며, 스캐너 본체 타입에 따라, V 편광 및 H 편광 조명장치에 대한 편광 조명장치 그리드 맵이 존재할 것이다. 일례로, SSF에 포함된 방위 편광 조명장치 그리드 맵은 다음의 예시적인 포맷을 갖는다.
[Azimuthally ppolarized illuminator]
Figure 112016007071365-pat00002
·
Figure 112016007071365-pat00003
·
Figure 112016007071365-pat00004
Figure 112016007071365-pat00005
[End azimuthally polarized illuminator]
편광 데이터는 "[Azimuthally polarized illuminator]" 뒤에서 시작되어 "[End azimuthally polarized illuminator]" 라벨(또는 그 동류)까지 이어진다. 각 데이터 행은 조명장치 그리드 맵의 단일 포인트를 나타낸다. 각 행의 숫자는 시그마 단위의 동공 그리드 내의 위치 (Sx 및 Sy)와, 이어서 조명장치 필드 진폭, 편광도, 편광 배향, 및 X와 Y 편광 성분 간의 위상 시프트를 지정한다.
"[End azimuthally polarized illuminator]" 라벨에 이어, 다음의 데이터가 포함될 수 있다.
[Azimuthal exclusion width]
ExAzim
[End azimuthal exclusion width]
여기서, ExAzim은 분할된 환형 조명장치의 대각선 제외 존의 도수가 주어진 폭이다.
V 및 H 편광 조명장치 편광 그리드 맵이 SSF에 포함되면, 이들 포맷은 데이터 블록의 상단 및 하단에 있는 라벨이 예컨대 [V polarized illuminator]이나 [H polarized illuminator] 및 [End V polarized illuminator]이나 [End H polarized illuminator](또는 그 동류) 각각에 의해 대체되는 것을 제외하면 방위 그리드 맵 포맷과 유사할 수 있다. V 및 H 편광 데이터가 SSF에 포함되지 않는다면, 각각의 데이터 세그먼트는 다음의 예시적인 형식을 가질 것이다.
[V polarized illuminator]
[End V polarized illuminator]
[H polarized illuminator]
[End H polarized illuminator]
편광 조명장치 데이터는 파일 헤드에 지정된 개구수에서 수집된다. 편광 조명장치 그리드 맵 데이터 세트에서, 그리드 포인트 좌표, 편광도, 및 조명장치 필드 진폭은 단위가 없지만, 편광 배향각 및 X와 Y 편광 성분 간의 위상 시프트는 도수로 표시된다.
ID별 조명 데이터는 다음의 예시적인 방식으로 작성될 수 있다.
(i) 각각의 조명장치 동공 그리드 포인트는 0.906250 -0.140625 0.794697 1.000000 78.746805 93.333764와 같이 6개의 숫자에 의해 정의된다. 이들 중에서, 예컨대 0.90250 -0.140625는 그리드 맵 노드의 (Sx, Sy) 좌표이고, 0.794697은 그 노드에서 조명장치 필드 진폭 E이며, 1.000000은 편광도 P를 나타내고, 78.746805는 편광 벡터 방위각 α이며, 93.333764는 Ex 및 Ey 필드 진폭 성분 간의 위상 시프트 Φ이다(α 및 Φ는 도수로 표시된다).
(ii) 조명장치 그리드 포인트 (Sx, Sy)에 대해, E의 2개의 Ex 및 Ey 편광 성분은 다음과 같이 표현될 수 있다.
Figure 112016007071365-pat00006
편광도 P는 Ex 및 Ey 외에 (Sx, Sy) 좌표에서 E에 대해 비간섭성을 갖는 (1-P) 강도가 있음을 나타낸다. 비간섭성 강도의 (1-P) 부분은 이미지 형성에 관계하는, 조명장치 (Sx, Sy) 좌표에 존재하는 비편광 파워로서 취급되어야 한다.
조명장치 편광 옵션
각각의 스캐너 셋업 ID는 렌즈 NA, 조명장치 타입 및 조명장치 편광을 비롯한 노광 조건을 지정한다. 가능한 조명장치 타입은 종래형, 소(小) 시그마형, 오프축(off-axis)형 조명장치를 포함한다. 오프축형 조명장치 중에서, 셋업 ID는 환형 및 다극 설계를 지정한다. 표 2는 다양한 조명장치 타입의 편광 옵션을 나타낸다.
[표 2]
Figure 112016007071365-pat00007
(1) 2극 조명장치의 V, H 또는 방위 편광은 스캐너 모델 및 그 설치 옵션에 의해 결정된 실제 기능에 기초하여 스캐너 상에 셋업된다.
표 2에 나타내는 바와 같이, 종래형 및 소(小) 시그마형 조명장치는 비편광되거나 V 또는 H 편광된다. 오프축형 조명장치, 환형, C-4극형 및 2극형은 비편광 또는 방위 편광된다. 4극형 조명장치는 비편광된다.
비편광 조명장치
비편광 조명장치 데이터는 스캐너 셋업 ID에 의해 결정된 조명장치 레이아웃에 의해 지정된 조명 분포 맵으로서 작성되어야 한다. 이 경우, 2개의 직교, 비간섭성 조명장치 필드는 각 조명장치 그리드 포인트에서 작성되어야 한다.
편광 조명장치 기호
셋업 ID가 방위, V 또는 H 편광 조명장치를 필요로 하는 경우, SSF에 포함된 적절한 조명장치 편광 그리드 맵 데이터를 스캐너 편광 기호를 생성하는데 이용하여야 한다. 이것은 촬상 셋업 ID가 지정한 레이아웃에 대해 적절한 조명장치 그리드 맵을 "마스킹"함으로써 달성될 수 있다. 조명장치 레이아웃의 마스킹은 스캐너 셋업 ID가 지정한 형상의 투명 마스크를 적절한 편광 그리드 맵 상에 두는 것과 유사하다.
다극 조명장치
다극 조명장치에서의 극들은 상이한 형상들을 가질 수 있으며, 예컨대 빵 모양(bun-shape)이다. 이 빵 모양은 다음과 같이 표현될 수 있다.
파라미터:
σin: 가장 가까운 포인트
σout: 가장 먼 포인트
φ: 개방 개구 각도
θ': 회전각
n: 세그먼트
극좌표 시스템(r,θ)
Figure 112016007071365-pat00008
빵 모양의 다극 조명장치 단면은 부등식 (2a)를 만족하는 좌표 (r, t)로 된 조명장치 동공에서의 모든 위치로 이루어져 있다. 여기서, σin와 σout은 조명장치 레이아웃 내연 및 외연의 반경을 나타내고, φ은 극의 각도 폭을 나타내며, θ'은 극의 정렬 방향을 나타내고, n은 다극 레이아웃에서의 극(세그먼트)의 수를 나타낸다. R1 및 R2는 각각 극 중심의 위치 및 극 폭을 나타낸다.
환형 조명장치
방위 편광 환형 조명장치는, 당업자라면 이해하는 바와 같이, 통상 제외 존에 의해 분리된 4개의 4분면으로 이루어진다. 일례로 제외 존은 각각 20도이다. ID별 환형 조명장치 기호는 SSF에 포함된 조명장치 편광 그리드 맵으로부터 적절한 조명장치 레이아웃을 마스킹함으로써 추출될 수 있다. 일 예시적인 실시형태에서는 대각 방향을 따라 4개의 제외 존의 마스킹 오프가 이루어진다.
렌즈 기호 데이터
아포다이제이션 및 편광 변환을 비롯한 렌즈 수차량은 존스 행렬의 동공 그리드 맵에 의해 표시된다. 이 데이터는 다음의 예시적인 포맷을 갖는다.
[Jones matrix]
Figure 112016007071365-pat00009
·
·
·
Figure 112016007071365-pat00010
[End Jones matrix]
실시형태에서는, 데이터가 "[Jones matrix]" 뒤에서 시작되어 "[End Jones matrix]" 라벨(또는 그 동류)까지 이어진다. 각 데이터행은 동공 존스 행렬 맵의 하나의 그리드 포인트를 나타낸다. 각 행에 있는 처음 2개의 숫자 (NAx 및 NAy)은 NA 단위의 동공 그리드 내의 위치를 지정하며, 존스 행렬 계수의 실수 및 허수부 Jzz, Jxy, Jyx, Jyy가 이어진다. 따라서, 각 데이터 행은 다음의 정보, 즉 NAx, NAy, Re(Jxx), Im(Jxx), Re(Jxy), Im(Jxy), Re(Jyx), Im(Jyx), Re(Jyy), Im(Jyy)를 포함한다. 존스 행렬 계수는 단위가 없다.
렌즈 색수차 데이터
촬상에 끼치는 색수차의 영향은 레이저 조사 스캐너 조명장치의 유한 스펙트럼 대역폭에 의해 주도된다. 이에, 촬상에 끼치는 색수차의 영향을 포착하는 SSF 데이터는 다음의 예시적인 포맷의 3개의 파라미터를 포함할 수 있다.
[Chromatic aberrations]
Figure 112016007071365-pat00011
[End chromatic aberrations]
여기서, CAz는 세로방향 색수차 계수를 나타내고,
Figure 112016007071365-pat00012
는 레이저 스펙트럼의 가우스형(Gaussian) 및 로렌츠형(Lorentzian) 엔벨로프의 폭을 나타낸다.
스캐너 렌즈 색수차 영향은 이하의 방식으로 ICA(z) 이미지와 레이저 스펙트럼와의 컨볼루션에 의해 포착된다.
Figure 112016007071365-pat00013
Figure 112016007071365-pat00014
Figure 112016007071365-pat00015
여기서, ICA(z) 및 I(z)는 각각 색수차에 영향을 받는 이미지와, 색수차의 영향을 받지 않는 이미지이다. CAZ는 세로방향의 색수차 계수이다. CAZ는 ㎚/㎚로 주어진다(무단위).
Figure 112016007071365-pat00016
는 nm 단위로 계수가 있는 레이저 가우스형-로렌츠형 스펙트럼 엔벨로프이며, CN는 스펙트럼 정규화 상수이다. 플러스에서 마이너스 무한대까지 확장되는 식 (3)의 무한 적분은 충분한 수준의 정확성을 식 (3)에 제공할 만큼 큰 유한 한계 내에서 수행되어야 한다.
플레어 데이터
플레어에 대한 데이터는 SSF에 3개의 파라미터를 포함한다.
[Flare]
a b GF
[End flare]
스캐너 국소 플레어 영향은 다음의 방식으로 포착될 수 있다. (플레어 변화가 시작된다.)
Figure 112016007071365-pat00017
Figure 112016007071365-pat00018
여기서, I0(x,y) 및 ILF(x,y)는 각각 플레어가 없는 이미지와, 국소 플레어에 영향을 받는 이미지이다. PSF(x,y)는 플레어 파면 계수인 a와 b에 의해 결정된 플레어 점확산 함수이며, "a"는 역 nm2의 단위를 갖고, "b"는 무단위이다. NA 및 λ는 각각 촬상 셋업 개구수 및 스캐너 파장이다.
식 (6)에서의 적분은
Figure 112016007071365-pat00019
이 제로에서 무한대로 변하는 방식으로 또는 그것의 타당한 근사법으로 수행되어야 한다.
전역 플레어는 값을 오픈 프레임 강도의 퍼센티지로서 표현하는 단일 파라미터로서 표시된다. 전역 플레어 영향은 다음의 식으로 표시된다.
Figure 112016007071365-pat00020
여기서,
Figure 112016007071365-pat00021
는 플레어가 없는 이미지이고,
Figure 112016007071365-pat00022
는 전역 플레어에 영향을 받은 이미지이며, CA는 평균 레티클 클리어 영역이고, IOF는 오픈 플레임 강도이다(플레어 변화가 끝난다). 퍼센티지로 주어지는 GF가 전역 플레어 레벨이다. 국소 및 전역 플레어 영향은 이방성이다. 그리고, IOF의 값은 촬상 셋업 조건에 종속된다.
스캐너 동기화 데이터
동기화에 대한 데이터는 SSF에 포함된 3개의 파라미터로 구성된다.
[Synchronization]
σx, σy, σz
[End synchronization]
여기서, 나노미터 단위로 주어지는 σx, σy, σz는 각각 x, y, z 방향에서의 스캔 동기화 이동 표준 편차, MSD-x, MSD-y, MSD-z를 나타낸다.
스캐너 동기화 영향은 이하의 방식으로 이미지를 동기화 에러 확률 분포와 컨볼루션함으로써 포착된다.
Figure 112016007071365-pat00023
Figure 112016007071365-pat00024
Figure 112016007071365-pat00025
Figure 112016007071365-pat00026
Figure 112016007071365-pat00027
Figure 112016007071365-pat00028
여기서, Isynch(x,y,z) 및 I(x,y,z)는 각각 동기화 에러가 있는 이미지 및 동기화 에러가 없는 이미지이고, psynch(x,y,z)는 동기화 에러의 가우스 확률 분포이며, σx, σy, σz는 각각 x, y, z에서의 동기화 이동 표준 편차이며 모두 nm 단위이다. 플러스에서 마이너스 무한대까지 확장되는, 식 (9)에서 (11)에서의 무한 적분은 충분한 수준의 수식 정확성을 제공할 만큼 큰 유한 범위 내에서 수행되어야 한다.
스캐너 영향의 순서
광 근접 보정 시에, 이미지는 다음의 순서, 즉 색수차, 국소 플레어, 전역 플레어, 동기화의 순서에 따른 스캐너 영향에 의해 수정되어야 한다.
본 발명에 따른 공정을 구현함에 따른 예시적인 이득
도 7은 신규 스캐너의 OPC 설계가 제조에 적용 가능해지기 전에 그 설계를 위해 본 발명에 따라 구현된 모델링 기법을 이용함에 따른 시간적 이득을 나타내고 있다. 도 7에서, 본 발명의 모델링 기법에서 고려된 파라미터는 기본 파라미터(705)와 함께, 렌즈 및 툴 파라미터(700)를 포함한다. 렌즈 및 툴 파라미터(700)를 이용함으로써, OPC 설계 완료에 있어서, 종래의 모델링 시스템에서의 3회(또는 그 이상) 반복과 비교해서 2회 반복만이 필요하다. 이것은 OPC 설계 완료에 시간적 이득을 제공한다.
도 8은 구축된 촬상 툴로 제조될 신규 장치의 OPC 설계를 위해 본 발명에 따라 구현된 모델링 기법을 이용함에 따른 시간적 이득을 나타내고 있다. 도 8에서, 본 발명의 모델링에 고려된 파라미터는 광 근접 효과(OPE) 테스트 노광(기본 모델링 파라미터)(805)과 함께, 렌즈 및 툴 파라미터(700)를 포함한다. 전술한 바와 같이, 렌즈 및 툴 파라미터(700)를 이용하면 예측 모델이 더욱 강력해짐에 따라, 특정 이미지 레이아웃에 대한 레티클을 설계하는 데 필요한 반복 횟수를 줄일 수 있다. 그래서, 렌즈 및 툴 파라미터(700)를 이용함으로써, OPC 설계 완료에 있어서 종래의 모델링 시스템에서의 3회(또는 그 이상) 반복과 비교해 2회 반복만이 필요하다.
도 9는 종래의 광학 모델 및 본 발명에 따라 구현된 광학 모델로부터 제조된 레티클을 이용하여 얻은 패턴들의 비교를 나타내고 있다. 보다 구체적으로, 도 9는 종래의 광학 모델을 이용하여 제조된 점선으로 표시한 레티클에 있어서, 광 근접 효과(OPE)에 의해 발생하는 것으로서, 바들 사이에 협소 공간을 갖는 실선으로 표시한 T바(T-bar) 패턴이 생성되는 것을 나타내고 있다. 그러한 협소 공간은 T바 OPE에 의해 주도된다. 이 경우, OPE의 예측은 부정확하며 T바 특징부의 2개의 직교하는 바 사이의 분리 제어를 방해한다. 이에 비해, 본 발명의 스캐너 파라미터 내장된 광학 모델 기법은 OPC를 정밀하게 보정한다. 정확하게 광 근접 보정된 T바의 이미지는 T바 패턴의 직교하는 바들 사이에 넓은 공간을 나타낸다. 그래서, 원하는 이미지 제공에 있어서 본 발명의 파라미터 내장 광학 모델로부터의 레티클을 이용하여 작성된 패턴이 종래의 광학 모델에 의해 형성된 것보다 더 정확하다. 그리고, 처음에 보다 정확한 이미지를 가짐으로써, 촬상 공정에 이용된 레티클을 제조하는데 필요한 반복 횟수를 줄일 수 있다.
광 근접 효과(OPE) 테스트 노광은 시뮬레이션 노광 및 실제 노광을 포함한다. 시뮬레이션 노광은 실제 머신에 의한 실제 노광 없이 단지 컴퓨터 상에서 구현되는 것을 의미한다.
본 발명에 따라 설계된 레티클을 이용한 예시적인 시스템
도 10은 본 발명에 따른 포토리소그래피 장치(노광 장치)(40)를 도시하는 개략도이다. 웨이퍼 배치 스테이지(52)는 웨이퍼 스테이지(51), 베이스(1), 팔로잉 스테이지 베이스(3A) 및 추가 액추에이터(6)를 포함한다. 웨이퍼 스테이지(51)는 웨이퍼(W)를 유지하는 웨이퍼 척(74) 및 간섭계 미러(IM)를 포함한다. 베이스(1)는 복수의 아이솔레이터(54)(또는 반력 프레임)에 의해 지지된다. 아이솔레이터(54)는 짐벌 에어 베어링을 포함할 수 있다. 팔로잉 스테이지 베이스(3A)는 웨이퍼 스테이지 프레임(반력 프레임)(66)에 의해 지지된다. 추가 액추에이터(6)는 반력 프레임(53)을 통해 지면(G) 상에 지지된다. 웨이퍼 배치 스테이지(52)는 구동 제어 유닛(60) 및 시스템 컨트롤러(62)에 의한 정밀 제어 하에서 복수(예컨대, 3에서 6)의 자유도로 웨이퍼 스테이지(51)를 이동시킬 수 있고 투영 옵틱스(46)에 대해 웨이퍼(W)를 원하는 대로 배치시키고 배향시킬 수 있도록 구성된다. 이 실시형태에서, 웨이퍼 스테이지(51)는 웨이퍼(W)의 수평화를 제어하기 위하여 웨이퍼 배치 스테이지(52)의 x 모터 및 y 모터에 의해 생성된 Z 방향의 힘을 이용함으로써 6 자유도를 갖는다. 그러나, 웨이퍼의 수평화를 제어하기 위해 3 자유도(Z, θx, θy) 또는 6 자유도를 갖는 웨이퍼 테이블이 웨이퍼 스테이지(51)에 부착될 수도 있다. 웨이퍼 테이블은 웨이퍼 척(74), 적어도 3개의 보이스 코일 모터(도시 생략) 및 베어링 시스템을 포함한다. 웨이퍼 테이블이 웨이퍼 스테이지(51)에 대해 상대 이동할 수 있도록 웨이퍼 테이블은 보이스 코일 모터에 의해 수직면에서 부상되고 베어링 시스템에 의해 웨이퍼 스테이지(51) 상에 지지된다.
웨이퍼 스테이지(51) 이동에 의해 X 방향으로 생성된 반력은 추가 액추에이터(6) 및 베이스(1)의 이동에 의해 상쇄될 수 있다. 또한, 웨이퍼 스테이지 이동에 의해 Y 방향으로 생성된 반력은 팔로잉 스테이지 베이스(3A)의 이동에 의해 상쇄될 수 있다.
조명 시스템(42)은 프레임(72)에 의해 지지된다. 조명 시스템(42)은 레티클 스테이지(RS)에 의해 지지되며 그 스테이지를 이용하여 스캐닝되는 레티클(R) 위의 마스크 패턴을 통해 방사 에너지(예컨대, 광)을 투영한다. 일 실시형태에서는 본 발명의 모델링 기법을 이용하여 레티클을 설계한다. 레티클 스테이지(RS)는 조동용 레티클 조동 스테이지와 미동용 레티클 미동 스테이지를 구비할 수 있다. 이 경우. 레티클 조동 스테이지는 1 자유도를 갖는 병진 스테이지 테이블(100)에 해당한다. 레티클 스테이지(RS)의 이동에 의해 생성된 반력은 일본 특허 평성 8-330224호 및 미국 특허 제5,874,820호에 개시된 구조에 따라, 레티클 스테이지 프레임(48)과 아이솔레이터(54)를 통해 지면에 기계적으로 방출될 수 있으며, 이들 특허 문헌의 전체 내용은 참조로 본 명세서에 원용된다. 광은 투영 옵팁스 프레임(50) 상에 지지된 투영 옵틱스(46)를 통해 집광되어 아이솔레이터(54)를 통해 지면에 방출된다.
간섭계(56)는 투영 옵틱스 프레임(50) 상에 지지되고 웨이퍼 스테이지(51)의 위치를 검출하여 그 웨이퍼 스테이지(51)의 위치 정보를 시스템 컨트롤러(62)에 출력한다. 제2 간섭계(58)는 투영 옵틱스 프레임(50) 상에 지지되고 레티클 스테이지(RS)의 위치를 검출하여 그 위치 정보를 시스템 컨트롤러(62)에 출력한다. 시스템 컨트롤러(62)는 웨이퍼(W) 또는 투영 옵팁스(46)에 대해 원하는 위치 및 방위에 레티클(R)을 배치하도록 구동 제어 유닛(60)을 제어한다. 본 발명의 시스템 및 방법을 이용함으로써, 간섭계의 정밀도가 유지된다
본 발명을 구현할 수 있는 다수의 상이한 형태의 포토리소그래피 장치들, 예컨대 j 건식 및 액침 포토리소그래피 툴이 있다. 예컨대, 장치(40)는 레티클(R)과 웨이퍼(W)가 동기적으로 이동하면서 레티클(R)로부터의 패턴을 웨이퍼(W) 상에 노광시키는 스캐닝 타입의 포토리소그래피 시스템으로서 이용될 수 있는 노광 장치를 포함할 수 있다. 스캐닝 타입의 포토리소그래피 디바이스에서는, 레티클(R)이 레티클 스테이지(RS)에 의해 투영 옵틱스(46)의 광축에 대해 수직으로 이동하고, 웨이퍼(W)는 웨이퍼 배치 스테이지(52)에 의해 투영 옵틱스(46)의 광축에 대해 수직으로 이동한다. 레티클(R)과 웨이퍼(W)의 스캐닝은 레티클(R)과 웨이퍼(W)가 동기적으로 x축에 평행한 상호 평행한 축들을 따라 반대 방향으로 이동하는 동안 이루어진다.
한편, 노광 장치(40)는 레티클(R)과 웨이퍼(W)가 정지한 상태에서 레티클(R)을 노광시키는 스텝 앤드 리피트(step-and-repeat) 타입의 포토리소그래피 시스템일 수 있다. 스텝 앤드 리피트 공정에서는, 개별 필드 노광 시에 웨이퍼(W)가 레티클(R) 및 투영 옵팁스(46)에 대해 고정 위치에 있다. 후속하여, 연속 노광 단계들 사이에서, 반도체 웨이퍼(W)의 다음 필드가 노광을 위해 투영 옵틱스(46) 및 레티클(R)의 상대 위치에 오도록 웨이퍼(W)는 웨이퍼 배치 스테이지(52)에 의해 투영 옵틱스(46)의 광축에 대해 수직으로 연속 이동한다. 이 공정에 후속하여, 레티클(R) 상의 이미지가 웨이퍼(W)의 필드 상에 순차 노광되어 반도체 웨이퍼(W)의 다음 필드가 투영 옵틱스(46) 및 레티클(R)의 상대 위치에 오게 된다.
그러나, 본 명세서에서 설명한 장치(40)의 이용이 반도체 제조를 위한 포토리소그래피 시스템에 한정되지 않는다. 장치(40)(예컨대, 노광 장치)는, 예를 들어 직사각형 유리판 위에 액정 표시 디바이스 패턴을 노광시키는 LCD 포토리소그래피 시스템으로서 또는 박막 자기 헤드를 제조하기 위한 포토리소그래피 시스템으로서 이용될 수 있다. 또한, 본 발명은 렌즈 어셈블리를 이용하지 않고 마스크와 기판을 밀접 배치시킴으로써 마스크 패턴을 노광시키는 근접 포토리소그래피 시스템에도 적용될 수 있다. 또, 본 명세서에 설명한 본 발명은 기타 반도체 처리 장비, 기계 툴, 금속 절삭 기계 및 검사 기계를 비롯한 기타 디바이스에도 이용될 수 있다.
조명 시스템(42)에 있어서, 조명 광원은 g선(436 ㎚), i선(365 ㎚), KrF 엑시머 레이저(248 ㎚), ArF 엑시머 레이저(193 ㎚) 또는 F2 레이저(157 ㎚)일 수 있다. 한편, 조명 광원은 x선 및 전자빔 등의 대전 입자 빔을 이용할 수도 있다. 예를 들어, 전자빔을 이용하는 경우, 열이온 방출(thermionic emission) 타입의 란탄늄 핵사보러이드(Lanthanum Hexaboride)(LaB6) 또는 탄탈늄(Ta)을 전자총으로서 사용할 수도 있다. 또, 전자총을 사용하는 경우에, 그 구조는 마스크를 이용하는 방식이나 또는 마스크를 이용하지 않고 패턴을 직접 기판 위에 형성할 수 있는 방식일 수 있다.
투영 옵틱스(46)에 대해서, 엑시머 레이저 등의 극자외선을 이용하는 경우, 극자외선을 투과하는 수정 및 형석 등의 유리 재료를 사용하는 것이 바람직하다. F2 타입의 레이저 또는 x선을 이용하는 경우, 투영 옵틱스(46)는 반사굴절성(catadioptric) 또는 굴절성인 것이 바람직하고(레티클도 굴절형인 것이 바람직하다), 전자빔을 이용하는 경우, 전자 옵틱스는 전자 렌즈 및 편향기를 포함하는 것이 바람직하다. 전자빔용의 광로는 진공 상태에 있어야 한다.
또한, 파장 200 ㎚ 이하의 진공 자외선(VUV)을 채용하는 노광 디바이스와 함께, 반사굴절형 광학 시스템을 이용하는 것도 고려할 수 있다. 반사굴절형 광학 시스템의 예로는, 공개된 특허 출원 공보에 게재된 일본 특허 출원 공개 제8-171054호 및 그 대응 특허인 미국 특허 제5,668,672호, 일본 특허 출원 공개 제10-20195호 및 그 대응 특허인 미국 특허 제5,835,275호에 개시된 것들이 있다. 이 경우에 있어서, 반사형 광학 디바이스는 빔 스플릿터 및 오목 거울을 탑재한 반사굴절형 광학 시스템일 수 있다. 또한, 공개된 특허 출원 공보에 게재된 일본 특허 출원 공개 제8-334695호 및 그 대응 특허인 미국 특허 제5,689,377호, 일본 특허 출원 공개 제10-3039호 및 그 대응 특허인 미국 특허 제5,892,117호의 것들도 빔 스플릿터는 없지만 오목 거울 등을 탑재하는 반사-굴절형 광학 시스템을 이용하며 이들 역시 본 발명에 채용될 수 있다. 전술한 공개된 특허 출원 공보에 게재된 일본 특허 출원 및 미국 특허의 명세서는 참조로 본 명세서에 원용된다.
또한, 포토리소그래피 시스템에 있어서, 전술한 실시형태에 나타낸 모터와 상이한 선형 모터(미국 특허 제5,623,853호 또는 제5,528,118호 참조)를 웨이퍼 스테이지 또는 레티클 스테이지 중 한쪽에 사용하는 경우, 그 선형 모터는 에어 베어링을 채택하는 공기 부양형 또는 로렌츠 힘이나 리액턴스 힘을 이용한 자기 부상형일 수 있다. 또, 스테이지는 가이드를 따라 이동할 수 있거나, 가이드를 이용하지 않는 무(無)가이드형 스테이지일 수 있다. 미국 특허 제5,623,853호 또는 제5,528,118호의 명세서는 참조로 본 명세서에 원용된다.
한편, 스테이지 중 하나는 2차원으로 배열된 자석들을 갖는 마그넷 유닛 및 대향 위치에 2차원으로 배열된 코일을 갖는 아마추어 코일 유닛에 의해 생성된 기전력에 의해 스테이지를 구동하는 평면 모터에 의해 구동될 수 있다. 이러한 형태의 구동 시스템에서는 마그넷 유닛 또는 아마추어 코일 유닛 중 어느 하나가 스테이지에 접속되고 다른 유닛은 스테이지의 이동 평면측 상에 탑재된다.
전술한 바와 같은 스테이지의 이동은 포토리소그래피 시스템의 성능에 영향을 미칠 수 있는 반력을 생성한다. 웨이퍼(기판) 스테이지 이동에 의해 생성된 반력은 미국 특허 제5,528,118호에 기재되고 일본 특허 출원 공개 제8-166475호에 게재된 바와 같은 프레임 부재를 이용하여 지상(지면)에 기계적으로 방출될 수 있다. 또, 레티클(마스크) 스테이지 이동에 의해 생성된 반력은 미국 특허 제5,874,820호에 기재되고 일본 특허 출원 공개 제8-330224호에 게재된 바와 같은 프레임 부재를 이용하여 지상(지면)에 기계적으로 방출될 수 있다. 미국 특허 제5,528,118호와 제5,874,820호의 명세서, 일본 특허 출원 공개 제8-330224호는 참조로 본 명세서에 원용된다.
전술한 바와 같이, 전술한 실시형태들에 따른 포토리소그래피 시스템은 규정된 기계적 정밀도, 전기적 정밀도 및 광학적 정밀도를 유지하는 방식으로 각종 서브시스템들을 조립함으로써 구축될 수 있다. 각종 정밀도를 유지하기 위하여, 조립전과 조립후에, 모든 광학 시스템은 그 광학 정밀도를 달성하도록 조정된다. 마찬가지로, 모든 기계적 시스템 및 모든 전기적 시스템도 그 각각의 기계적, 전기적 정밀도를 달성하도록 조정된다. 각 서브시스템을 조립하여 포토리소그래피 시스템으로 구성하는 공정은, 각 서브시스템 사이의 기계적 인터페이스, 전기 회로 배선 접속 및 기압 배관 접속을 포함한다. 물론, 각종 서브시스템들로부터 포토리소그래피 시스템을 조립하기 전에 각 서브시스템을 조립하는 공정도 있다. 각종 서브시스템을 이용하여 포토리소그래피 시스템을 조립하였다면, 완성된 포토리소그래피 시스템에서 모든 정밀도가 유지되는지 확인하기 위하여 전체 조정이 이루어진다. 또, 온도 및 습도가 제어되는 청정실에서 노광 시스템을 제조하는 것이 바람직하다.
또한, 전술한 시스템을 이용하여, 도 11에 개괄적으로 나타내는 공정에 의해 반도체 디바이스가 제조될 수 있다. 단계 1101에서, 디바이스의 기능 및 성능 특징이 설계된다. 다음에, 단계 1102에서, 패턴을 갖는 마스크(레티클)이 이전 설계 단계에 따라 설계되고, 이와 함께, 단계 1103에서, 실리콘 재료로 웨이퍼가 제조된다. 단계 1102에서 설계된 마스크 패턴은 본 발명의 원리에 부합하는 전술한 포토리소그래피 시스템에 의해 단계 1104에서 단계 1103의 웨이퍼 위에 노광된다. 단계 1105에서 반도체 디바이스가 조립(다이싱 공정, 본딩 공정 및 패키징 공정을 포함)된 다음, 최종적으로 단계 1106에서 디바이스가 검사된다.
도 12는 반도체 디바이스를 제조하는 경우에, 전술한 단계 1103의 상세한 흐름도 예를 나타내고 있다. 단계 1111(산화 단계), 웨이퍼 표면이 산화된다. 단계 1112(CVD 단계)에서, 절연막이 웨이퍼 표면 위에 형성된다. 단계 1113(전극 형성 단계)에서, 증착에 의해 전극이 웨이퍼 위에 형성된다. 단계 1114(이온 주입 단계)에서, 이온이 웨이퍼에 주입된다. 전술한 단계 1111 내지 단계 1114는 웨이퍼 처리 시 웨이퍼의 전(前)처리 단계들을 형성하고, 각 단계에서 처리 요건에 따라 선택이 이루어진다.
웨이퍼 처리의 각 스테이지에서, 전술한 전처리 단계들이 종료되면, 이어지는 후처리 단계들이 구현된다. 후처리 시에는 처음 단계 1115(포토레지스트 형성 단계)에서, 포토레지스트가 웨이퍼에 도포된다. 다음에, 단계 1116(노광 단계)에서, 전술한 노광 장치를 이용하여 마스크(레티클)의 회로 패턴이 웨이퍼에 전사된다. 그리고, 단계 1117(현상 단계)에서, 노광된 웨이퍼가 현상되고, 단계 1118(에칭 단계)에서, 잔존하는 포토레지스트(노광된 재료 표면) 이외의 부분이 에칭에 의해 제거된다. 단계 1119(포토레지스트 박리 단계)에서, 에칭후 남아있는 불필요한 포토레지스트가 박리된다. 이들 전처리 및 후처리 단계들을 반복하여 다중 회로 패턴이 형성된다.
추가 예시적인 방법
추가 실시형태로서, 도 13에 나타내는 바와 같이, OPC 설계 공정에 있어서, 스캐너 제조자는 스캐너 파라미터를 소프트웨어 공급자에게 제공한다. 그리고, 소프트웨어 공급자는 이들을 레티클을 설계하기 위한 소프트웨어에 입력한다. 소프트웨어 공급자는 그 소프트웨어를 레티클 설계에 이용하도록 반도체 디바이스 제조자에게 제공한다. 또한, 이 방법은 단계들 중 임의의 단계에서 데이터를 암호화하는 공정을 포함할 수도 있다. 추가 실시형태에서, 스캐너 제조자가 스캐너 파라미터 소프트웨어 파일 포맷을 소프트웨어 공급자에게 직접 제공하며, 스캐너 파라미터를 반도체 디바이스 제조자에게 직접 제공한다. 소프트웨어 제공자는 소프트웨어를 반도체 디바이스 제조자에게 제공한다. 디바이스 제조자는 레티클 설계에 이용하도록 스캐너 파라미터를 소프트웨어에 입력한다. 이 경우에도 단계들 중 임의의 단계는 암호화를 포함할 수 있다.
도 14에 나타내는 바와 같이, 다른 추가 실시형태에 있어서, 전술한 바와 같이 스캐너 파라미터 파일 포맷과의 부합성을 검증하기 위한 방법이 제공되며, 이 방법은 소프트웨어 공급자를 인증하는데 이용되게 된다. 예컨대, 그 단계들은, 단계 1400에서 소프트웨어 파일 포맷을 소프트웨어 공급자 또는 사용자에게 제공하는 단계와, 단계 1405에서 샘플 데이터 세트를 그 포맷으로 제공하는 단계를 포함할 수 있다. 단계 1410에서, 샘플 레티클 패턴이 반도체 디바이스 제조자에게 제공되고, 샘플 데이터를 이용하여 단계 1415에서 스캐너 모델이 작성된다. 단계 1420에서, 스캐너 모델 및 샘플 레티클 패턴을 이용하여 최종적인 회로 패턴이 시뮬레이션된다. 단계 1425에서, 시뮬레이션 결과는 (정확한 시뮬레이션으로부터 또는 실제 노광 결과로부터)정확한 것으로 알려진 결과 세트와 비교되고, 상위점이 식별된다. 단계 1430에서, 소프트웨어 공급자가 스캐너를 정확하게 표현했는지의 여부가 판정된다.
본 발명을 예시적으로 포토리소그래피 시스템에 대해 구체적으로 설명하였지만, 신규한 제품, 방법, 및 시스템은 정밀 장치(예컨대, 포토그래피 시스템) 등의 레티클을 설계하는데 바람직한 임의의 애플리케이션을 비롯한, 기타 및 추가 환경에서 이용될 수 있다. 이에, 본 발명을 그 실시형태로 설명하였지만, 당업자라면 본 발명이 이하의 청구범위의 기술 사상 및 범주 내에 있는 변형예로 실시될 수 있음을 이해할 것이다. 이에, 이상의 설명에 포함되고 또는 첨부 도면에 도시한 모든 사항은 제한적인 것이 아니라 예시적인 것으로 해석되어야 하며, 본 발명은 이하의 청구범위 및 그 등가물에 의해서만 제한되어야 한다.

Claims (19)

  1. 복수의 툴 파라미터를 OPC(Optical Proximity Correction) 모델에 입력하는 단계와,
    기본 모델의 파라미터를 상기 OPC 모델에 입력하는 단계와,
    상기 복수의 툴 파라미터 및 기본 모델의 파라미터를 이용하여, 타깃 레이아웃을 작성하는 단계와,
    테스트 패턴의 이미지를 상기 타깃 레이아웃과 대조하는 단계와,
    상기 타깃 레이아웃과 상기 테스트 패턴의 이미지의 차이(δ1)가, 미리 정해진 기준(ε1)보다 작은지의 여부를 판정하는 단계와,
    상기 타깃 레이아웃과 상기 테스트 패턴의 이미지의 상기 차이(δ1)가 상기 미리 정해진 기준보다 작은 경우, 상기 OPC 모델을 완성하는 단계
    를 포함하고,
    상기 복수의 툴 파라미터는,
    조명장치 세부사항, 렌즈 기호(lense signature)를 표현하는 존스 행렬 맵(Jones Matrix Map)으로 정의된 렌즈 기호, 국소 및 전역 플레어 데이터, 세로방향의 색수차, 조명장치 스펙트럼, 가로방향 및 세로방향의 동기화 에러, 중 하나 이상을 포함하는 것인, 모델링 방법.
  2. 삭제
  3. 삭제
  4. 제1항에 있어서, 상기 OPC 모델의 데이터를 완성한 후에, 레티클 설계 데이터를 입력하는 단계를 더 포함하는 모델링 방법.
  5. 제4항에 있어서,
    상기 레티클 설계 데이터를 입력한 후에, 상기 OPC 모델을 통해 예측을 수행하는 단계와,
    레티클 설계의 노광 결과와, 상기 OPC 모델을 통해 이루어진 예측을 비교하는 단계를 더 포함하는 모델링 방법.
  6. 제5항에 있어서,
    δ22인 경우, 상기 레티클 설계가 완료되고,
    δ22인 경우, 상기 레티클이 재설계되어 IC 레이아웃 패턴이 보정되며,
    여기서, 상기 δ2는 모델 대 노광 차이를 나타내고, 상기 ε2는 미리 정해진 기준인 것인 모델링 방법.
  7. 제1항에 있어서, 상기 미리 정해진 기준은, 적어도 상기 OPC 모델의 미리 정해진 정확성인 것인 모델링 방법.
  8. 제1항에 있어서, δ11인 경우, 상기 기본 모델의 파라미터와 툴 파라미터 중 하나 이상은 변경되어, 상기 OPC 모델에 입력됨으로써 반복 조작을 없애는 것인 모델링 방법.
  9. 제1항, 제4항 내지 제8항 중 어느 한 항에 있어서, 상기 조명장치의 세부사항은, 상기 조명장치의 동공의 각 위치에서의 강도 및 편광에 관한 정보를 포함하는 것인 모델링 방법.
  10. 제1항, 제4항 내지 제8항 중 어느 한 항에 있어서, 상기 복수의 툴 파라미터는 (i) NA 에러, (ii) 시그마 에러, (iii) 열수차 중 하나 이상을 더 포함하는 것인 모델링 방법.
  11. 제1항, 제4항 내지 제8항 중 어느 한 항에 있어서, 상기 기본 모델의 파라미터는 포괄적 테스트 레티클 데이터, 조명장치 데이터, 개구수 데이터, 특징부 바이어싱(feature biasing) 데이터, 프린트 불능 SRAF(Sub-Resolution Assist Feature) 중 하나 이상을 포함하는 것인 모델링 방법.
  12. 판독 가능한 프로그램 코드가 내장되어 있는 컴퓨터 판독 가능 기록 매체에 있어서,
    복수의 툴 파라미터 및 기본 모델의 파라미터를 이용하여, 타깃 레이아웃을 작성하고,
    상기 타깃 레이아웃과 테스트 패턴의 이미지의 차이가 미리 정해진 기준보다 작을 때까지 상기 복수의 툴 파라미터와 기본 모델의 파라미터 중 하나 이상을 반복 변경하며, 상기 타깃 레이아웃과 상기 테스트 패턴의 이미지의 차이를 상기 미리 정해진 기준과 비교하고,
    상기 타깃 레이아웃과 상기 테스트 패턴의 이미지의 차이가, 미리 정해진 기준보다 작은지 여부를 결정하고,
    상기 타깃 레이아웃과 상기 테스트 패턴의 이미지의 상기 차이가 상기 미리 정해진 기준보다 작은 경우, 상기 파라미터가 변경된 상기 기본 모델을 OPC 모델로 하도록, 상기 컴퓨터를 동작시키는 구성요소를 포함하고,
    상기 복수의 툴 파라미터는,
    조명장치 세부사항, 렌즈 기호(lense signature)를 표현하는 존스 행렬 맵(Jones Matrix Map)으로 정의된 렌즈 기호, 국소 및 전역 플레어 데이터, 세로방향의 색수차, 조명장치 스펙트럼, 가로방향 및 세로방향의 동기화 에러, 중 하나 이상을 포함하는 것인, 컴퓨터 판독 가능 기록 매체.
  13. 삭제
  14. 삭제
  15. 제12항에 있어서, 상기 미리 정해진 기준은, 적어도 상기 OPC 모델의 미리 정해진 정확성인 것인 컴퓨터 판독 가능 기록 매체.
  16. 삭제
  17. 제12항 또는 제15항에 있어서, 상기 조명장치의 세부사항은, 상기 조명장치의 동공의 각 위치에서의 강도 및 편광에 관한 정보를 포함하는 것인 컴퓨터 판독 가능 기록 매체.
  18. 제12항 또는 제15항에 있어서, 상기 복수의 툴 파라미터는, (i) NA 에러, (ii) 시그마 에러, (iii) 열수차 중 하나 이상을 더 포함하는 것인 컴퓨터 판독 가능 기록 매체.
  19. 제12항 또는 제15항에 있어서, 상기 기본 모델의 파라미터는 포괄적 테스트 레티클 데이터, 조명장치 데이터, 개구수 데이터, 특징부 바이어싱(feature biasing) 데이터, 프린트 불능 SRAF(Sub-Resolution Assist Feature) 중 하나 이상을 포함하는 것인 컴퓨터 판독 가능 기록 매체.
KR1020167001847A 2007-01-18 2008-01-16 스캐너 기반의 광 근접 보정 시스템 및 이용 방법 KR101769258B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US88554707P 2007-01-18 2007-01-18
US60/885,547 2007-01-18
PCT/US2008/051146 WO2008089222A1 (en) 2007-01-18 2008-01-16 Scanner based optical proximity correction system and method of use

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020097015891A Division KR101591100B1 (ko) 2007-01-18 2008-01-16 스캐너 기반의 광 근접 보정 시스템 및 이용 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177021991A Division KR101831926B1 (ko) 2007-01-18 2008-01-16 스캐너 기반의 광 근접 보정 시스템 및 이용 방법

Publications (2)

Publication Number Publication Date
KR20160014780A KR20160014780A (ko) 2016-02-11
KR101769258B1 true KR101769258B1 (ko) 2017-08-17

Family

ID=39636361

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020187004845A KR101964572B1 (ko) 2007-01-18 2008-01-16 스캐너 기반의 광 근접 보정 시스템 및 이용 방법
KR1020177021991A KR101831926B1 (ko) 2007-01-18 2008-01-16 스캐너 기반의 광 근접 보정 시스템 및 이용 방법
KR1020167001847A KR101769258B1 (ko) 2007-01-18 2008-01-16 스캐너 기반의 광 근접 보정 시스템 및 이용 방법
KR1020097015891A KR101591100B1 (ko) 2007-01-18 2008-01-16 스캐너 기반의 광 근접 보정 시스템 및 이용 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020187004845A KR101964572B1 (ko) 2007-01-18 2008-01-16 스캐너 기반의 광 근접 보정 시스템 및 이용 방법
KR1020177021991A KR101831926B1 (ko) 2007-01-18 2008-01-16 스캐너 기반의 광 근접 보정 시스템 및 이용 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020097015891A KR101591100B1 (ko) 2007-01-18 2008-01-16 스캐너 기반의 광 근접 보정 시스템 및 이용 방법

Country Status (4)

Country Link
US (5) US8365107B2 (ko)
JP (2) JP5149307B2 (ko)
KR (4) KR101964572B1 (ko)
WO (1) WO2008089222A1 (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
US8527253B2 (en) * 2007-09-06 2013-09-03 Synopsys, Inc. Modeling an arbitrarily polarized illumination source in an optical lithography system
US8300214B2 (en) * 2008-02-22 2012-10-30 Nikon Precision Inc. System and method for an adjusting optical proximity effect for an exposure apparatus
NL2003718A (en) 2008-11-10 2010-05-11 Brion Tech Inc Methods and system for model-based generic matching and tuning.
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
US8239786B2 (en) * 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby
US8250495B2 (en) * 2009-01-16 2012-08-21 Mentor Graphics Corporation Mask decomposition for double dipole lithography
JP2011066079A (ja) * 2009-09-15 2011-03-31 Toshiba Corp フレア補正方法及び半導体デバイスの製造方法
JP2011081753A (ja) * 2009-10-09 2011-04-21 Fujitsu Ltd ツール特定プログラム、方法及び装置
KR101757743B1 (ko) * 2011-03-03 2017-07-17 삼성전자 주식회사 플레어 보정방법 및 euv 마스크 제조방법
US8572518B2 (en) 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
US8453089B2 (en) * 2011-10-03 2013-05-28 Globalfoundries Singapore Pte. Ltd. Method and apparatus for pattern adjusted timing via pattern matching
NL2010196A (en) * 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
JP5994970B2 (ja) * 2012-02-10 2016-09-21 株式会社ニコン 瞳強度分布の調整方法、照明光学系およびその調整方法、露光装置、並びにデバイス製造方法
US20140040848A1 (en) * 2012-02-14 2014-02-06 Mentor Graphics Corporation Controllable Turn-Around Time For Post Tape-Out Flow
US9466100B2 (en) * 2012-06-06 2016-10-11 Kla-Tencor Corporation Focus monitoring method using asymmetry embedded imaging target
JP6244462B2 (ja) * 2013-11-20 2017-12-06 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ方法およびリソグラフィ装置
JP6338368B2 (ja) * 2013-12-25 2018-06-06 キヤノン株式会社 パターンの光学像の評価方法
CN104950568B (zh) * 2014-03-25 2020-02-07 中芯国际集成电路制造(上海)有限公司 光学邻近校正方法及双重图形曝光方法
US9262578B2 (en) * 2014-04-25 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US10310386B2 (en) * 2014-07-14 2019-06-04 Asml Netherlands B.V. Optimization of assist features and source
US10018922B2 (en) 2014-09-02 2018-07-10 Nikon Corporation Tuning of optical projection system to optimize image-edge placement
US10345715B2 (en) 2014-09-02 2019-07-09 Nikon Corporation Pattern-edge placement predictor and monitor for lithographic exposure tool
WO2016050584A1 (en) 2014-10-02 2016-04-07 Asml Netherlands B.V. Rule-based deployment of assist features
JP7171468B2 (ja) * 2019-02-20 2022-11-15 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、物品の製造方法、物品の製造システム、及び出力方法
DE102020208883B4 (de) * 2020-07-16 2023-06-15 Carl Zeiss Smt Gmbh Verfahren und Computerprogramm zur Reparatur einer Maske für die Lithographie
WO2023135773A1 (ja) * 2022-01-14 2023-07-20 ギガフォトン株式会社 フォトマスクの作成方法、データ作成方法、及び電子デバイスの製造方法
CN117669473B (zh) * 2024-01-29 2024-04-19 全智芯(上海)技术有限公司 用于模型校准的方法、电子设备及存储介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030237064A1 (en) 2002-06-07 2003-12-25 David White Characterization and verification for integrated circuit designs
JP2005517199A (ja) 2001-06-08 2005-06-09 ニューメリカル テクノロジーズ インコーポレイテッド 位相シフトフォトリソグラフィックマスクのための光学的近接補正
US20050240895A1 (en) 2004-04-20 2005-10-27 Smith Adlai H Method of emulation of lithographic projection tools

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6430737B1 (en) * 2000-07-10 2002-08-06 Mentor Graphics Corp. Convergence technique for model-based optical and process correction
US6866971B2 (en) * 2000-09-26 2005-03-15 Synopsys, Inc. Full phase shifting mask in damascene process
US6557162B1 (en) * 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
JP2002351931A (ja) * 2001-05-29 2002-12-06 Hitachi Ltd マスク描画データ作成管理方法、データ処理システムおよびフォトマスクの製造方法
US7030997B2 (en) 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
US6989603B2 (en) * 2001-10-02 2006-01-24 Guobiao Zhang nF-Opening Aiv Structures
US6880135B2 (en) 2001-11-07 2005-04-12 Synopsys, Inc. Method of incorporating lens aberration information into various process flows
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6846617B2 (en) 2002-05-15 2005-01-25 Numerical Technologies Facilitating optical proximity effect correction through pupil filtering
WO2004008246A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US6792592B2 (en) 2002-08-30 2004-09-14 Numerical Technologies, Inc. Considering mask writer properties during the optical proximity correction process
US6782525B2 (en) 2002-09-05 2004-08-24 Lsi Logic Corporation Wafer process critical dimension, alignment, and registration analysis simulation tool
US7093226B2 (en) 2003-02-28 2006-08-15 Synopsys, Inc. Method and apparatus of wafer print simulation using hybrid model with mask optical images
JP2007030526A (ja) * 2003-07-04 2007-02-08 Yamaha Motor Co Ltd 自動二輪車
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
CN1661479A (zh) 2003-11-05 2005-08-31 Asml蒙片工具有限公司 基于opc模型的本征分解
JP4473555B2 (ja) * 2003-11-12 2010-06-02 パナソニック株式会社 近接効果補正方法及び近接効果補正装置
US8151220B2 (en) * 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
JP4488727B2 (ja) 2003-12-17 2010-06-23 株式会社東芝 設計レイアウト作成方法、設計レイアウト作成システム、マスクの製造方法、半導体装置の製造方法、及び設計レイアウト作成プログラム
JP2005189362A (ja) * 2003-12-25 2005-07-14 Toppan Printing Co Ltd フォトマスク設計処理システム
KR100824031B1 (ko) 2004-01-30 2008-04-21 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
US7234130B2 (en) * 2004-02-25 2007-06-19 James Word Long range corrections in integrated circuit layout designs
US7126668B2 (en) * 2004-04-28 2006-10-24 Litel Instruments Apparatus and process for determination of dynamic scan field curvature
US7116411B2 (en) 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US20060190915A1 (en) * 2005-01-19 2006-08-24 Smith Adlai H Machine specific and machine group correction of masks based on machine subsystem performance parameters
US7642019B2 (en) * 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
US7349066B2 (en) * 2005-05-05 2008-03-25 Asml Masktools B.V. Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
JP2006313815A (ja) 2005-05-09 2006-11-16 Nikon Corp 結像性能シミュレーション方法及び装置、並びに露光方法及び装置
JP4413825B2 (ja) * 2005-07-13 2010-02-10 株式会社東芝 潜像計算方法、マスクパターン作成方法および半導体装置の製造方法
JP4806020B2 (ja) * 2005-08-08 2011-11-02 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体
JP5050365B2 (ja) * 2006-02-23 2012-10-17 富士通セミコンダクター株式会社 フォトマスクの製造方法
US7954072B2 (en) * 2006-05-15 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
US7784019B1 (en) * 2006-11-01 2010-08-24 Cadence Design Systems, Inc. Yield based retargeting for semiconductor design flow
US7995832B2 (en) * 2007-01-11 2011-08-09 Kla-Tencor Corporation Photomask inspection and verification by lithography image reconstruction using imaging pupil filters
US7873204B2 (en) * 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
US9836556B2 (en) * 2015-03-30 2017-12-05 Mentor Graphics Corporation Optical proximity correction for directed-self-assembly guiding patterns
JP6548038B2 (ja) * 2016-06-17 2019-07-24 住友電装株式会社 コネクタ
US10254641B2 (en) * 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005517199A (ja) 2001-06-08 2005-06-09 ニューメリカル テクノロジーズ インコーポレイテッド 位相シフトフォトリソグラフィックマスクのための光学的近接補正
US20030237064A1 (en) 2002-06-07 2003-12-25 David White Characterization and verification for integrated circuit designs
US20050240895A1 (en) 2004-04-20 2005-10-27 Smith Adlai H Method of emulation of lithographic projection tools

Also Published As

Publication number Publication date
US20170363951A1 (en) 2017-12-21
KR101831926B1 (ko) 2018-02-28
US8365107B2 (en) 2013-01-29
US20160161842A1 (en) 2016-06-09
KR20180021909A (ko) 2018-03-05
US20130191794A1 (en) 2013-07-25
US20100058263A1 (en) 2010-03-04
US20190163050A1 (en) 2019-05-30
KR20170094553A (ko) 2017-08-18
US9286416B2 (en) 2016-03-15
US9753363B2 (en) 2017-09-05
WO2008089222A1 (en) 2008-07-24
KR101591100B1 (ko) 2016-02-02
KR101964572B1 (ko) 2019-04-01
KR20160014780A (ko) 2016-02-11
JP2010517078A (ja) 2010-05-20
JP2013061669A (ja) 2013-04-04
JP5149307B2 (ja) 2013-02-20
JP5383893B2 (ja) 2014-01-08
US10234756B2 (en) 2019-03-19
KR20090113275A (ko) 2009-10-29

Similar Documents

Publication Publication Date Title
KR101769258B1 (ko) 스캐너 기반의 광 근접 보정 시스템 및 이용 방법
TWI795944B (zh) 訓練用以預測用於光罩之後光學接近校正(opc)之機器學習模型的方法、判定用於光罩之後opc影像之方法及判定對設計佈局之校正之方法
US11875966B2 (en) Method and apparatus for inspection
TWI519902B (zh) 梯度爲基的圖案及評估點選擇
JP2018508049A (ja) リソグラフィ方法およびリソグラフィ装置
US20180321596A1 (en) Indirect determination of a processing parameter
US9946166B2 (en) Reduction of hotspots of dense features
KR20210014672A (ko) 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
KR20190008321A (ko) 관통-파장 유사성에 기초한 계측 견실성 향상 기술
TWI700556B (zh) 用於判定性能參數之指紋的方法及設備
JP2014220264A (ja) リソグラフィ装置、リソグラフィ方法、および物品の製造方法
KR20220150369A (ko) 샘플링 스킴을 결정하는 방법, 연관된 장치 및 컴퓨터 프로그램
TWI793443B (zh) 判定圖案之像差靈敏度的方法
TWI836599B (zh) 判定來自統計獨立源之度量衡貢獻值之方法、判定微影程序之感興趣參數之方法及其相關聯電腦程式與非暫時性電腦程式載體
JP7305792B2 (ja) フォトリソグラフィ結像の方法及び装置
EP4071553A1 (en) Method of determining at least a target layout and associated metrology apparatus

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant