CN1661479A - 基于opc模型的本征分解 - Google Patents

基于opc模型的本征分解 Download PDF

Info

Publication number
CN1661479A
CN1661479A CN2004100997295A CN200410099729A CN1661479A CN 1661479 A CN1661479 A CN 1661479A CN 2004100997295 A CN2004100997295 A CN 2004100997295A CN 200410099729 A CN200410099729 A CN 200410099729A CN 1661479 A CN1661479 A CN 1661479A
Authority
CN
China
Prior art keywords
prime
profile
spatial image
spif
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2004100997295A
Other languages
English (en)
Inventor
X·施
R·索查
T·莱迪
J·F·陈
D·范登布罗克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML FRISKET TOOLS BV
ASML MaskTools Netherlands BV
Original Assignee
ASML FRISKET TOOLS BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML FRISKET TOOLS BV filed Critical ASML FRISKET TOOLS BV
Publication of CN1661479A publication Critical patent/CN1661479A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

开发了基于空间图像的本征分解的模型OPC,所述空间图像期望在抗蚀剂的表面上通过掩模图形生成。利用这种本征分解法,在该模型中能够精确地描述点(x,y)周围的空间图像强度分布。在本征分解模型中可以使用标量方法,其将通过该掩模的光波作为标量。或者,本征分解可以使用矢量方法,所述矢量法使用矢量来描述光波和光瞳函数。可从空间图像中产生预测SPIF,其可通过比较预计的SPIF和实验上确定的SPIF用于验证掩模模拟过程。模型OPC一旦校准,就可以用来评价掩模的性能和精细化掩模的特征。

Description

基于OPC模型的本征分解
本申请要求临时申请60/517,083的优先权,所述申请于2003年11月5日提交,名称为“MODEL OPC IMPLEMENTATION WITH GENERALIZEDILLUMINATION”,并同时要求临时申请60/605,716的优先权,所述申请于2004年8月31日提交,名称为“VECTOR EIGEN DECOMPOSITION BASEDOPC MODEL”,在这里结合它们每一个的全部内容作为参考。
技术领域
本发明的领域广义上涉及一种用于防止对目标掩模图案的光学邻近修正的方法、装置和程序产品。本发明更具体地涉及用于产生成像处理模型的方法、装置和程序产品,所述成像处理可以用来为任何给定输入掩模图案模拟成像处理的空间象。
背景技术
光刻装置可以用于,例如,集成电路(IC)的制造业中。在这种情况下,光刻掩模可以包含对应于IC的单层的电路图案,并且此图案可以成像到衬底(硅晶片)的目标部分上(例如包括一个或多个管芯),所述衬底涂敷有对辐射敏感的材料(抗蚀剂)。一般说来,单个晶片将会包括相邻目标部分的整个网络,这些目标部分通过这种投影系统连续逐个被照射。在一类光刻投影装置中,通过一次将整个掩模图案曝光到目标部分上照射每个目标部分;这种装置一般称为晶片步进机。在一个可供选择的装置(一般称为步进-和-扫描装置)中通过沿给定的参考方向(“扫描”方向)在投影束下渐进地扫描掩模图案照射每个目标部分,同时以平行或者反平行于该方向同步地扫描衬底工作台。一般说来,由于投影系统具有一个放大因子M(通常<1),扫描衬底工作台的速度V将是扫描掩模工作台速度的M倍。关于这里描述的光刻装置更多的信息能够从,例如美国专利No.6,046,792中得到,其在这里结合作为参考。
在使用光刻投影装置的制造工序中,掩模图案成象到衬底上,该衬底至少部分为一层辐射敏感材料(抗蚀剂)覆盖。在成象步骤之前,衬底可以经历不同的工艺,例如涂底漆、涂敷抗蚀剂和软烘烤。爆光之后,衬底可以经受其它工艺,例如曝光后的烘焙(PEB)、显影、硬烘焙和已成象特征的测量/检查。这一系列过程被用作图形化器件(例如IC)的单层的基础。这样图形化的层然后经历不同的处理过程例如蚀刻、离子注入(掺杂)、金属化、氧化、化学机械抛光等等,所有这些都是为了完成该单层。如果需要几个层,那么整个过程,或它的变型,必须为每一个新的层重复。最后,器件阵列将呈现在衬底(晶片)上。然后这些装置通过例如划片或切割技术彼此分离,其中单个器件能够装配在载体上,连接到管脚等等。关于这些工艺的详细资料能够从,例如,Peter van Zant的Microchip Fabrication:A Practical Guide to Semiconductor Processing(第三版,McGraw Hill Publishing Co.,1997,ISBN 0-07-067250-4),在此引用作为参考。
为了简单起见,投影系统以下可以称为“透镜”(lens);然而该术语应该概括地解释为包括各种类型投影系统,例如包括折射光学装置、反射光学装置和反折射系统。辐射系统也可以包括根据这些设计类型的任何一种操作的部件用于指导、定形或控制投影射束,并且这些部件也可以在下文中统一或单独地被称为“透镜”。此外,光刻装置可以是具有两个或更多衬底工作台(和/或两个或更多掩模工作台)的类型。在这种“多级”设备中可以并行使用附加工作台,或者在一个或多个工作台正用于曝光时在其它一个或多个工作台上执行准备步骤。例如,美国专利No.5,969,441和WO98/40791描述了两级光刻装置,其在这里结合作为参考。
上面所指的光刻掩模包含对应于要集成到硅晶片上的电路元件的几何图案。用于创建这种掩模的图案通过利用CAD(计算机辅助设计)程序产生,该过程经常被称为EDA(电子设计自动化)。为了创建实用的掩模,大部分CAD程序都遵循一组预先确定的设计规则。通过处理过程和设计限制设置这些规则。例如,设计规则定义电路器件(例如栅、电容器等等)或互连线路间的空间允许误差,以便保证电路器件或线路不以不希望的方式互相影响。设计规则限制通常称为“临界尺寸”(CD)。电路的临界尺寸可以定义为线路或者孔的最小宽度或者两个线路或两个孔之间的最小间距。因此,CD确定设计电路的总尺寸和密度。
当然,集成电路制造的目标之一就是在晶片上(通过掩模)如实地再现原电路设计。另一个目标是尽可能多地使用半导体晶片的实际面积(real estate)。然而随着集成电路尺寸的减少和它的密度的增加,其对应掩模图形的CD达到光学曝光工具的分辨率极限。将曝光工具的分辨率定义为曝光工具能够重复地曝光在晶片上的最小特征。目前曝光设备分辨率值经常制约了很多高级IC电路设计的CD。
随着半导体工业技术的进步,电路上的尺寸显著按比例缩小,其导致图像质量和光刻工艺耐用性显著退化。从物理的角度看,为了提高成象保真度,需要降低曝光波长与成像系统数值孔径的比率。为加强半导体器件的性能以及增加芯片功能,已经以很积极的方式渐进地减少芯片设计上最小特征尺寸和最小间距。为解决这种难题,半导体工业已经开发了具有更短波长和更高数值孔径(NA)的曝光工具。直到今天,已经证明光刻曝光工具沿着这条路线的连续发展是很成功的。为更进一步将曝光波长减小到193nm以下或进一步将数值孔径增加到0.9以上,经济上和技术上都出现了很多障碍。为克服由当前光刻曝光工具带来的限制,掩模数据的修改(一般称为光学邻近修正(OPC)),正在高级光刻技术中获得不断增加的动量。OPC通常包括应用散射条(scattering bar(SB)),其作用是加强工艺宽容度(process latitude)和主要特征偏差(biasing)。散射条的使用在美国专利No.5,242,770中有所论述,这里结合作为参考。尽管可以不考虑抗蚀剂效果通过光学成象理论产生散射条布置规则,但是不考虑抗蚀剂效果,将永不会准确预测给定位置的掩模数据的正确偏差量。
OPC的原始实现是基于规则的,给定工艺的规则组能够通过实验开发或者通过光学模拟和抗蚀剂模拟的组合开发。因此获得的规则组基本上是查询表,从中可以容易地发现SB的放置位置(如果允许)以及主特征的校正量,条件是可以规定待校正的边缘周围的相邻环境。在基于OPC的规则中考虑的邻接环境是一维的并且是短程的。这种特性使得其实现方式简单,但是在本质上也限制了其准确性。对于130nm和更高的技术,基于OPC规则的不足之处变得明显,因此需要OPC新方法来克服基于OPC规则的缺点。例如该新方法应提供一种途径来在更大的空间尺度上规定校正点周围的二维环境。
对于具有间距的特征,由于没有空间插入SB,光学邻近校正(OPC)的一般方法是调整特征边缘(或应用偏差),以便印刷特征宽度接近想要的宽度。如果要获得想要的目标,为了使亚-分辨率(sub-resolution)特征和/或特征偏差对于最小化光学邻近效应有效,需要具备大量关于掩模设计和印刷工艺知识以及大量经验的操作员来修改掩模设计,以包括亚分辨率特征和/或特征边缘(偏差)的调整。实际上,即使当一个有经验的操作员执行这个工作时,也经常有必要实施“反复试验”过程,以便正确地定位亚分辨率特征来获得所期望的修正。这种反复试验过程会成为耗时和昂贵的过程,因为可能需要重复修改掩模以及随后的重复模拟。
掩模数据偏差的当前实现通常基于一些模型,所述模型已经在具体的光刻工艺中校准。这种方法一般称为模型OPC。例如,光学邻近效应(OPE)的校正经常需要试图“校准”印刷工艺以便补偿OPE。当前巳知的技术包括将所谓的校准参数与OPC模型“相关联”,这需要在不同的特征位置执行一组详细的SEM CD测量。这些是不考虑实际特征形状的一维宽度测量。测量数据收集的越多,校准参数的精确性就越好。然而,对于可靠的模型参数校准来说,在不同的邻接环境下、在不同的临界特征位置通常需要数百以上的CD测量。这是劳动密集和旷日持久的工作。更糟的是,由于经验级别的原因,采取怎样的CD测量经常变得依赖于操作员,这明显负面影响了参数校准,从而限制了这种技术的总体有效性。
存在若干方法来开发和实施模型OPC,例如由John P.Stirniman、Michael L.Rieger在SPIE,Vol.2197,(1994),294中,以及Nick Cobb、Avideh Zakhor和EugeneMiloslavsky在SPIE,Vol.2726,(1996),208中公开的方式。然而,这些模型在满足低k1光刻中的更严格的尺寸控制需求上面临着不断增加的挑战。这些模型同样很可能不能和高级光刻技术同时工作,例如无铬相位光刻(CPL),其中掩模上的表面形貌是相当重要的,以及双偶极光刻(double dipole Lithography(DDL)),其中需要两个掩模和两个曝光。除了这些考虑之外,先进的照明(例如使用衍射光学元件(DOE)的用户设计照明设备)、实际照明装置分布与理论帽盖形照明装置分布的偏离都对于当前可用的模型提出很多挑战。为处理这些难点,必须开发一种具有更多固体物理和数学基础的根本不同的模型。
有很多技术用来达到用于光刻的照明优化。各种掩模优化技术也已经是公知的。然而,当前照明优化和掩模优化通常没有联系。Rosenbluth等人的美国专利No.6,563,566公开了通过一系列试图线性化掩模传输优化的计算执行照明优化和掩模优化。Rosenbluth公开了最大化最小NILS(归一化图像对数斜率(Normalized Image Log-Slope))以及选择要用在这种计算中的各种条件。Rosenbluth也认识到由于依赖掩模的对称性,这种计算受到限制。然而,Rosenbluth使用的掩模传输(transmission)的线性化需要在计算中使用几个近似,而不是实际成像方程本身,这在使用掩模形成所要图象时会产生误差。掩模传输的线性化也需要使用很多的变量,这需要大量计算时间来执行该计算。因此,随着逻辑特征尺寸的减小,有必要提供使用最小计算时间的精确地形成所期望图象的掩模实现方式。
发明内容
为了解决现有技术的上述不足,本发明涉及模拟光刻工艺的方法,包括步骤:接收表示掩模设计以及掩模设计将在其上使用的成像系统的特征的参数;通过将空间图像分解成光学成象系统的本征函数,确定期望通过掩模设计在抗蚀剂上产生的空间图像强度分布。由于光学成象系统起低通滤波器的作用,因此来自光学成象系统的空间图像是限带的(band-limited),即,它们的空间频率是有界的。这种限带函数的有效自由度是有限的,因此,仅需要可数的几个基函数来表示这类函数。最有效的基函数是光学成象系统的本征函数。通过在每个点(x,y)将空间图像分解成本征函数,可以获得一组信号{S1,S2,……,SN},从这些信号可以创建的系统赝强度函数(SPIF)。空间图像到各自相应的SPIF的转换是通过包含变量组{S1,S2,……,SN}和一组参数的函数来完成的。这些参数是给定的待使用的成像处理的特征值,并且它们必须由校准过程来确定。在校准期间,这些参数被自动地调整直到模拟的轮廓间和实验轮廓之间的误差最小,模拟轮廓通过将SPIF限制在一个恒定值获得。根据本发明的方法,通过使用恒定阈值本征分解模型来确定成像图形的轮廓。
根据本发明的方法,,可以使用可变阈值本征分解模型来确定空间图像。
此外,根据本发明的方法,可以使用矢量本征分解模型确定空间图像。
一种用于模拟光刻工艺的方法,包含步骤:接收表示掩模设计以及掩模设计将在其上使用的成像系统的特征的参数;根据这些参数、使用期望产生的空间图像的本征值确定期望通过该掩模设计在抗蚀剂上产生的空间图像强度分布;并且基于该空间图像确定系统赝强度函数(SPIF)。
用于模拟光刻工艺的方法可以进一步包含步骤:向SPIF施加常量阈值来产生轮廓;将已产生的轮廓和期望的轮廓相比较;且如果产生的轮廓没有在预定期望的轮廓的允许误差内,则调整与每个本征矢量有关每项的权重并且产生新的SPIF。
在模拟光刻工艺的方法中,向SPIF施加常量阈值的步骤、比较产生的轮廓的步骤和调整与每个本征函数相关的每个项的权重的步骤可以重复预定数量次,或直到产生的轮廓在期望轮廓的预定允许误差内为止。
用于模拟光刻工艺的方法中,常量阈值可以是对每个SPIF都相同的常数阈值,或可以是对每个SPIF变化的可变阈值。
用于模拟光刻工艺的方法中,确定空间成像的步骤使用光波的矢量表征。
用于模拟光刻工艺的方法中,确定空间成像的步骤使用表示光学成象系统特征的光瞳函数。光瞳函数可以通过函数生成:
K ij ( α ′ , β ′ , z ′ ) = Σ k = x , y , z γ γ ′ G ik ( α ′ , β ′ , z ′ ) Q kj ( α ′ , β ′ ) e i 2 π λ [ w ( α ′ , β ′ ) + γ ′ Δ ]
其中(α′,β′)是出射光瞳中的角座标,z′是在抗蚀剂中相对于空气/抗蚀剂界面的平面位置,W(α′,β′)是像差函数,Δ是散焦,N是成像系统中的换算系数(reduction factor),Qkj(α′,β′)表示从目标空间中的j分量到图象空间k分量的光偏振转换,Gik(α′,β′,z′)表示薄膜栈中的光干涉效应;并且γ和γ′是如以下给出的相关性:
γ ′ = 1 - α ′ 2 - β ′ 2
γ = 1 - ( α ′ 2 + β ′ 2 ) / N 2
模拟光刻工艺的方法中,确定空间图像的步骤可以包括使用本征矢量分解确定平面z处光强分布的步骤。确定空间成像的步骤还可以包括确定z-平均光强分布的步骤。z-平均光强分布可以根据函数:
< I ( x , y ) &OverBar; > = &Sigma; n = 1 &chi; n | &Integral; &Integral; &Phi; n ( f , g ) F ( f , g ) e 2 &pi;i [ fx + gy ] dfdg | 2
确定。
本发明还涉及一种用于模拟光刻工艺的系统,该系统包括:一个输入端,用于接收表示掩模设计以及掩模设计将在其上使用的成像系统的特征的参数;和一个处理器,用于执行指令以基于这些参数使用期望产生的空间图像的本征函数和本征值,确定期望通过该掩模设计在抗蚀剂上产生的空间图像强度分布,并且将空间图像转换为SPIF。
根据本发明的系统,空间图像的轮廓可以使用常量阈值本征分解模型来确定。
根据本发明的系统,空间图像的轮廓可以使用可变阈值本征分解模型来确定。
此外根据本发明的系统,空间图像可以使用矢量本征分解模型来确定。
本发明同时涉及一种包括指令的计算机可读媒质,所述指令使计算机执行模拟光刻工艺的方法(即,对于给定掩模和成像工艺在晶片上形成的最终图象),包括步骤:接收表示掩模设计以及掩模设计将在其上使用的成像系统的特征的参数;基于这些参数使用期望产生的空间图像的本征值,确定期望通过该掩模设计在抗蚀剂上产生的空间图像强度分布;将空间图象转换为SPIF;确定SPIF是否令人满意;并且将连续确定的空间图像和该空间图像结合起来直到获得满意的SPIF。
根据本发明计算机可读媒质上的指令,来自空间图像的轮廓可以使用常量阈值本征分解模型来确定。
根据本发明计算机可读媒质上的指令,来自空间图像的轮廓可以使用可变阈值本征分解模型来确定。
此外,根据本发明计算机可读媒体上的指令,空间图像可以使用矢量本征分解模型来确定。
本发明计算机可读媒质可以包含使计算机执行以下步骤的指令:接收表示掩模设计以及掩模设计将在其上使用的成像系统的特征的参数;基于这些参数使用期望产生的空间图像的本征值,确定期望通过该掩模设计在抗蚀剂上产生的空间图像强度分布;并且基于该空间图像确定系统赝强度函数(SPIF)。
用于模拟光刻工艺的计算机可读媒质可以进一步包含步骤:向SPIF施加常量阈值来产生轮廓;将产生的轮廓和期望的轮廓比较;如果产生的轮廓没有在期望轮廓的预定允许误差内,则调整与每个本征函数有关的每个项的权重并且产生新的SPIF。
本发明的本征分解模型既可以通过利用实施阈值处理方案的容易性的低NA方案一起使用,也可和高NA方案共同使用,所述高NA方案考虑了光波的矢量特性以及晶片上薄膜堆栈的效果。
用于模型OPC的本征分解模型可以在软件程序中实现,例如ASML掩模工具产品。本发明允许使用常量阈值本征分解模型、可变阈值本征分解模型以及这些模型的任何变型。
重要地是,本发明可以用来模拟几乎任何类型照明,例如顶环发光器、或用户设计的发光器或实验或模拟的发光器分布。在本发明中使用的本征函数可以来自标量光瞳函数或来自高NA光瞳函数的矢量表示。本发明的处理过程可以以算法的形式,该算法能够为普通的发光器产生本征函数,不管它是用户设计发光器,还是从实验中测量的真正发光器。本发明还可以与高NA模型一起使用。开发出的本征分解模型还可以同新的低k1光刻技术一道工作,例如无铬相位光刻(CPL)以及双偶极光刻(DDL)。
根据本发明的原理,可以使用系统的方法将CD SEM图象中的特征转换为轮廓,而仅需要最小的人为干预。
附图说明
使用结合到本说明书中并且组成其一部分的附图和描述来解释本发明的原理。在附图中:
附图1示出根据本发明示例性标量本征分解模型。
附图2示出实施附图1的标量本征分解模型的示例性过程。
附图3示出根据本发明使用可变阈值实施标量本征分解模型的示例性处理过程。
附图4示出用于实施矢量本征分解模型的示例性处理过程。
附图5示出用于实施附图1-3中的本征分解模型的示例性处理系统;
附图6示意地描述了适于使用借助本发明设计的掩模的一种光刻投影装置;
附图7以及8示出利用顶环照明、使用常量阈值本征分解模型使用四个本征函数导出的示例性图象;且
附图9以及10示出利用类星体照明、使用常量阈值本征分解模型使用四个本征函数导出的示例性图象。
附图11-15示出连接示例性掩模图形的示例性轮廓的片段的过程,所述掩模图形根据本发明的原理确定。
附图16示出从已提取的轮廓获得CD值。
附图17示出以GDSII格式显示示例性掩模图案的轮廓。
附图18-20B示出使用类星球体照明、248nm曝光光的示例性掩模图案的本发明模型的实施。
具体实施方式
公开一种基于本征函数分解(称为本征分解模型或EDM)的、用于模型OPC的方法和系统。在本发明中,部分相干的成像系统最好分解成一系列相干成象系统。这一系列相干成象系统提供了一个有效和精确的方法来描述感兴趣的点(x,y)周围的空间图像强度分布,这可能用来设计一个改进的掩模来提高光刻工艺中所期望图象特征的分辨率。对于在掩模图案上的精确模型OPC,我们必须保证充分地考虑了照明影响。对于易处理的模型OPC应用,本发明使用本征函数分解法来最佳地近似部分相干成像系统。最佳近似用来产生一种可用来为每个掩模产生SPIF函数的模型,计算机模拟程序可以使用它来模拟通过掩模在具有抗蚀剂的曝光晶片上产生的图形。通过比较产生的SPIF函数的模拟轮廓和由真正掩模照明提供的期望轮廓,可以校准该模型。
在现今IC制造的光刻工艺中,部分相干照明已经是主流照明方式。实际上,照明相干性可以定义为聚光透镜和物镜之间的数值孔径比。这个比值,一般称为σ,范围是从0到1。对于相干照明,σ接近零,但是对于非相干照明,σ→1。部分相干照明这样定义:1>σ>0。物理上,照明光瞳、透镜光瞳和掩模处于相同的共轭面中,这便于用数学模拟图像形成。因此,相干照明成像系统用数学的直接方式来描述是相对容易的。然而,如果相同的方案用来描述具有部分相干照明的成像系统,它可能变得很复杂且计算上难以控制。
对于在掩模图形上的精确模型OPC,必须保证充分考虑照明影响。对于易处理的模型OPC应用,本发明使用本征函数分解法来最佳地近似部分相干成像系统。
如图2所示,本征分解模型的实施方式包括几个重要的步骤,包括:本征函数的产生、由CD SEM图像到界限分明的轮廓的转换和模型校准。优选地,该实现方法可用于从一般的照明中导出一组最佳模型OPC本征函数。不管该发光器使用理想“顶环”分布、定制的半径不对称的分布,或者只从模拟产生的或使用实际测量近似的“真正的”发光器分布,这种技术都是适用的。导出的本征函数能够以最佳匹配照明类型为基础;因此,同基于理论照明类型的模型OPC的使用比较起来,获得精确模型OPC是更加可行的。
优选的,本发明的EDM方法能够同先进的光刻技术一起使用,例如无铬相位光刻(CPL),其中掩模上的表面形貌是相当重要的,以及双偶极光刻(DDL),其中需要两个掩模和两次曝光。另外,优选EDM方法能够容易地适应高级的照明,例如使用衍射光学元件(DOE)的用户设计发光器,或偏离理论顶环发光器分布的真正发光器分布。
不同于完全相干照明,当利用部分相干照明时,对于最好的成像和OPC性能,可能物理上将照明光瞳构造成径向对称或不对称的。根据照明源固有的光学设计,照明光瞳形状可以是均匀强度(即该“顶环”光瞳形状),或者是连续按比例变化的强度级(即该“真正”光瞳形状)。而且,故意设计具有连续按比例变化的照明光瞳的不对称照明光瞳(即该定制“光瞳”形状)以获得最理想的印刷性能也是理想的。本发明的原则可用于为任何普通照明光瞳-例如顶环、真实或定制的-导出一组模型OPC本征函数。因此,可以实现一种强大的模型OPC方案。
当结合相移掩模(PSM)(例如衰减PSM(att-PSM)或者无铬相位光刻(CPLTM)PSM)使用最佳照明时,已经证明了可以大大地加强印刷分辨率,该印刷分辨率使用传统的二元铬掩模不可能获得。一种重要的使能因素是通过利用能用于最佳照明的精确模型OPC方案。
A.常量阈值本征分解模型
在半导体制造中通常使用的部分相干照明条件下,光学成象系统本身在性质上是非线性的。任何给定掩模图形的空间图像(即该图像平面的光强分布),可以容易地使用公知的光学公式相当精确地计算出来,所述光学公式例如参见H.H.Hopkins,Proc.Roy,Soc.,A,217(1953),408,在此引入作为参考。化学放大型(chemically amplified)抗蚀剂对光强的响应以及随后抗蚀剂在溶剂中的显影都是非常非线性的。在晶片上最后的显影图可以看作二元的,在晶片衬底之上要么有抗蚀剂要么没有抗蚀剂。该模型的主要功能是提供一种数学公式,其能够从掩模图案或空间图像准确推算晶片上的二元图像。数学描述为:
          T(x,y)=F{M(x,y)}
                        ……(1)
其中T(x,y)是在晶片上生成的二元图像,而M(x,y)是掩模上的输入图形。F表示未知函数形式,其是任何模型的核心。在常量阈值模型中,通过使用常量阈值剪切M(x,y)的空间图像可获得二元图像。然而,使用常量阈值获得二元图像的简单性是很吸引人的。有一种描述其值是0或者1的任何二元函数T(x,y)的数学原理,其能够通过将限带连续函数F(x,y)限制到任何规定的精确度来获得。期望精度越高,需要的函数F(x,y)的带宽越大。这种连续函数F(x,y)在本发明的模型中称为系统赝强度函数(system pseudo intensity function SPIF)。
从能够容易地计算的空间图像I(x,y)到SPIF的转变组成了本模型中的关键元素。在位置(x,y)的SPIF的值不仅依赖于(x,y)处空间图像强度的值,而且还依赖于(x,y)周围空间图像强度的所有值。数学上SPIF可以表示为,
由于光刻工艺复杂特性,未必可能基于第一物理原理导出
Figure A20041009972900162
的确切函数形式。因此,使用 的近似函数形式。仅当该空间图像I(x,y)具有有限的有效自由度时这才是可能的,根据Shanon的抽样定理这是正确的,这是由于空间图像I(x,y)是限带函数,如在C.E.Shannon,Proc.IRE,37,(1946),429中论述的一样,这里结合作为参考。
本发明第一个示例性实施例的概述如图1和2所示,其中使用标量EDM方法。参考图1和2,在步骤S100,将包含掩模图形特征的输入2提供给光学成象模型4。在步骤S102,从待使用的照明源和成像处理的特性(包括例如数值孔径NA和波长λ)中确定表示该成像处理的本征函数和本征值。在步骤S104,掩模特性(即目标图形)用于确定掩模函数M(x,y),其作为输入2提供。在步骤S106中,通过将掩模函数M(x,y)与所述本征函数卷积来确定空间图像。可以使用指示抗蚀性效果6的标量来确定空间图像,以说明特定抗蚀剂对实际空间图像的作用。在步骤S108,预定常量阈值应用于该空间图像,以产生具有预期轮廓的起始SPIF。在步骤S110,将预期的轮廓与掩模图像已知的轮廓相比,所述已知轮廓是通过使用相同的照明条件实际印制该掩模图象来确定的。如果预期的轮廓是在测量轮廓的预定允许误差范围内(步骤112“是”),则证明预测模型是一个准确模型,并且模型校准结束(步骤S114)。如果预期的轮廓不是在预定允许误差内(步骤112“否”),那么调整与定义该成像处理的每个本征函数有关的每个项的权重(步骤S116)并且生成新的SPIF。然后,步骤S108,该常量阈值用于新的SPIF,步骤S108-116的过程继续,直到生成提供在预定允许误差内的轮廓的模型。然而,由于利用所选的本征函数可能不能准确地模拟一些掩模设计,因此优选在预定次数没能提供在预定允许误差内的轮廓以后,停止该模拟过程。
一旦该模型被校准,其可以简单地通过提供附图1示出的掩模参数,用于为任何掩模模拟空间图像。注意到该模型对于给定处理照明条件是有效的。如果该照明条件被修改,将必须产生一种新的模型。这是有利的,因为设计者现在可以容易地将目标掩模修改成模型OPC特征,然后利用该模型将目标掩模输入到模拟器中。该模型的输出提供由修改后的掩模生成的空间图像。如果空间图像处于可接受的设计极限内,该过程结束。然而,如果空间图像不可接受,设计者可以再次修改输入掩模图形以进行另一个模拟器处理。这个过程一直继续,直到获得一个可接受的图象。
在确定本征函数和本征值的过程中,如图2所示的步骤S102,提供一组表示光学成象系统特征的参数作为一个或者多个宽带通常同一带宽的基函数。具有带宽Ω的全部可能基函数组中最佳基函数可以使用分解技术来确定。通过该最佳基函数组,意指对任何指定误差要求,近似任何具有带宽Ω的实质函数从该集合需要的基函数的数目是最小的。优选的是,这种最佳基函数组必须最有效地表示光学成象系统(照明、光瞳等等),并且独立于待成像的目标。从标量方式的的Hopkin’s成像公式开始:
其中γ(x2-x1,y2-y1)是目标平面中的(x1,y1)和(x2、y2)间的相干,其通过照明确定,并且K(x-x1,y-y1)是光学成象系统的脉冲响应函数,其由该光学系统的光瞳函数确定。更明确的是,K(x-x1,y-y1)是图像平面中点(x,y)的复数振幅,这是由于在目标平面中(x1,y1)处单位幅度的扰动和零相位。M(x1,y1)是点(x1,y1)处目标的复传输(complex transmission)。带星号的变量指的是该变量的共轭,例如,K*是K的共轭而M*是M的共轭。
方程式(3)可以通过更改积分变量写成另一种形式,
Figure A20041009972900172
…………(4)
假设
W(x1′,y1′;x2′,y2′)=γ(x2′-x1′,y2′-y1′)K(x1′,y1′)K*(x2′,y2′)
                                                                -------(5)
由于
γ(x2′-x1′,y2′-y1′)=γ*(x1′-x2′,y1′-y2′)
                                                                -------(6)
则有
W(x1′,y1′;x2′,y2′)=W*(x2′,y2′;x1′,y1′)
                                                                -------(7)
满足方程式(7)的关系的积分算符W被称作厄密共轭算符。根据Mercer原理(在A.V.Balakrishnan,Applied Functional Analysis(应用函数分析),(1976)中描述,这里结合参考),对于厄密共轭算符,存在完整的标准正交函数组{φi},使得W可以对角地展开到{φi}上,
W ( x 1 &prime; , y 1 &prime; ; x 2 &prime; , y 2 &prime; ) = &Sigma; j = 1 &infin; &alpha; j &phi; j ( x 1 &prime; , y 1 &prime; ) &phi; j * ( x 2 &prime; , y 2 &prime; ) - - - ( 8 )
通过首先在两端乘以φi(x2′y2′),且然后在两端对变量x2和y2积分,可以容易地从方程式(8)获得积分方程。由于{φj}是标准正交的,积分之后右边仅存的项是j=i的项。
∫∫W(x1′,y1′;x2′,y2′)φi(x2′,y2′)dx2′dy2′=αiφi(x1′,y1′)
                                                           --------(9)
如图2所示的步骤S102,这些本征函数可以根据方程式9产生。尤其是,正交函数{φi}是积分算符W的本征函数,其可以通过解积分方程(9)容易地获得,而{αi}是相应的本征值。如方程式(4)和(5)中提供的,积分算符W也是正的和半定的,这是因为任何位置(x,y)的空间图像强度I(x,y)对于任何给定输入掩模图形M(x,y)是非负的。这种条件进一步增加了对{αi}值的限制,其必须是非负的和有界限的。总是有可能依照它们的本征值{αi}对本征函数{φi}排序使得α1≥α2≥α3……>0。如果照明和光瞳函数拥有确定的对称性,就可以出现退化函数。退化函数指的是拥有相同本征值的函数。
如图2的步骤S105所示,通过将掩模函数M(x,y)与本征函数卷积来计算空间图像。更具体地,使用标准正交函数组{φi},通过将方程式(8)代入到方程式(4)得到的以下方程式,可以计算出空间图像。
I ( x , y ) = &Sigma; i = 1 &infin; &alpha; i | &phi; i &CircleTimes; M | 2 . . . . . . ( 10 )
其中表示本征函数φi和掩模传输函数M之间的卷积运算。用成像理论话来说,方程式(10)显示了部分相干成像系统可以分解成一系列相干成像系统。尽管存在将部分相干的成像系统分解为一系列相干成象系统的其它方法,但是已经证明如上所述方法是最理想的一个,经常称作最佳相干分解。例如参见Y.C.Pati和T.Kailath,J.Opt.Soc.Am.All,(1994),2438,这里结合作为参考。因此,由方程式10描述的最佳相干分解技术优选用于光学成象模型4。
φ1-N空间图像的任何次幂(传输通道)都可以使用,如附图1所示。然而,对于大多数当前在半导体制造中使用的照明,仅仅前几个传输通道是重要的。注意到来自第i个通道的记录信号不是复振幅φiM,而是强度|φiM|2。不存在交叉项(φiM)(φiM)*(i≠j),这是因为来自不同通道的复振幅在相位上完全没有相关性,且它们对时间的均值为零。换句话说,在本发明的且在这里使用的模型中,基信号是类似{φiM|2}的强度,而不是类似电场的{φiM}。
在确定重要的信号以后,如步骤S106所示确定SPIF。尤其是,,使用本发明的本征分解法,有可能获得一个有效且准确的方法来描述感兴趣点(x,y)周围的空间图像强度分布。来自第i个通道的信号表示为Si
Si=αiiM|2
                                 --------(11)
然后,表示从空间图像I(x,y)到SPIF(x,y)转换的方程式(2)中的函数形式 可以表示为
SPIF(x,y)=G(S1(x,y),S2(x,y),....SN(x,y))
                                  --------(12)
如图1所示,优选使用上面的方程式12来确定SPIF8。在上述方程式中,做了这样的一个假设,即仅仅来自前N个通道的信号是重要的。由于描述SPIF对{Si}的依赖性的确切函数形式是未知的,就采取一种连续校正方法。这种方法是基于这样一个事实,即从使用常量阈值限制空间图像预测出的轮廓和来自实验的相当一致,即使该一致不是定量地令人满意。
步骤S112,推算的SPIF的轮廓与由试验图形生成的实验上确定的轮廓相比较。如果预计的轮廓在实验确定轮廓的预定允许误差内,那么该模型校准结束,步骤S114。然而如果预计的轮廓不是在预定允许误差内,那么调整与每个本征矢量有关的每项的权重,步骤S116,并且根据上述原则生成新的SPIF。常量阈值用于该新的SPIF(步骤S108),并重复步骤S108-116的处理过程,直到模型校准结束或已经进行了预定数目的尝试。
在该实施例中,优选使用2D CD SEM图象而不是模型校准的关键尺寸(CD)的测量。理论上,仅仅需要N个独立测量来确定一阶本征分解模型的N个独立系数{βi,I=1,2……N}。然而,在真正测量中总是存在噪声,这可能在确定该系数{βi,I=1,2……N}时导致不确定性。为了减少来自校准的{βi,I=1,2……N}的不确定性,需要更多CD测量。这需要更多维护检修时间和更多的设备时间,也减慢了模型校准过程。获得{βi,I=1,2……N}的准确确定而同时最小化必要的工作量的方法是使用CD SEM图象,而不是CD测量。SEM图象覆盖了大范围1结构变化,并且包括大量的用于模型校准的数据点;因此,CDSEM图象的校准在统计上更加稳定。由于在电子束曝光下193nm抗蚀剂的不稳定性,因此对于193nm光刻工艺使用CD SEM图象相对于模型OPC校准的CD测量的优点变得更加明确。
对于二阶本征分解模型,存在另一个强制理由来使用CD SEM图像用于模型校准。通常在结构具有确定对称性的位置采取CD测量。由于本征函数也拥有从发光器的对称继承的某些对称性,所以将不会有来自通道的信号,这些信号在正被评价的结构的对称操作下改变极性。例如,如果在很长的垂直线的中间取CD值,且如果原点设在该CD测量点,则该结构对镜像操作(x,y)<=>(x,-y)是对称的,即M(x,y)=M(x,-y)。对于类星球体照明,尽管在镜像操作(x,y)<=>(x,-y)下开头两个本征函数的极性保持相同,但是第三和第四本征函数的极性改变了。因此,在该CD测量点基本上不存在来自第三和第四通道的信号。(S1,S2)的信号和(S3,S4)的信号间的相互作用不能确定。从将该模型校准到CD测量获得的二阶相互作用系数可能在相当程度上是由噪声确定的,这导致在图形的OPC建模期间,在存在所有信号的地方导致无法接受的误差。
在低k1时代,CD SEM图象很可能是低对比度的,有一些噪声。为了减少高频噪声,可以在原始CD SEM图象上应用高斯滤波器。在输出图象中的给定像素处的强度值是高斯的中心在该像素的象素的高斯加权平均强度。在高斯过滤后,图象对比度通常减少。为恢复图像对比度,随后可能应用图像对比度加强操作。在除去高频噪声,并且图象对比度已经加强到合理级别后,应用轮廓提取操作。尽管存在若干轮廓提取方法,但是它们全部都是基于图象的导数。因为低k1技术的CD SEM图象的本质,并不总是能够保证定义图象中的特征的完全连接的轮廓,而不管在轮廓提取之前施行多少图像增强操作。为了完成轮廓定义,一些人为的干预是必要的。本发明的方法在保证完成轮廓定义的任务同时将人的干预减到最低程度。
对于包括在该校准内的每一个当前图象的特征,我们首先检查包括该特征的轮廓是否完整,即没有坏的段。如果轮廓不完整,那么存在若干方法完成该任务,这已经在软件中实现,如附图11-17所示。
附图11-17示出根据本发明的原则连接示例性掩模图形的示例性轮廓段的过程。如图11所示,本发明的模型可能在必须连接的特征周围产生断开的轮廓片段。根据本发明的原则操作的模拟器程序可以允许通过使用鼠标沿该边界指向这些片段来做出校正。对于线条特征可以选定的轮廓片段的外边缘,也可以对于给定特征选择所有片段。如果特征周围存在断开的轮廓片段,可以选择执行特定功能,例如通过点击一个指令来连接选择的片段,然后单击,使断开的轮廓片段最好自动地连接。
像任何其它的图像处理程序一样,由于操作复杂的特性,很难担保连接选定片段的指令将会成功。附图12A示出轮廓的成功的连接,而附图12B示出轮廓部分成功的连接。操作者可以选择另一个函数来修复断开的片段,然后使用鼠标来定义断开的区域。优选的,本软件自动地连接片段。在该方法中应该小心,以避免在鼠标定义的区域中不需要的片段。如果不可能避免不想要的片段,必须清除感兴趣区域中的不需要的片段。在试图连接片段失败的情况下,操作员可以执行添加轮廓点的函数,然后放大图象并导航该图象,以便可以在视图中清楚地定位将连接断开片段的丢失(多个)像素。然后可以使用鼠标指向该像素位置。优选添加丢失的像素,因此连接先前断开的片段。
如附图13-16所示,一旦给定的特征周围的轮廓片段是完整的,用户就可以通过首先执行定义填充边界按钮的功能,然后使用鼠标指向该界限片段并单击左侧鼠标按钮来定义要填充的边界。
附图16示出从已提取的轮廓获得CD值。由于用于从SPIF提取轮廓的算法可以不同于用于从CD SEM机器获得CD值的算法,必须在这两个算法之间执行“校准”。为获得该校准,可以定义CD测量窗,而且可以输入相应的CD值。对于每一个图象仅仅需要一个CD测量。
一旦实现了提取轮廓的步骤,特征可以转换为轮廓数据格式,例如如附图17所示的一般使用的GDSII格式。一旦全部感兴趣的图象已经成功地转换为轮廓,例如GDSII数据格式,可以通过优化算法来进行校准,所述算法自动地调整参数以实现实验轮廓和模型轮廓间误差最小。在校准期间存在两组要调整的参数。一组参数是对所有图象集合通用的模型参数,而另一组参数是可以进一步分解为两个子组的几何参数,即,{X-按比例缩放,Y-按比例缩放,旋转}和{X-平移,Y-平移}。当总是应当为每个图象集合独立调整{X-平移,Y-平移}时,{X-按比例缩放,Y-按比例缩放,旋转)要么对所有图象集合是锁定的,要么不锁定,来以允许单独调整每一个图象集合。{X-按比例缩放,Y-按比例缩放,旋转}是否应该锁定或者未锁定取决于偏差的起因。如果人们相信原因是共同的并且对于所有图象集合调整量是相同的,例如,主要原因是光刻版按比例缩放/旋转和扫描器/阶跃缩放/旋转,那么应该锁定{X-按比例缩放,Y-按比例缩放,旋转}。如果人们相信该原因依赖图象集合,例如该主要原因是拍摄每个图像之间的CD SEM焦点和散光调整,那么{X-按比例缩放,Y-按比例缩放,旋转}应该是未锁定的。在通过最佳化程序进行模型校准以后,可以浏览和分析该校准结果以评估模型好坏。如果该校准结果令人满意,那么可以存储包括模型参数的校准文件以进一步的证实和预测,它还可以用于完整芯片模型OPC处理。
如果不能从模型的当前计算中提取或连接轮廓,那么可以使用一种级数展开式。特别地,该级数展开式可以用来减少SPIF的偏差,SPIF是在先前的模型计算中从测得的空间图像I(x,y)确定的。对方程式(12)的右边进行泰勒展开,就有:
SPIF ( x , y ) = G ( 0,0 , . . . , 0 ) + &Sigma; i = 1 n &beta; i S i ( x , y ) + &Sigma; i = 1 N &Sigma; j = 1 N &eta; ij S i ( x , y ) S j ( x , y ) + . . . - - - . ( 13 )
系数{βi}和{ηij}表示了抗蚀剂处理过程的效果,它们也包括其它“不理想的”因素的效果,例如在掩模上的表面形貌和成像系统中的像差。
如果仅仅保存一次项,那么模型是一阶的,而且SPIF的带宽和由光学成象系统确定的其原始空间图像是相同的。当一阶模型不是足够的精确的时候,该模型中可以包含二次项以产生二阶模型。二阶模型的带宽将会两倍于原始空间图象的带宽。一阶本征分解模型的自由度是N,用{β1,β2,……βN}定义。由于系数{ηij}的对称性,二阶本征分解模型的自由度是N+N(N+1)/2。一阶本征分解模型如图1所示。
将带宽较大的小分量引入SPIF的可供选择方法是添加一些大带宽的附加内核,例如高斯函数或任何其它性能良好的函数。与真正的二阶本征分解相比,该方法的优点是它在模型OPC操作期间的简单性和实现的速度。系数{β1}和{ηij}能够通过用实验的数据校准该模型来确定,优选CD SEM图像数据或AFM轮廓数据或其它来自表面量度的二维(2D)数据。用于校准的目标数据还可以是尺寸测量(例如CD测量)或任何其它数据。
如果检测到轮廓中的误差,该误差是象素大小限制的。该误差沿着特征边缘逐个象素的被计算出来。由于CD测量期间CD测量窗口总是限定的,并且优选在该测量窗中存在大量像素,因此CD误差分布将会显著变窄。
B.可变阈值本征分解模型
如上所述模型是常量阈值本征分解模型,其吸引力是实现方式的简单性。然而该基本思想可以同样地应用于并且延伸以发展相似模型,最明显的是可变阈值本征分解模型。在下面,我们描述一个可能的实施方式。对于任何掩模传输函数M(x,y),可以容易地计算它的空间成像。现在,如果使用一种预定义阈值(例如0.3)来切割空间图像,它的相应轮廓是可以获得的。应当理解的是,这样获得的轮廓与实验轮廓有偏差。该模型的本质就是建立可以将开始推测的轮廓转换为正确的轮廓的关系。该过程如图3所示。
如图3所示,在步骤S302输入指示待建模的掩模特征的输入参数M(x,y)。在步骤S304,使用这些输入参数和上述讨论的EDM方法,建模该掩模的空间图像。在步骤S306,在垂直于该图像的轮廓的方向沿切割线调整获得的空间图像。在初始轮廓上的每个点(x,y)将在垂直于该轮廓方向沿切割线被调整。调整量取决于沿着垂直于该轮廓的切割线的推定正确阈值。优选该模型提供公式来计算在垂直于轮廓的切割线处的正确的阈值。在可变阈值本征分解模型中,正确的阈值被认为不仅取决于在位置(x,y)处的空间图像强度,而且取决于点(x,y)周围空间图像所有的值。换句话说,可以使用类似于方程式(2)的函数形式。
Threshold(x,y)=H(I(x,y))
                             ………………(14)
H的函数形式不是巳知的,且它可以只是近似的。由于强度I(x,y)的有限自由度,它可以用如方程式(11)定义的基本信号有限项有效地被表示出来。
Threshold(x,y)|along cutline=H(S1(x,y),S2(x,y),....SN(x,y))
                                                    -------(15)
通过逐次近似法,方程式(15)变成
Threshold ( x , y ) | along cutline = const + &Sigma; i = 1 i = N &chi; i S i + &Sigma; i = 1 i = N &Sigma; j = 1 j = N &epsiv; ij S i S j + . . . - - - ( 16 )
这里使用的基本信号拥有超过其它基本信号决定性的优点,例如最大强度和对数斜率,因为这些基本信号组成完整的信号组,并且同时是互相正交的。这些基本信号{S1,S2,……}的完整性提高了准确度,并且正交性消除了如果使用非正交的信号存在的复杂干扰作用。系数{xi}和{εij}可以从用实验数据校准该模型获得。
在步骤S308产生用该模型校正的轮廓并且优选其用来实现OPC模型。尽管我们的本征分解模型OPC理论不需要来自扫描器/步进器的真正的照明器分布,但只要真正的照明器分布不是显著偏离顶环发光器轮廓,从真正的照明器计算出的本征函数有希望比那些来自相应顶环照明器分布的更准确。另外,快速增长的兴趣是在低k1光刻中使用更加积极或先进照明设计,例如用户设计照明。开发可计算用于通用照明器的本征函数的方法显然成为一种迫切的任务。
方程式(9)是一个空间域方程式,其可以在空间域中求解。然而结果是,在频率域中更容易求解它。在频率域中,类似的方程式可以导出:
∫∫Г(f,g;f′,g′)Фi(f′,g′)=αiФi(f,g)    -------(17)
其中
&Gamma; ( f , g ; f &prime; , g &prime; ) = &Integral; - &infin; &infin; &Integral; - &infin; &infin; I ^ 0 ( f &prime; &prime; , g &prime; &prime; ) K ^ ( f + f &prime; &prime; , g + g &prime; &prime; ) K ^ * ( f &prime; + f &prime; &prime; , g &prime; + g &prime; &prime; ) df &prime; &prime; dg &prime; &prime; - - - ( 18 )
I0和Г是频率域中的发光器和脉冲响应函数。通过解方程式(17)获得的模型OPC本征函数是频率域中的函数。通过对Фi执行傅里叶逆变换可以在空间域中获得这些本征函数。
矢量本征分解模型
除了本发明的标量EDM方法的所有优点外,它还共享了商业可用模型的普遍特性,那就是说,模型本身本质上是一种标量模型,并且已经忽略了光波的矢量特征。当用在光刻处理过程中的数值孔径(NA)低(例如0.7以下)时,应该认为该标量EDM模型OPC是可以足够的并且有效的。当用于光刻处理过程的NA达到0.80乃至更高时,存在一些强制理由来将该标量EDM延伸为矢量EDM,后者考虑了光波的矢量特征和晶片上的薄膜堆栈。
标量EDM模型假定光波可以当做标量处理,并且使用Hopkin公式方程(3),空间图像可以准确地计算出来。然而,当用于光刻处理过程的NA变得更高时,该假设可能证明是不适当的。在高NA范畴中,有若干理由需要考虑光波的矢量特征。首先,从入射光瞳到出射光瞳有显著的光波偏振变换。第二,在空气/抗蚀剂界面处反射率对光波偏振的依赖性变得更加突出。尽管考虑这些纠正的高NA成像公式表述确实存在,但是没有可用的对更复杂的高NA成像公式的最优分解。没有这种分解方案,OPC模型不能扩展到高NA范畴。
附图4示出一个用于实施矢量本征分解模型的示例性方法。如附图4所示,步骤S400接收成像系统的参数。步骤S401,这些参数用来确定光瞳函数K。在高NA成像公式中,光瞳函数K优选由具有九个元素的矩阵表示,该K在适用于低NA情况的标量模型中是标量函数。
&DoubleRightArrow; K xx K xy K xz K yx K yy K yz K zx K zy K zz - - - ( 19 )
每个元素Kij取决于该光学成象系统,同时也取决于晶片上的薄膜堆栈。
在频率域明确地表示为,
K ij ( &alpha; &prime; , &beta; &prime; , z &prime; ) = &Sigma; k = x , y , z &gamma; &gamma; &prime; G ik ( &alpha; &prime; , &beta; &prime; , z &prime; ) Q kj ( &alpha; &prime; , &beta; &prime; ) e i 2 &pi; &lambda; [ w ( &alpha; &prime; , &beta; &prime; ) + &gamma; &prime; &Delta; ] - - - ( 20 )
其中,(α′,β′)是出射光瞳中的角座标(频率),z′是抗蚀性中的平面相对于空气/抗蚀剂界面的位置。W(α′,β′)是像差函数而Δ是散焦。
&gamma; &prime; = 1 - &alpha; &prime; 2 - &beta; &prime; 2
&gamma; = 1 - ( &alpha; &prime; 2 + &beta; &prime; 2 ) / N 2 - - - ( 21 )
N是成像系统中的换算系数,在大多数商业光刻曝光工具中它的一般值是4或5。
Qkj(α′,β′)表示从目标空间中的j分量到图象空间中k分量的光偏振转换。Gik(α′,β′;z′)表示薄膜堆栈中光干涉的影响。Qkj(α′,β′)和Gik(α′,β′;z′)的详细表达可以在Donis G.Flagello,Tom Milster,Alan E.Rosenbluth,J.Opt.Soc.Am.A13(1996),53和Michael S.Yeung,Derek Lee,Robert Lee以及A.R.Neureuether,SPIE,Vol.1927,(1993),452中找到,这里一并结合作为参考。抗蚀剂内的光场是:
B i ( &alpha; &prime; , &beta; &prime; , z &prime; ; &alpha; &prime; 0 , &beta; &prime; 0 ) = &Sigma; j = x , y , z K ij ( &alpha; &prime; , &beta; &prime; , z &prime; ) F { E 0 , j ( x 0 , y 0 ) ; &alpha; &prime; - &alpha; 0 &prime; , &beta; &prime; - &beta; 0 &prime; } - - - - ( 22 )
其中Bi(α′,β′;z′α′0,β’0)是当照明是(α′0,β0)时,平面波(α′,β′)在抗蚀剂中深度为z’的平面处的光场,其偏振为i(i=x,y,z)。F{E0j(x0,y0);α′-α′0,β′-β’0)}是在频率(α′-α′0,β′-β’0)评估的具有偏振j的掩模在目标空间中的傅里叶变换。
假定照明由 表征,那么在抗蚀剂中z’深度平面的空间图像是
I ( x , y ; z &prime; ) = &Sigma; j = x , y , z &Sigma; k = x , y , z &Integral; &Integral; &Integral; &Integral; T j , k ( f , g ; f &prime; , g &prime; ; z &prime; ) F j ( f , g ) F * k ( f &prime; , g &prime; )
e 2 &pi;i [ f - f &prime; ) x + ( g - g &prime; ) y ] dfdgd f &prime; d g &prime; - - - ( 23 )
其中
T j , k ( f , g ; f &prime; , g &prime; ; z &prime; ) = &Integral; &Integral; &Sigma; i = x , y , z O ^ ( &alpha; 0 , &beta; 0 ) k ij ( f &prime; + &alpha; 0 , g + &beta; 0 , z &prime; ) K * ik ( f &prime; + &alpha; 0 , g &prime; + &beta; 0 , z &prime; ) d &alpha; 0 d &beta; 0 - - - ( 24 )
而方程式(18)中的(x,y)已经规格化为波长λ。
注意
Tj,k(f,g;f′,g′;z′)≠T* j,k(f′,g′;f,g;z′)
                                            --------(24a)
这个不等式使直接应用Mercea定理无效。因此,已经用在标量EDM中的最优分解法只能通过恰当重组不同元素以便可以构造厄密共轭算符来获得。
尽管Tj,k(f,g;f,g′;z′)本身不是厄密共轭算符,以下关系成立,
Tj,k(f,g;f′,g′;z′)=T* k,j(f′,g′;f,g;z′)
                                             -------(25)
方程式(21)一共包括九个整数项。然而,在目标空间中,具有四或五的换算系数的先进光刻曝光工具中的z分量光场与x和y分量光场相比是相对小的。利用这个假设,我们有
I ( x , y ; z &prime; ) = &Sigma; j = x , y &Sigma; k = x , y &Integral; &Integral; &Integral; &Integral; T j , k ( f , g ; f &prime; , g &prime; ; z &prime; ) F j ( f , g ) F * k ( f &prime; , g &prime; )
e 2 &pi;i | ( f - f &prime; ) x + ( g - g &prime; ) y | dfdgd f &prime; dg &prime; - - - ( 26 )
此外,曝光工具中的照明在统计意义上没有偏振优选。抗蚀剂接收的光强是时间平均量,因此Fj(f,g)F* k(f,g)应该解释为时间平均量。基于这种理解我们有:
I ( x , y ; z &prime; ) &OverBar; &Sigma; j = x , y &Sigma; k = x , y &Integral; &Integral; &Integral; &Integral; T j , k ( f , g ; f &prime; , g &prime; ; z &prime; ) F j ( f , g ) F * k ( f &prime; , g &prime; ) &OverBar;
e 2 &pi;i [ ( f - f &prime; ) x + ( g - g &prime; ) y ] dfdgd f &prime; d g &prime; - - - ( 27 )
方程式(25)已经明确表示了时间平均量。这里已经假定抗蚀剂性质,例如折射率和吸收系数,在曝光期间保持恒定,因此Tjk(f,g;f,g;z′)是与时间无关的表征成像系统(即光学成象系统和薄膜堆栈)的性质的量。这个假设已经对所有抗蚀剂证明为正确的,尤其是先进光刻中的化学放大的抗蚀剂。
由于仅仅发源于相同照明源点的光场能够互相干涉,并且它的偏振随机变化,我们可以容易地获得以下方程式,
F x ( f , g ) F * y ( f &prime; , g &prime; ) &OverBar; = F y ( f , g ) F * x ( f &prime; , g &prime; ) &OverBar; = 0 - - - ( 28 )
F x ( f , g ) F * x ( f &prime; , g &prime; ) &OverBar; = F y ( f , g ) F * y ( f &prime; , g &prime; ) &OverBar; = 1 2 F ( f , g ) F * ( f &prime; , g &prime; ) - - - ( 29 )
这里F正是掩模传输函数的傅里叶变换。
使用方程式(26)和(27),方程式(25)可以进一步简化,
I ( x , y ; z &prime; ) &OverBar; = 1 2 &Integral; &Integral; &Integral; &Integral; [ T x , x ( f , g ; f &prime; , g &prime; ; z &prime; ) + T y , y ( f , g ; f &prime; , g &prime; ; z &prime; ) ] F ( f , g ) F * ( f &prime; , g &prime; ) e 2 &pi;i [ ( f - f &prime; ) x + ( g - g &prime; ) y ] dfdgd f &prime; d g &prime; - - - ( 30 )
容易验证:
[Tx,x(f,g;f′,g′;z′)+Ty,y(f,g;f′,g′;z′)]=[Tx,x(f′,g′;f,g;z′)+Ty,y(f′,g′;f,g;z′)]
                                                       -------(31)
换句话说,内核:
[Tx,x(f,g;f′,g′;z′)+Ty,y(f,g;f′,g′;z′)]
是厄密共轭算符,且根据Mereea定理它可以分解成,
[ T x , x ( f , g ; f &prime; , g &prime; ; z &prime; ) + T y , y ( f , g ; f &prime; , g &prime; ; z &prime; ) ] = &Sigma; m = 1 &alpha; m &phi; m ( f , g ; z &prime; ) &phi; m * ( f &prime; , g &prime; ; z &prime; ) - - - ( 32 )
将(30)插入到(28),我们有:
I ( x , y ; z &prime; ) &OverBar; = &Sigma; m = 1 &alpha; m | &Integral; &Integral; &phi; m ( f , g ; z &prime; ) F ( f , g ) e 2 &pi;i [ fx + gy ] dfdg | 2 - - - ( 33 )
方程式(31)给出了本征分解方案下计算在特定平面z=z′的光强分布的公式。附图4的步骤S402优选使用方程式28。然而,在OPC建模中,z-平均光强分布是一个重要因素,因此应该执行z-平均过程。首先直接的方法是在抗蚀剂厚度上平均Ti,i(f,g;f′,g′;z′)(i=x,y)。
< T i , i ( f , g ; f &prime; , g &prime; ) > 1 d &Integral; 0 d T i , i ( f , g ; f &prime; , g &prime; ; z &prime; ) d z &prime;
= 1 d &Integral; &Integral; &Sigma; k = x , y , z O ^ ( &alpha; 0 , &beta; 0 ) { &Integral; 0 d K k , i ( f + &alpha; 0 , g + &beta; 0 , z &prime; ) K * k , i ( f &prime; + &alpha; 0 , g &prime; + &beta; 0 , z &prime; ) d z &prime; } d &alpha; 0 d &beta; 0 - - - ( 34 )
值得注意的是,存在其它近似方法来计算z-平均量,
< T i , i ( f , g ; f &prime; , g &prime; ) > &ap; { 2 * T i , i ( f , g ; f &prime; , g &prime; ; z 0 ) + T i , i ( f , g ; f &prime; , g &prime; ; z 0 + &lambda; 0 4 n )
+ T i , i ( f , g ; f &prime; , g &prime; ; z 0 - &lambda; 0 4 n ) } / 4 - - - ( 35 )
其中n是抗蚀剂的折射率,λ0是真空中的波长,而z0是抗蚀剂中的任何位置平面,优选的是抗蚀剂膜的中间平面。
因此,为了实现,人们只须解决以下积分方程,
∫∫[<Tx,x(f,g;f′,g′)>+<Ty,y(f,g;f′,g′)>]Фn(f′,g′)df′dg′=xnФn(f,g)
                                                  -------(36)
由于具有不依赖于z’的函数组{Φn},可以计算抗蚀剂膜中的z-平均光强分布。
< I ( x , y ) &OverBar; > = &Sigma; n = 1 &chi; n | &Integral; &Integral; &Phi; n ( f , g ) F ( f , g ) e 2 &pi;i [ fx + gy ] dfdg | 2 - - - ( 37 )
方程式(35)是本发明扩展矢量EDM的最终结果,并且优选用于附图4的步骤S406。
尽管本征分解模型理论不需要扫描器/步进机的真正的照明器分布,只要真正的照明器分布不十分偏离顶环照明器分布即可。然而由真正照明器计算的本征函数通常比那些对应的顶环照明器分布的更加有效。另外,在低k1光刻中迅速增长的兴趣是使用更加积极或先进的照明设计,例如用户设计照明。
附图5示出用于实施如图1-4示出的本征分解模型的示例性处理系统。如图4所示,示例性掩模优化单元包括接收来自输入1003输入的处理器1000。处理器1000可以是传统的微处理器或可以是专门设计的处理器单元,例如EEPROM或EPROM或制造的集成电路。输入1003可以是任何类型电子输入设备,例如键盘或鼠标,或可以是存储器或国际互联网络连接。优选处理器1000检索来自ROM 1002和RAM 1001的存储协议(例如实施如图1-4所示处理过程的协议)并且在RAM 1001上存储信息。处理器1000的计算结果可以显示在显示器1004上并且可以提供给掩模制造装置。
图6大略地示出了适合使用借助本发明的掩模设计的光刻投影装置。该装置包括:
B.辐射系统Ex、IL,用于提供辐射的投影束PB。在此具体实例中,辐射系统也包括辐射源LA;
C.第一目标工作台(掩模工作台)MT,设有用于支撑掩模MA(例如光刻版)的掩模支架,并且连接到第一定位装置用于相对于零件PL准确定位掩模;
D.第二目标工作台(衬底工作台)WT,设有用于支撑衬底W(例如涂敷了抗蚀剂的硅晶片)衬底支架,并且连接到第二定位装置用于相对于零件PL准确定位衬底;
E.投影系统(“透镜“)PL(例如折射、反射的或反折射光学系统),用于将掩模MA的被照射部分成像到衬底W的目标部分C(例如包括一或多个管芯)。
如此处所示,该装置是透射型(即具有透射掩模)的。然而,一般说来,它可能也是反射型的,例如(具有反射掩模)。或者,该装置可以使用另一种图形化装置作为掩模利用的替换物;例子包括可编程反射镜阵列或LCD矩阵。
源LA(例如汞灯或准分子激光器)生成辐射束。该射束要么直接要么在穿过调节装置(例如扩束器Ex)之后射入照明系统(照明器)IL。照明器IL可以包含用于设置束中强度分布的外和/或内径向程度(一般分别称为σ-外和σ-内)的调整装置AM。另外,它通常包含各种其它部件,例如积分器IN和聚光器CO。这样,投射在掩模MA上的束PB在它的横截面上具有所需的均匀性和强度分布。
应当指出,关于图6源LA可以是在光刻投影装置的外罩内(例如当源LA是汞灯时通常是这样),但是它可以远离该光刻投影装置,其产生的辐射束被引导到该装置(例如,借助于适当的定向反射镜);后面的方案通常是在当源LA是准分子激光器(例如基于KrF、ArF或F2产生激光)的情况。照明源强度也可以由反射镜阵列或LCD安排。本发明至少包括这两个方案。
束PB随后截取保持在掩模工作台MT上的掩模MA。穿过掩模MA后,该束PB通过透镜PL,其将束PB聚焦到衬底W的目标部分C上。借助于第二定位装置(和干涉测量的测量装置IF),衬底工作台WT可以准确地移动,例如为了在束PB的路径中定位不同的目标部分C。同样,例如从掩模库中机械获取掩模MA之后,或在扫描期间,第一定位装置可用于精确地相对于束PB的路径定位掩模MA。一般说来,目标工作台MT、WT的移动借助于长冲程模块(粗定位)和短冲程模块(精定位)实现,这在图6中没有明确的描述。然而,在晶片步进机的情况下(与步进-和-扫描工具相反)掩模工作台MT可以仅仅连接到短冲程传动器或可被固定。
所描述的工具可以以两种模式使用:
B.在步进模式,掩模工作台MT基本上保持不动,整个掩模图象一次(即单个“闪光”)投影到目标部分C上。衬底工作台WT然后在x和/或y方向平移,以便不同的目标部分C能够被束PB照射;
C.在扫描方式,除了给定目标部分C不在单个“闪光”下曝光外,基本上施行相同的方案。相反,掩模工作台MT可在给定方向(所谓的“扫描方向”,例如y方向)上以速度v移动,以便使投影束PB扫描整个掩模图象;同时,衬底工作台WT在相同的方向或者相反的方向以速度V=Mv同时移动,其中M是透镜PL的放大倍数(一般地,M=1/4或1/5)。在这种方式中,可以曝光相对大的目标部分C,而不必牺牲分辨率。
此处公开的概念可以模拟或数学建模任何用于成像亚波长特征的普通成像系统,并且对于能够产生尺寸日益减小的波长的新兴成像技术尤其有用。已经使用的新兴技术包括EUV(极紫外)光刻,借助于ArF激光器其能够产生193nm的波长,以及借助于氟激光器甚至产生157nm的波长。此外,通过使用同步加速器,或通过碰撞具有高能电子的材料(或者固体或者等离子体),以便产生这种范围内的光子,EUV光刻能够产生20-5nm范围内的波长。因为大多数的材料在该范围内是吸收性的,因此照明可以通过具有多层钼和硅的反射镜产生。该多层反射镜具有40层钼、硅对,其中每层的厚度是四分之一波长。甚至可以使用X射线光刻产生更小的波长。一般地,使用同步加速器来生成X射线波长。由于大多数的材料在x-射线波长是吸收性的,所以吸收材料的薄片定义特征将会在哪里印制(正抗蚀剂)或不印制(负抗蚀剂)。
虽然此处公开的概念可用来在例如硅晶片的衬底上成像,但是应该理解所公开的概念可以与任何类型的光刻成像系统一起使用,例如那些用来在不是硅晶片的衬底上成像的系统。
优选处理器1000的软件功能包括编程,包括用来实施上述附图1-4中描述的过程的可执行代码。该软件代码可通过通用计算机执行。在操作中,该代码和可能的相关数据记录存储在通用计算机平台中。然而在另一些场合,该软件可以存储在其它位置和/或被传输以用于载入适当的通用计算机系统。因此,上述讨论到的实施例包括至少由一个机器可读媒质承载的形式为一或多个代码模块的一个或多个软件产品。计算机系统的处理器对该代码的执行能够基本上以前述和此处说明中执行的方式使该平台实现该目录和/或软件下载功能。
如这里使用的,例如计算机或机器“可读媒质”的术语,是指任何参与向处理器提供用以执行的指令的任何媒质。这种媒质可能采取很多形式,包括然而并非限于非易失性媒质,易失性媒质,和传输媒质。非易失性媒质包括,例如,光或磁盘,例如如上所述作为服务器平台之一操作的任何(多台)计算机中的任何存储设备。易失性媒质包括动态存储器,例如这种计算机平台中的主存储器。物理传输媒体包括同轴电缆;铜导线和光纤光学元件,包括包含计算机系统内总线的电线。载波传输介质能够采取例如在射频(RF)和红外线(IR)数据通信期间所产生的电的或电磁信号、或声或光波的形式,。因此,通用的计算机可读媒质形式包括,例如:软盘、软磁盘、硬盘、磁带,任何其它磁媒质,CD-ROM、DVD、任何其它光学介质,一般较少使用的媒质例如穿孔卡片、纸带、或任何其它具有孔图形的物理媒质,RAM、PROM、和EPROM、FLASH-EPROM,任何其它存储器芯片或盒式磁带、载波传送数据或指令、传送这种载波的电缆或链路,或任何其它计算机能够从中读取程序代码和/或数据的媒质。许多这些形式的计算机可读媒质可以涉及将一个或多个指令的一或多个序列传送到用于执行的处理器。
附图7和8示出使用本发明常量阈值本征分解模型、使用四个本征函数导出的示例性图象。附图7示出作为“顶环”定制照明示例的十六极照明器。附图8示出估计出的第一、第二、第三和第四对应本征函数。使用本发明的方法能够导出更高阶的本征函数。
附图9和10示出使用本发明常量阈值本征分解模型、使用四个本征函数导出的示例性图象。附图9示出了作为照明源的类星球体照明光瞳。附图10示出估计出的第一、第二、第三和第四对应本征函数。使用该方法能够导出更高阶的本征函数。
附图18-20B示出本发明模型的实施例,使用具有类星球体照明和248nm曝光光波长的示例性掩模图形。获得了大量图象并且第一和第八图象的校准结果和误差统计在附图19和20示出。注意误差是象素大小限制的。误差沿着特征边缘逐个象素地计算出来。由于在CD测量期间总是定义CD测量窗口,并且在该测量窗口中通常存在相当多的像素,因此CD误差分布显著变窄。
这里提出的实现方法和最优本征函数是指用于精确模型OPC的设计。然而,这种方法不局限于精确模型OPC应用。这种方法能够延至光刻中各种各样的应用,例如,但并非局限于,用于自动放置辅助OPC特征(例如非印制特征和抗散射条等等)的干涉图的产生,。
不脱离其精神或实质的情况下,本发明可以体现为其它特定形式。因此这些实施例从各方面考虑都是说明性的而并非限制性的,因此,本发明的范围由附加权利要求而不是由上述说明表示,且与该权利要求的等效物的范围和意义内的所有变化包含在其中。

Claims (28)

1.一种模拟光刻处理的方法,包括步骤:
接收表示目标图形和用来成像所述目标图形的成像系统的特征的参数;
基于这些参数使用期望产生的空间图像的多个本征函数来确定期望通过掩模设计在抗蚀剂上产生的空间图像强度分布;并且
基于该空间图像确定系统赝强度函数(SPIF)。
2.如权利要求1的方法,还包括步骤:
向SPIF施加常量阈值来产生轮廓;
将已产生的轮廓和期望的轮廓相比较;且
如果产生的轮廓没有在期望轮廓的预定允许误差内,则调整与每个本征函数有关的每个项的权重并且产生新的SPIF。
3.如权利要求2的方法,其中向SPIF施加常量阈值的步骤、比较产生的轮廓的步骤和调整与每个本征函数相关的每个项的权重的步骤都重复预定数目次数,或直到产生的轮廓在期望轮廓的预定允许误差范围之内为止。
4.如权利要求3的方法,其中常量阈值对于每个SPIF是相同的常量阈值。
5.如权利要求3的方法,其中常量阈值是对于每个SPIF变化的可变阈值。
6.如权利要求1的方法,其中确定空间图像的步骤使用光波的矢量表征。
7.如权利要求1的方法其中确定空间图像的步骤使用表示该光学成象系统特征的光瞳函数。
8.如权利要求7的方法,其中该光瞳函数由函数:
K ij ( &alpha; &prime; , &beta; &prime; , z &prime; ) = &Sigma; k = x , y , z &gamma; &gamma; &prime; G ik ( &alpha; &prime; , &beta; &prime; , z &prime; ) Q kj ( &alpha; &prime; , &beta; &prime; ) e i 2 &pi; &lambda; [ w ( &alpha; &prime; , &beta; &prime; ) + &gamma; &prime; &Delta; ]
产生,其中(α′,β′)是出射光瞳中的角座标,z′是抗蚀剂中平面相对于空气/抗蚀剂界面的位置,W(α′,β′)是像差函数,Δ是散焦,N是成像系统中的换算因数,Qkj(α′,β′)表示从目标空间中的j分量到图象空间k的分量的光偏振转换,Gik(α′,β′;z′)表示薄膜栈中光干涉效果;并且
&gamma; &prime; = 1 - &alpha; &prime; 2 - &beta; &prime; 2
&gamma; = 1 - ( &alpha; &prime; 2 + &beta; &prime; 2 ) / N 2 .
9.如权利要求8的方法,其中确定空间图像的步骤包括使用本征矢量分解在平面z处确定光强分布的步骤。
10.如权利要求9的方法,其中确定空间图像的步骤还包括确定z平均光强分布的步骤。
11.如权利要求10的方法,其中z-平均光强分布根据函数:
< I ( x , y ) &OverBar; > = &Sigma; n = 1 &chi; n | &Integral; &Integral; &Phi; n ( f , g ) F ( f , g ) e 2 &pi;i [ fx + gy ] dfdg | 2
确定。
12.如权利要求6的方法,其中该成像系统具有大于或等于0.7的数值孔径。
13.—种用于模拟掩模设计的系统,包括:
输入端,用于接收表示该掩模设计和该掩模设计将要在其上使用的成像系统的特征的参数;和
处理器,执行指令以基于这些参数使用期望产生的空间图像的本征函数来确定期望通过该掩模设计在抗蚀剂上产生的空间图像强度分布,并且将该空间图像转换为SP正。
14.如权利要求13的系统,其中空间图像是使用常量阈值本征分解模型确定的。
15.如权利要求13的系统,其中空间图像是使用一种可变阈值本征分解模型确定的。
16.权利要求13的系统其中空间图像是使用矢量本征分解模型确定的。
17.一种计算机可读媒质,其包括执行模拟光刻处理的方法的指令,该方法包括步骤:
接收表示该掩模设计和该掩模设计将要在其上使用的成像系统的特征的参数;
基于这些参数使用期望产生的空间图像的多个本征函数来确定期望通过该掩模设计在抗蚀剂上产生的空间图像强度分布;并且
基于该空间图像确定系统赝强度函数(SPIF)。
18.如权利要求17的计算机可读媒质,进一步包括执行下列步骤的指令
向SPIF施加常量阈值来产生轮廓;
将产生的轮廓和期望的轮廓相比较;
如果产生的轮廓没有在期望轮廓的预定允许误差内,则调整与每个本征函数有关的每个项的权重并且产生新的SPIF。
19.如权利要求18的计算机可读媒质,其中该指令指示:向SPIF施加常量阈值、比较产生的轮廓并调整与每个本征函数相关的每个项的权重的各步骤重复预定数目的次数,或直到产生的轮廓在期望轮廓的预定允许误差范围之内为止。
20.如权利要求19的计算机可读媒质,其中常量阈值对于每个SPIF是相同的常量阈值。
21.如权利要求19的计算机可读媒质,其中常量阈值是对于每个SPIF改变的可变阈值。
22.如权利要求17的计算机可读媒质,其中确定空间图像的步骤使用光波的矢量表征。
23.如权利要求17的计算机可读媒质,其中确定空间图像的步骤使用表示该光学成象系统特征的光瞳函数。
24.如权利要求23的计算机可读媒质,其中所述指令指示该光瞳函数是由以下函数生成:
K ij ( &alpha; &prime; , &beta; &prime; , z &prime; ) = &Sigma; k = x , y , z &gamma; &gamma; &prime; G ik ( &alpha; &prime; , &beta; &prime; , z &prime; ) Q kj ( &alpha; &prime; , &beta; &prime; ) e i 2 &pi; &lambda; [ w ( &alpha; &prime; , &beta; &prime; ) + &gamma; &prime; &Delta; ]
其中(α′,β′)是出射光瞳中的角座标,z′是在抗蚀剂中平面相对于空气/抗蚀剂界面的位置,W(α′,β′)是像差函数,Δ是散焦,N是成像系统中的换算系数,Qkj(α′,β′)表示从目标空间中的j分量到图象空间中的k分量的光偏振转换,Gik(α′,β′;z′)表示薄膜栈中的光干涉效果;并且
&gamma; &prime; = 1 - &alpha; &prime; 2 - &beta; &prime; 2
&gamma; = 1 - ( &alpha; &prime; 2 + &beta; &prime; 2 ) / N 2
25.如权利要求24的计算机可读媒质,其中确定空间图像的步骤包括使用本征矢量分解确定平面z处的光强分布的步骤。
26.如权利要求25的计算机可读媒质,其中确定空间图像的步骤还包括确定z-平均光强分布的步骤。
27.如权利要求26的计算机可读媒体,其中该z-平均光强分布根据函数:
< I ( x , y ) &OverBar; > = &Sigma; n = 1 &chi; n | &Integral; &Integral; &Phi; n ( f , g ) F ( f , g ) e 2 &pi;i [ fx + gy ] dfdg | 2
确定。
28.如权利要求22的方法,其中成像系统具有大于或等于0.7的数值孔径。
CN2004100997295A 2003-11-05 2004-11-05 基于opc模型的本征分解 Pending CN1661479A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51708303P 2003-11-05 2003-11-05
US60/517083 2003-11-05
US60571604P 2004-08-31 2004-08-31
US60/605716 2004-08-31

Publications (1)

Publication Number Publication Date
CN1661479A true CN1661479A (zh) 2005-08-31

Family

ID=34437349

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004100997295A Pending CN1661479A (zh) 2003-11-05 2004-11-05 基于opc模型的本征分解

Country Status (6)

Country Link
US (1) US7398508B2 (zh)
EP (1) EP1530083A3 (zh)
JP (1) JP4524174B2 (zh)
KR (1) KR20050043713A (zh)
CN (1) CN1661479A (zh)
TW (1) TW200523524A (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101877016A (zh) * 2009-04-30 2010-11-03 新思科技有限公司 对临界尺寸(cd)扫描电镜的cd提取进行建模
CN102033423A (zh) * 2009-09-28 2011-04-27 中芯国际集成电路制造(上海)有限公司 用于校准光刻工具的装置及方法
CN101738872B (zh) * 2008-11-06 2013-04-10 Asml荷兰有限公司 用于光刻校准的方法和系统
CN107908071A (zh) * 2017-11-28 2018-04-13 上海集成电路研发中心有限公司 一种基于神经网络模型的光学邻近校正方法
CN107942614A (zh) * 2017-12-22 2018-04-20 上海集成电路研发中心有限公司 孔层辅助图案生成方法及校正函数生成方法
CN109976087A (zh) * 2017-12-27 2019-07-05 上海集成电路研发中心有限公司 掩模图案模型的生成方法及掩模图案的优化方法
CN110083017A (zh) * 2013-08-23 2019-08-02 科磊股份有限公司 多模型计量
CN112949810A (zh) * 2021-02-20 2021-06-11 新疆大学 用于可见光无线技术改进粒子群优化组合波束拟合方法

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7292315B2 (en) * 2003-12-19 2007-11-06 Asml Masktools B.V. Optimized polarization illumination
US7331033B2 (en) * 2004-08-27 2008-02-12 Applied Materials, Israel, Ltd. Simulation of aerial images
US7310796B2 (en) * 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
CN101213489B (zh) 2005-04-26 2015-05-13 瑞萨电子株式会社 半导体装置及其制造方法和半导体制造用掩模、光接近处理方法
US7458058B2 (en) * 2005-06-10 2008-11-25 Texas Instruments Incorporated Verifying a process margin of a mask pattern using intermediate stage models
KR100655428B1 (ko) * 2005-10-24 2006-12-08 삼성전자주식회사 광근접효과보정 시스템 및 방법
US7523435B2 (en) * 2005-12-01 2009-04-21 Intel Corporation Pixelated masks for high resolution photolithography
CN100462995C (zh) * 2005-12-10 2009-02-18 腾讯科技(深圳)有限公司 一种图像文件的验证及使用方法
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
SG169372A1 (en) * 2006-02-01 2011-03-30 Applied Materials Israel Ltd Il Method and system for evaluating a variation in a parameter of a pattern
US7378202B2 (en) 2006-02-21 2008-05-27 Mentor Graphics Corporation Grid-based resist simulation
JP5050365B2 (ja) * 2006-02-23 2012-10-17 富士通セミコンダクター株式会社 フォトマスクの製造方法
JP5235322B2 (ja) * 2006-07-12 2013-07-10 キヤノン株式会社 原版データ作成方法及び原版データ作成プログラム
US8521481B2 (en) * 2006-08-30 2013-08-27 Asml Masktools B.V. Method, program product and apparatus for modeling resist development of a lithography process
KR100809705B1 (ko) * 2006-09-26 2008-03-06 삼성전자주식회사 반도체 소자의 패턴 예측을 위한 이미지 콘투어 형성방법
US7716627B1 (en) * 2006-09-28 2010-05-11 Guada, Inc. Solution-dependent regularization method for quantizing continuous-tone lithography masks
US8120753B2 (en) * 2006-11-08 2012-02-21 Asml Masktools B.V. Method, program product and apparatus for generating a calibrated pupil kernel and method of using the same in a lithography simulation process
US7562337B2 (en) * 2006-12-11 2009-07-14 International Business Machines Corporation OPC verification using auto-windowed regions
US8365107B2 (en) 2007-01-18 2013-01-29 Nikon Corporation Scanner based optical proximity correction system and method of use
US7882480B2 (en) * 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
KR101096145B1 (ko) * 2007-06-04 2011-12-19 에이에스엠엘 네델란즈 비.브이. 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
JP5395340B2 (ja) * 2007-08-06 2014-01-22 株式会社東芝 プロセスモデル作成方法、プロセスモデル作成プログラム及びパターン補正方法
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US7831954B2 (en) * 2007-09-25 2010-11-09 Synopsys, Inc. Flash-based updating techniques for high-accuracy high efficiency mask synthesis
US7974819B2 (en) * 2008-05-13 2011-07-05 Aptina Imaging Corporation Methods and systems for intensity modeling including polarization
US8161421B2 (en) * 2008-07-07 2012-04-17 International Business Machines Corporation Calibration and verification structures for use in optical proximity correction
US8006203B2 (en) * 2008-08-28 2011-08-23 Synopsys, Inc. Bulk image modeling for optical proximity correction
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US7877897B2 (en) * 2008-12-16 2011-02-01 Skechers U.S.A., Inc. Ii Shoe
US9892221B2 (en) 2009-02-20 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system of generating a layout including a fuse layout pattern
US8620643B1 (en) 2009-07-31 2013-12-31 Lester F. Ludwig Auditory eigenfunction systems and methods
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US8331646B2 (en) 2009-12-23 2012-12-11 International Business Machines Corporation Optical proximity correction for transistors using harmonic mean of gate length
US8355807B2 (en) * 2010-01-22 2013-01-15 Synopsys, Inc. Method and apparatus for using aerial image sensitivity to model mask errors
US8812145B2 (en) 2010-01-22 2014-08-19 Synopsys, Inc. Modeling mask errors using aerial image sensitivity
TWI403822B (zh) * 2010-04-14 2013-08-01 Hon Hai Prec Ind Co Ltd 投影機對比度增強系統及其增強方法
CN102262352B (zh) * 2010-05-27 2014-05-07 中芯国际集成电路制造(上海)有限公司 制作掩膜版的方法、对布局图形进行光学邻近修正方法
US8415077B2 (en) 2010-08-13 2013-04-09 International Business Machines Corporation Simultaneous optical proximity correction and decomposition for double exposure lithography
US20120192125A1 (en) * 2011-01-20 2012-07-26 International Business Machines Corporation Correcting and Optimizing Contours for Optical Proximity Correction Modeling
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US8589830B2 (en) * 2012-03-07 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for enhanced optical proximity correction
JP6234998B2 (ja) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9311700B2 (en) 2012-09-24 2016-04-12 Kla-Tencor Corporation Model-based registration and critical dimension metrology
US10469398B2 (en) 2014-03-04 2019-11-05 International Business Machines Corporation Selecting forecasting model complexity using eigenvalues
US9262820B2 (en) 2014-05-19 2016-02-16 United Microelectronics Corporation Method and apparatus for integrated circuit design
US10310372B1 (en) 2017-02-27 2019-06-04 Cadence Design Systems, Inc. Full-chip hierarchical inverse lithography
US10394116B2 (en) 2017-09-06 2019-08-27 International Business Machines Corporation Semiconductor fabrication design rule loophole checking for design for manufacturability optimization
CN107844644B (zh) * 2017-10-26 2021-09-14 上海集成电路研发中心有限公司 一种建立晶圆形貌opc模型的方法
US10621295B2 (en) 2018-04-10 2020-04-14 International Business Machines Corporation Incorporation of process variation contours in design rule and risk estimation aspects of design for manufacturability to increase fabrication yield
EP3731018A1 (en) * 2019-04-23 2020-10-28 ASML Netherlands B.V. A method for re-imaging an image and associated metrology apparatus
CN110334387B (zh) * 2019-05-09 2022-11-25 重庆大学 一种基于bp神经网络算法的室内光照预估方法
US11061321B1 (en) 2019-06-24 2021-07-13 Synopsys, Inc. Obtaining a mask using a cost function gradient from a Jacobian matrix generated from a perturbation look-up table
US10963788B1 (en) * 2019-12-05 2021-03-30 Sas Institute Inc. Analytic system for interactive graphical model selection
US11100395B2 (en) * 2019-12-05 2021-08-24 Sas Institute Inc. Analytic system for interactive direct functional principal component analysis
CN111985095A (zh) * 2020-08-12 2020-11-24 内蒙古第一机械集团股份有限公司 一种装配工艺参数优化方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4894790A (en) * 1986-02-05 1990-01-16 Omron Tateisi Electronics Co. Input method for reference printed circuit board assembly data to an image processing printed circuit board assembly automatic inspection apparatus
JPH03174716A (ja) * 1989-08-07 1991-07-29 Hitachi Ltd 電子ビーム描画装置および描画方式
US5307296A (en) * 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5245543A (en) * 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
JP3426647B2 (ja) * 1992-06-24 2003-07-14 日本電信電話株式会社 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング
US5307421A (en) * 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
JP3409493B2 (ja) * 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
US5621652A (en) * 1995-03-21 1997-04-15 Vlsi Technology, Inc. System and method for verifying process models in integrated circuit process simulators
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US5719796A (en) * 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
JP2910716B2 (ja) * 1997-01-16 1999-06-23 日本電気株式会社 光強度計算のパラメトリック解析方法
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6223139B1 (en) * 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US7175940B2 (en) * 2001-10-09 2007-02-13 Asml Masktools B.V. Method of two dimensional feature model calibration and optimization
TWI292850B (en) * 2002-07-26 2008-01-21 Asml Masktools Bv Method of automatically applying optical proximity correction, method and computer readable medium for generating a rule set utilized for automatically applying optical proxmity correction, and device manufacturing method
SG137657A1 (en) * 2002-11-12 2007-12-28 Asml Masktools Bv Method and apparatus for performing model-based layout conversion for use with dipole illumination
JP3992688B2 (ja) 2003-01-14 2007-10-17 エーエスエムエル マスクツールズ ビー.ブイ. コンタクト・ホール・マスクの光学的近接補正設計の方法
US20050015233A1 (en) * 2003-07-17 2005-01-20 International Business Machines Corporation Method for computing partially coherent aerial imagery
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7231629B2 (en) * 2003-10-31 2007-06-12 Asml Masktools B.V. Feature optimization using enhanced interference mapping lithography
US7506299B2 (en) * 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101738872B (zh) * 2008-11-06 2013-04-10 Asml荷兰有限公司 用于光刻校准的方法和系统
CN101877016A (zh) * 2009-04-30 2010-11-03 新思科技有限公司 对临界尺寸(cd)扫描电镜的cd提取进行建模
CN101877016B (zh) * 2009-04-30 2014-09-17 新思科技有限公司 对临界尺寸(cd)扫描电镜的cd提取进行建模
CN102033423A (zh) * 2009-09-28 2011-04-27 中芯国际集成电路制造(上海)有限公司 用于校准光刻工具的装置及方法
CN102033423B (zh) * 2009-09-28 2013-05-29 中芯国际集成电路制造(上海)有限公司 用于校准光刻工具的装置及方法
CN110083017A (zh) * 2013-08-23 2019-08-02 科磊股份有限公司 多模型计量
CN107908071A (zh) * 2017-11-28 2018-04-13 上海集成电路研发中心有限公司 一种基于神经网络模型的光学邻近校正方法
CN107942614A (zh) * 2017-12-22 2018-04-20 上海集成电路研发中心有限公司 孔层辅助图案生成方法及校正函数生成方法
CN107942614B (zh) * 2017-12-22 2020-12-25 上海集成电路研发中心有限公司 孔层辅助图案生成方法及校正函数生成方法
CN109976087A (zh) * 2017-12-27 2019-07-05 上海集成电路研发中心有限公司 掩模图案模型的生成方法及掩模图案的优化方法
CN109976087B (zh) * 2017-12-27 2022-08-23 上海集成电路研发中心有限公司 掩模图案模型的生成方法及掩模图案的优化方法
CN112949810A (zh) * 2021-02-20 2021-06-11 新疆大学 用于可见光无线技术改进粒子群优化组合波束拟合方法

Also Published As

Publication number Publication date
JP2005173595A (ja) 2005-06-30
KR20050043713A (ko) 2005-05-11
US7398508B2 (en) 2008-07-08
JP4524174B2 (ja) 2010-08-11
TW200523524A (en) 2005-07-16
EP1530083A3 (en) 2006-03-01
US20050149902A1 (en) 2005-07-07
EP1530083A2 (en) 2005-05-11

Similar Documents

Publication Publication Date Title
CN1661479A (zh) 基于opc模型的本征分解
US10846442B2 (en) Methods and systems for parameter-sensitive and orthogonal gauge design for lithography calibration
US11042687B2 (en) Fast freeform source and mask co-optimization method
US8542340B2 (en) Illumination optimization
US10169522B2 (en) Methods and system for model-based generic matching and tuning
US9009647B2 (en) Methods and systems for lithography calibration using a mathematical model for a lithographic process
US11977336B2 (en) Method for improving a process for a patterning process
US20220404712A1 (en) Machine learning based image generation for model base alignments
US20240012337A1 (en) Method of determining control parameters of a device manufacturing process
EP1560073A2 (en) Method of predicting and minimizing model opc deviation due to mix/match of exposure tools using a calibrated eigen decomposition model
CN1658076A (zh) 使用已校准的本征分解模型的光刻过程的制造可靠性检查与验证的方法
CN1591189A (zh) 照明源和掩模优化
US20230280659A1 (en) Method for determining stochastic variation associated with desired pattern
US20240004305A1 (en) Method for determining mask pattern and training machine learning model
US20230023153A1 (en) Method for determining a field-of-view setting
CN115605811A (zh) 用于预测随机贡献方的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned
C20 Patent right or utility model deemed to be abandoned or is abandoned