NL2009982A - Source mask optimization to reduce stochastic effects. - Google Patents

Source mask optimization to reduce stochastic effects. Download PDF

Info

Publication number
NL2009982A
NL2009982A NL2009982A NL2009982A NL2009982A NL 2009982 A NL2009982 A NL 2009982A NL 2009982 A NL2009982 A NL 2009982A NL 2009982 A NL2009982 A NL 2009982A NL 2009982 A NL2009982 A NL 2009982A
Authority
NL
Netherlands
Prior art keywords
patterning device
source
optimization
design
radiation
Prior art date
Application number
NL2009982A
Other languages
English (en)
Dutch (nl)
Inventor
Steven Hansen
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of NL2009982A publication Critical patent/NL2009982A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
NL2009982A 2012-01-10 2012-12-13 Source mask optimization to reduce stochastic effects. NL2009982A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261585136P 2012-01-10 2012-01-10
US201261585136 2012-01-10

Publications (1)

Publication Number Publication Date
NL2009982A true NL2009982A (en) 2013-07-15

Family

ID=48744861

Family Applications (1)

Application Number Title Priority Date Filing Date
NL2009982A NL2009982A (en) 2012-01-10 2012-12-13 Source mask optimization to reduce stochastic effects.

Country Status (5)

Country Link
US (2) US9213783B2 (ko)
JP (1) JP2013145880A (ko)
KR (1) KR101463100B1 (ko)
NL (1) NL2009982A (ko)
TW (1) TWI467321B (ko)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US9489479B2 (en) * 2012-05-04 2016-11-08 Asml Netherlands B.V. Rule and lithographic process co-optimization
CN105992975B (zh) * 2014-02-11 2018-06-01 Asml荷兰有限公司 用于计算任意图案的随机变化的模型
US9395622B2 (en) * 2014-02-20 2016-07-19 Globalfoundries Inc. Synthesizing low mask error enhancement factor lithography solutions
KR102146437B1 (ko) * 2014-03-18 2020-08-21 에이에스엠엘 네델란즈 비.브이. 패턴 배치 에러 인식의 최적화
US10025201B2 (en) * 2014-04-14 2018-07-17 Asml Netherlands B.V. Flows of optimization for lithographic processes
KR101901729B1 (ko) 2014-05-02 2018-09-28 에이에스엠엘 네델란즈 비.브이. 조밀 피처들의 핫스폿들의 감소
KR101939313B1 (ko) 2014-06-25 2019-01-16 에이에스엠엘 네델란즈 비.브이. 에칭 변동 감내 최적화
WO2016010776A1 (en) * 2014-07-13 2016-01-21 Kla-Tencor Corporation Metrology using overlay and yield critical patterns
KR102404639B1 (ko) * 2015-02-02 2022-06-03 삼성전자주식회사 전자 빔 노광 방법 및 그를 포함하는 기판 제조 방법
TWI620980B (zh) 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
CN107430351B (zh) * 2015-03-16 2019-06-11 Asml荷兰有限公司 用于确定抗蚀剂变形的方法
TWI571701B (zh) * 2015-04-30 2017-02-21 力晶科技股份有限公司 偵測微影熱點的方法
KR20180072768A (ko) * 2015-10-19 2018-06-29 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 오차를 보정하는 장치 및 방법
WO2017067748A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to reduce effects of nonlinear behavior
US10691863B2 (en) 2015-10-19 2020-06-23 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067757A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10915689B2 (en) 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10416566B2 (en) * 2015-12-14 2019-09-17 Asml Netherlands B.V. Optimization of source and bandwidth for new and existing patterning devices
CN112198762B (zh) 2015-12-22 2023-09-19 Asml荷兰有限公司 用于过程窗口表征的设备和方法
KR102148875B1 (ko) 2015-12-31 2020-08-28 에이에스엠엘 네델란즈 비.브이. 에칭-어시스트 피처
WO2017114662A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Selection of measurement locations for patterning processes
WO2017162471A1 (en) 2016-03-24 2017-09-28 Asml Netherlands B.V. Optimization of a lithographic projection apparatus accounting for an interlayer characteristic
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10345714B2 (en) 2016-07-12 2019-07-09 Cymer, Llc Lithography optics adjustment and monitoring
TWI647528B (zh) 2016-07-12 2019-01-11 荷蘭商Asml荷蘭公司 用於視覺化設計佈局之計算分析之效能度量的方法及系統
US10007191B2 (en) 2016-07-15 2018-06-26 Kla-Tencor Corporation Method for computer modeling and simulation of negative-tone-developable photoresists
WO2018033363A1 (en) 2016-08-19 2018-02-22 Asml Netherlands B.V. Modeling post-exposure processes
WO2018099742A1 (en) 2016-12-02 2018-06-07 Asml Netherlands B.V. Model for estimating stochastic variation
CN110121681B (zh) 2016-12-28 2022-04-01 Asml荷兰有限公司 在制造过程中引导过程模型和检查的方法
US11016395B2 (en) 2016-12-28 2021-05-25 Asml Netherlands B.V. Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device
CN110325921B (zh) * 2017-01-26 2022-02-18 Asml荷兰有限公司 微调过程模型的方法
KR102449586B1 (ko) 2017-02-24 2022-10-04 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
TWI735747B (zh) 2017-02-28 2021-08-11 美商克萊譚克公司 度量方法及模組,分段疊對目標,及電腦程式產品
US10262408B2 (en) * 2017-04-12 2019-04-16 Kla-Tencor Corporation System, method and computer program product for systematic and stochastic characterization of pattern defects identified from a semiconductor wafer
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
WO2018228820A1 (en) 2017-06-14 2018-12-20 Asml Netherlands B.V. Lithographic apparatus and method
EP3688529B1 (en) * 2017-09-27 2023-12-13 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
EP3462240A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
KR102440337B1 (ko) 2017-12-22 2022-09-05 에이에스엠엘 네델란즈 비.브이. 결함 확률에 기초한 프로세스 윈도우
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
US10818001B2 (en) 2018-09-07 2020-10-27 Kla-Tencor Corporation Using stochastic failure metrics in semiconductor manufacturing
US11354484B2 (en) * 2018-11-08 2022-06-07 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
EP3650940A1 (en) 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
KR102641682B1 (ko) 2019-02-20 2024-02-27 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스의 제조 프로세스를 특성화하기 위한 방법
JP2022522433A (ja) * 2019-02-25 2022-04-19 アプライド マテリアルズ イスラエル リミテッド 希少確率欠陥を検出するシステムおよび方法
US10990019B2 (en) 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning
US20220229374A1 (en) * 2019-04-25 2022-07-21 Asml Netherlans B.V. Method of determining characteristic of patterning process based on defect for reducing hotspot
US11061373B1 (en) 2019-08-20 2021-07-13 Siemens Industry Software Inc. Method and system for calculating probability of success or failure for a lithographic process due to stochastic variations of the lithographic process
CN114514473A (zh) * 2019-09-25 2022-05-17 美商新思科技有限公司 基于缺陷概率分布和关键尺寸变化的光刻改进
EP3822703A1 (en) 2019-11-18 2021-05-19 ASML Netherlands B.V. Method for determining a field-of-view setting
WO2021069153A1 (en) 2019-10-08 2021-04-15 Asml Netherlands B.V. Method for determining a field-of-view setting
US11119404B2 (en) * 2019-10-10 2021-09-14 Kla Corporation System and method for reducing printable defects on extreme ultraviolet pattern masks
KR20210069161A (ko) 2019-12-02 2021-06-11 삼성전자주식회사 Euv 레티클 제조 방법 및 그를 포함하는 반도체 소자의 제조 방법
US11475201B2 (en) * 2020-02-24 2022-10-18 Synopsys, Inc. Inclusion of stochastic behavior in source mask optimization
US11468222B2 (en) 2020-02-24 2022-10-11 Synopsys, Inc. Stochastic signal prediction in compact modeling
US11640490B2 (en) 2020-02-25 2023-05-02 Synopsys, Inc. Source mask optimization by process defects prediction
US11874597B2 (en) 2020-02-25 2024-01-16 Synopsys, Inc. Stochastic optical proximity corrections
JP2024500075A (ja) 2020-12-23 2024-01-04 エーエスエムエル ネザーランズ ビー.ブイ. 帯域幅及びスペックルに基づくリソグラフィプロセスの最適化
KR20230152037A (ko) 2021-03-03 2023-11-02 에이에스엠엘 네델란즈 비.브이. 패터닝 공정의 구성

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
KR100468741B1 (ko) * 2002-06-22 2005-01-29 삼성전자주식회사 노광 장치의 어퍼처 설계를 위한 시뮬레이션 방법 및장치, 그리고 시뮬레이션 방법을 기록한 기록매체
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP2005294716A (ja) 2004-04-05 2005-10-20 Nikon Corp 荷電粒子線露光におけるショットノイズの予測方法
KR101429098B1 (ko) 2004-06-04 2014-09-22 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
US7266803B2 (en) 2005-07-29 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout generation and optimization to improve photolithographic performance
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
JP2008258361A (ja) * 2007-04-04 2008-10-23 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP4989279B2 (ja) * 2007-04-05 2012-08-01 株式会社東芝 パラメータ値調整方法、半導体装置製造方法およびプログラム
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US20090275038A1 (en) * 2008-04-07 2009-11-05 Transnetyx, Inc. Method and apparatus for forensic screening
US8806387B2 (en) * 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods
WO2009148976A1 (en) * 2008-06-03 2009-12-10 Brion Technologies, Inc. Lens heating compensation methods
JP2010045309A (ja) * 2008-08-18 2010-02-25 Fujitsu Microelectronics Ltd 露光方法及び半導体装置の製造方法
CN102224459B (zh) * 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
NL2003919A (en) 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
US8589827B2 (en) 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
NL2005804A (en) * 2010-01-14 2011-07-18 Asml Netherlands Bv Method and apparatus for enhancing signal strength for improved generation and placement of model-based sub-resolution assist features (mb-sraf).
US8372565B2 (en) 2010-08-31 2013-02-12 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.

Also Published As

Publication number Publication date
US20130179847A1 (en) 2013-07-11
KR20130082110A (ko) 2013-07-18
US9213783B2 (en) 2015-12-15
US20160110488A1 (en) 2016-04-21
US9934346B2 (en) 2018-04-03
KR101463100B1 (ko) 2014-11-20
TW201333624A (zh) 2013-08-16
JP2013145880A (ja) 2013-07-25
TWI467321B (zh) 2015-01-01

Similar Documents

Publication Publication Date Title
US11835862B2 (en) Model for calculating a stochastic variation in an arbitrary pattern
US10459346B2 (en) Flows of optimization for lithographic processes
US9934346B2 (en) Source mask optimization to reduce stochastic effects
US10558124B2 (en) Discrete source mask optimization
US20220137503A1 (en) Method for training machine learning model to determine optical proximity correction for mask
KR102154206B1 (ko) 이미지 로그 슬로프(ils) 최적화
WO2020193095A1 (en) Method for determining pattern in a patterning process
WO2015139951A1 (en) Pattern placement error aware optimization
WO2019063206A1 (en) METHOD FOR DETERMINING CONTROL PARAMETERS OF DEVICE MANUFACTURING PROCESS
WO2020094286A1 (en) A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
WO2017102321A1 (en) Optimization of source and bandwidth for new and existing patterning devices
WO2021160522A1 (en) Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
WO2021078460A1 (en) Method for rule-based retargeting of target pattern
WO2021069153A1 (en) Method for determining a field-of-view setting
WO2020216572A1 (en) Method of determining characteristic of patterning process based on defect for reducing hotspot
WO2017162471A1 (en) Optimization of a lithographic projection apparatus accounting for an interlayer characteristic
WO2022064016A1 (en) Optimization of scanner throughput and imaging quality for a patterning process

Legal Events

Date Code Title Description
WDAP Patent application withdrawn

Effective date: 20130821