IL270315B2 - Metrology parameter determination and metrology recipe selection - Google Patents

Metrology parameter determination and metrology recipe selection

Info

Publication number
IL270315B2
IL270315B2 IL270315A IL27031519A IL270315B2 IL 270315 B2 IL270315 B2 IL 270315B2 IL 270315 A IL270315 A IL 270315A IL 27031519 A IL27031519 A IL 27031519A IL 270315 B2 IL270315 B2 IL 270315B2
Authority
IL
Israel
Prior art keywords
radiation
target
diffraction
illumination
values
Prior art date
Application number
IL270315A
Other languages
Hebrew (he)
Other versions
IL270315B1 (en
IL270315A (en
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Priority claimed from PCT/EP2018/059183 external-priority patent/WO2018202388A1/en
Publication of IL270315A publication Critical patent/IL270315A/en
Publication of IL270315B1 publication Critical patent/IL270315B1/en
Publication of IL270315B2 publication Critical patent/IL270315B2/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01MTESTING STATIC OR DYNAMIC BALANCE OF MACHINES OR STRUCTURES; TESTING OF STRUCTURES OR APPARATUS, NOT OTHERWISE PROVIDED FOR
    • G01M11/00Testing of optical apparatus; Testing structures by optical methods not otherwise provided for
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01MTESTING STATIC OR DYNAMIC BALANCE OF MACHINES OR STRUCTURES; TESTING OF STRUCTURES OR APPARATUS, NOT OTHERWISE PROVIDED FOR
    • G01M11/00Testing of optical apparatus; Testing structures by optical methods not otherwise provided for
    • G01M11/02Testing optical properties
    • G01M11/0242Testing optical properties by measuring geometrical properties or aberrations
    • G01M11/0257Testing optical properties by measuring geometrical properties or aberrations by analyzing the image formed by the object to be tested
    • G01M11/0264Testing optical properties by measuring geometrical properties or aberrations by analyzing the image formed by the object to be tested by using targets or reference patterns

Description

METROLOGY PARAMETER DETERMINATION AND METROLOGY RECIPE SELECTION CROSS-REFERENCE TO RELATED APPLICATIONS[0001] This application claims priority of US application 62/501,047 which was filed on May 03, 20and EP application 18152479.4 which was filed on January 19, 2018 which are incorporated herein in its entirety by reference.
Field[0002] The present disclosure relates to methods and apparatus for inspection (e.g., metrology) usable, for example, in the manufacture of devices by lithographic techniques and to methods of manufacturing devices using lithographic techniques.
Background[0003] A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g., including part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned.[0004] Significant aspects to enabling a patterning process (i.e., a process of creating a device or other structure involving patterning (such as lithographic exposure or imprint), which may typically include one or more associated processing steps such as development of resist, etching, etc.) include developing the process itself, setting it up for monitoring and control and then actually monitoring and controlling the process itself. Assuming a configuration of the fundamentals of the patterning process, such as the patterning device pattern(s), the resist type(s), post-lithography process steps (such as the development, etch, etc.), it is desirable to setup the apparatus in the patterning process for transferring the pattern onto the substrates, develop one or more metrology targets to monitor the process, setup up a metrology process to measure the metrology targets and then implement a process of monitoring and/or controlling the process based on measurements.[0005] So, in a patterning process, it is desirable to determine (e.g., measure, simulate using one or more models that model one or more aspects of the patterning process, etc.) one or more parameters of interest, such as the critical dimension (CD) of a structure, the overlay error between successive layers (i.e., the undesired and unintentional misalignment of successive layers) formed in or on the substrate, etc. [0006]It is desirable to determine such one or more parameters of interest for structures created by a patterning process and use them for design, control and/or monitoring relating to the patterning process, e.g., for process design, control and/or verification. The determined one or more parameters of interest of patterned structures can be used for patterning process design, correction and/or verification, defect detection or classification, yield estimation and/or process control. [0007]Thus, in patterning processes, it is desirable frequently to make measurements of the structures created, e.g., for process control and verification. Various tools for making such measurements are known, including scanning electron microscopes, which are often used to measure critical dimension (CD), and specialized tools to measure overlay, a measure of the accuracy of alignment of two layers in a device. Overlay may be described in terms of the degree of misalignment between the two layers, for example reference to a measured overlay of 1nm may describe a situation where two layers are misaligned by 1nm. [0008]Various forms of inspection apparatus (e.g., metrology apparatus) have been developed for use in the lithographic field. These devices direct a beam of radiation onto a target and measure one or more properties of the redirected (e.g., scattered) radiation - e.g., intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of reflected angle; or polarization as a function of reflected angle - to obtain a "spectrum" from which a property of interest of the target can be determined. Determination of the property of interest may be performed by various techniques: e.g., reconstruction of the target by iterative approaches such as rigorous coupled wave analysis or finite element methods; library searches; and principal component analysis. [0009]A further technique is involves having the zeroth order of diffraction (corresponding to a specular reflection) blocked, and only higher orders are processed. Examples of such metrology can be found in PCT patent application publication nos. WO 2009/078708 and WO 2009/106279, which are hereby incorporated by reference in their entirety. Further developments of the technique have been described in U.S. patent application publication nos. US 2011-0027704, US 2011-0043791 and US 2012-0242940, each of which is incorporated herein in its entirety. Such diffraction-based techniques are typically used to measure overlay. The targets for techniques can be smaller than the illumination spot and may be surrounded by product structures on a substrate. A target can comprise multiple periodic structures, which can be measured in one image. In a particular form of such a metrology technique, overlay measurement results are obtained by measuring a target twice under certain conditions, while either rotating the target or changing the illumination mode or imaging mode to obtain separately a normal (e.g., +1st ) and a complementary (e.g., -1st) diffraction order intensities. The intensity asymmetry, a comparison of these diffraction order intensities, for a given target provides a measurement of target asymmetry, that is asymmetry in the target. This asymmetry in the target can be used as an indicator of overlay error.
Summary [0010]In the example of overlay measurement, the techniques above rely on an assumption that overlay (i.e., overlay error and deliberate bias) is the only cause of target asymmetry in the target. Any other asymmetry in the target or the measurement, such as structural asymmetry of features within the periodic structure in an upper and/or lower layer, an asymmetry in the measurement using the sensor, etc., can also cause a measured intensity asymmetry in the 1st (or other higher) orders. This intensity asymmetry attributable to such other asymmetry in the target and/or measurement, and which is not related to overlay (including an intentional bias), perturbs the overlay measurement, giving an inaccurate overlay measurement. [0011]In an embodiment, there is provided a method of determining a patterning process parameter from a metrology target, the method comprising: obtaining a plurality of values of diffraction radiation from the metrology target, each value of the plurality of values corresponding to a different illumination condition of a plurality of illumination conditions of illumination radiation for the target; and using the combination of values to determine a same value of the patterning process parameter for the target. [0012]In an embodiment, there is provided a method comprising: using a first patterning process parameter determination technique to determine a first value of a patterning process parameter from a metrology target illuminated by measurement radiation; using a second patterning process parameter determination technique different from the first patterning process parameter determination technique to arrive at a plurality of second values of the patterning process parameter for the metrology target, each second value determined at a different illumination condition of measurement radiation; and identifying, based on the first value and the second values, a measurement radiation illumination condition for a metrology recipe for measurement of the metrology target. [0013]In an embodiment, there is provided a measurement method comprising measuring a metrology target on a substrate according to a metrology recipe as described herein. [0014]In an embodiment, there is provided a metrology apparatus for measuring a parameter of a lithographic process, the metrology apparatus being operable to perform a method as described herein. [0015]In an embodiment, there is provided a non-transitory computer program product comprising machine-readable instructions for causing a processor to cause performance of a method as described herein. [0016]In an embodiment, there is provided a system comprising: an inspection apparatus configured to provide a beam of radiation on two adjacent periodic structures or measurement targets on a substrate and to detect radiation diffracted by the targets to determine a parameter of a patterning process; and a non- transitory computer program as described herein. In an embodiment, the system further comprises a lithographic apparatus comprising a support structure configured to hold a patterning device to modulate a radiation beam and a projection optical system arranged to project the modulated radiation beam onto a radiation-sensitive substrate. [0017]Further features and advantages, as well as the structure and operation of various embodiments, are described in detail below with reference to the accompanying drawings. It is noted that the invention is not limited to the specific embodiments described herein. Such embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein.
Brief Description of the Drawings [0018]Embodiments will now be described, by way of example only, with reference to the accompanying drawings in which: [0019]Figure 1 depicts an embodiment of a lithographic apparatus; [0020]Figure 2 depicts an embodiment of a lithographic cell or cluster; [0021]Figure 3 schematically depicts an example inspection apparatus and metrology technique; [0022]Figure 4 schematically depicts an example inspection apparatus; [0023]Figure 5 illustrates the relationship between an illumination spot of an inspection apparatus and a metrology target; [0024]Figure 6 schematically depicts a process of deriving a plurality of variables of interest based on measurement data; [0025]Figure 7A depicts a schematic diagram of an inspection apparatus (e.g., a dark field scatterometer in this case) configured to measure a target using a first pair of illumination apertures; [0026]Figure 7B schematically depicts a detail of a diffraction spectrum of a target periodic structure for a given direction of illumination; [0027]Figure 7C schematically depicts a second pair of illumination apertures providing further illumination modes in using the inspection apparatus of Figure 7A for diffraction based overlay measurements; [0028]Figure 7D schematically depicts a third pair of illumination apertures combining the first and second pair of apertures; [0029]Figure 8 depicts a form of multiple periodic structure target and an outline of a measurement spot on a substrate; [0030]Figure 9 depicts an image of the target of Figure 8 obtained in the inspection apparatus of Figure 7A; id="p-31" id="p-31"
[0031]Figure 10 is a flowchart showing steps of an overlay measurement method using the inspection apparatus of Figure 3; [0032]Figure 11A, Figure 11B and Figure 11C respectively show schematic cross-sections of overlay periodic structures having different overlay values in the region of zero; [0033]Figure 11D is a schematic cross-section of an overlay periodic structure having structural asymmetry in a bottom periodic structure due to processing effects; [0034]Figure 11E is a schematic top view of an overlay target having periodic structures with intentional bias; [0035]Figure 11F depicts an example of a detected diffraction signal of a particular order radiation from a target such as depicted in Figure 11E; [0036]Figure 11G depicts an example of a detected diffraction signal of another particular order radiation from a target such as depicted in Figure 11E; [0037]Figure 11H is schematic depiction of a simple model for describing diffraction of radiation from a target with a two-layer periodic structure; [0038]Figure 12 illustrates principles of overlay measurement in an ideal target, not subject to structural asymmetry; [0039]Figure 13 illustrates principles of overlay measurement in a non-ideal target, with correction of structural asymmetry as disclosed in embodiments herein; [0040]Figure 14 is a flow chart of an embodiment of a method; [0041]Figure 15 is a flow chart of an embodiment of a method; [0042]Figure 16 is a flowchart illustrating a process in which the metrology target is used to monitor performance, and as a basis for controlling metrology, design and/or production processes; [0043]Figure 17 is a graph of overlay sensitivity for a target for measurement at various wavelengths for a single polarization (in this case, linear X polarization); [0044]Figure 18 is a graph of overlay sensitivity for a target for measurement at various wavelengths for a single polarization (in this case, linear Y polarization); and [0045]Figure 19 is a plot of A+ versus A- for overlay gratings that have no feature asymmetry.
Detailed Description [0046]Before describing embodiments in detail, it is instructive to present an example environment in which embodiments may be implemented. [0047]Figure 1 schematically depicts a lithographic apparatus LA. The apparatus includes an illumination optical system (illuminator) IL configured to condition a radiation beam B (e.g., UV radiation or DUV radiation), a patterning device support or support structure (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; a substrate table (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and a projection optical system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., including one or more dies) of the substrate W. [0048]The illumination optical system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. [0049]The patterning device support holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The patterning device support can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The patterning device support may be a frame or a table, for example, which may be fixed or movable as required. The patterning device support may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms "reticle" or "mask" herein may be considered synonymous with the more general term "patterning device." [0050]The term "patterning device" used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. [0051]The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam, which is reflected by the mirror matrix. [0052]As here depicted, the apparatus is of a transmissive type (e.g., employing a transmissive mask). Alternatively, the apparatus may be of a reflective type (e.g., employing a programmable mirror array of a type as referred to above, or employing a reflective mask). [0053]The lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term "immersion" as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure. [0054]Referring to Figure 1, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD including, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system. [0055]The illuminator IL may include an adjuster AD for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as a- outer and a-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may include various other components, such as an integrator IN and a condenser CO. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section. [0056]The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the patterning device support (e.g., mask table) MT, and is patterned by the patterning device. Having traversed the patterning device (e.g., mask) MA, the radiation beam B passes through the projection optical system PS, which focuses the beam onto a target portion C of the substrate W, thereby projecting an image of the pattern on the target portion C. With the aid of the second positioner PW and position sensor IF (e.g., an interferometric device, linear encoder, 2-D encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor (which is not explicitly depicted in Figure 1) can be used to accurately position the patterning device (e.g., mask) MA with respect to the path of the radiation beam B, e.g., after mechanical retrieval from a mask library, or duringa scan. id="p-57" id="p-57"
[0057] Patterning device (e.g., mask) MA and substrate W may be aligned using patterning device alignment marks M!, M2 and substrate alignment marks P!, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the patterning device (e.g., mask) MA, the patterning device alignment marks may be located between the dies. Small alignment markers may also be included within dies, in amongst the device features, in which case it is desirable that the markers be as small as possible and not require any different imaging or process conditions than adjacent features. The alignment system, which detects the alignment markers is described further below.[0058] Lithographic apparatus LA in this example is of a so-called dual stage type which has two substrate tables WTa, WTb and two stations - an exposure station and a measurement station - between which the substrate tables can be exchanged. While one substrate on one substrate table is being exposed at the exposure station, another substrate can be loaded onto the other substrate table at the measurement station and various preparatory steps carried out. The preparatory steps may include mapping the surface control of the substrate using a level sensor LS and measuring the position of alignment markers on the substrate using an alignment sensor AS. This enables a substantial increase in the throughput of the apparatus.[0059] The depicted apparatus can be used in a variety of modes, including for example a step mode or a scan mode. The construction and operation of lithographic apparatus is well known to those skilled in the art and need not be described further for an understanding of the embodiments of the present invention. [0060] As shown in Figure 2, the lithographic apparatus LA forms part of a lithographic system, referred to as a lithographic cell LC or a lithocell or cluster. The lithographic cell LC may also include apparatus to perform pre- and post-exposure processes on a substrate. Conventionally these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK. A substrate handler, or robot, RO picks up substrates from input/output ports I/O1, I/O2, moves them between the different process apparatus and delivers then to the loading bay LB of the lithographic apparatus. These devices, which are often collectively referred to as the track, are under the control of a track control unit TCU which is itself controlled by the supervisory control system SCS, which also controls the lithographic apparatus via lithography control unit LACU. Thus, the different apparatus can be operated to maximize throughput and processing efficiency.[0061] In order to design, monitor, control, etc. the patterning process (e.g., a device manufacturing process) that includes at least one patterning step (e.g., an optical lithography step), the patterned substrate can be inspected and one or more parameters of the patterned substrate are measured. The one or more parameters may include, for example, overlay between successive layers formed in or on the patterned substrate, critical dimension (CD) (e.g., critical linewidth) of, for example, features formed in or on the patterned substrate, focus or focus error of an optical lithography step, dose or dose error of an optical lithography step, optical aberrations of an optical lithography step, etc. This measurement may be performed on a target of the product substrate itself and/or on a dedicated metrology target provided on a substrate. There are various techniques for making measurements of the structures formed in the patterning process, including the use of a scanning electron microscope, image-based measurement or inspection tools and/or various specialized tools. A relatively fast and non-invasive form of specialized metrology and/or inspection tool is one in which a beam of radiation is directed onto a target on the surface of the substrate and properties of the scattered (diffracted/reflected) beam are measured. By comparing one or more properties of the beam before and after it has been scattered by the substrate, one or more properties of the substrate can be determined. This may be termed diffraction-based metrology or inspection. [0062]Figure 3 depicts an example inspection apparatus (e.g., a scatterometer). It comprises a broadband (white light) radiation projector 2 which projects radiation onto a substrate W. The redirected radiation is passed to a spectrometer detector 4, which measures a spectrum 10 (intensity as a function of wavelength) of the specular reflected radiation, as shown, e.g., in the graph in the lower left. From this data, the structure or profile giving rise to the detected spectrum may be reconstructed by processor PU, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra as shown at the bottom right of Figure 3. In general, for the reconstruction the general form of the structure is known and some variables are assumed from knowledge of the process by which the structure was made, leaving only a few variables of the structure to be determined from the measured data. Such an inspection apparatus may be configured as a normal-incidence inspection apparatus or an oblique- incidence inspection apparatus. [0063]Another inspection apparatus that may be used is shown in Figure 4. In this device, the radiation emitted by radiation source 2 is collimated using lens system 120 and transmitted through interference filter 130 and polarizer 170, reflected by partially reflecting surface 160 and is focused into a spot S on substrate W via an objective lens 150, which has a high numerical aperture (NA), desirably at least 0.9 or at least 0.95. An immersion inspection apparatus (using a relatively high refractive index fluid such as water) may even have a numerical aperture over 1. [0064]As in the lithographic apparatus LA, one or more substrate tables may be provided to hold the substrate W during measurement operations. The substrate tables may be similar or identical in form to the substrate table WT of Figure 1. In an example where the inspection apparatus is integrated with the lithographic apparatus, they may even be the same substrate table. Coarse and fine positioners may be provided to a second positioner PW configured to accurately position the substrate in relation to a measurement optical system. Various sensors and actuators are provided for example to acquire the position of a target of interest, and to bring it into position under the objective lens 150. Typically many measurements will be made on targets at different locations across the substrate W. The substrate support can be moved in X and Y directions to acquire different targets, and in the Z direction to obtain a desired location of the target relative to the focus of the optical system. It is convenient to think and describe operations as if the objective lens is being brought to different locations relative to the substrate, when, for example, in practice the optical system may remain substantially stationary (typically in the X and Y directions, but perhaps also in the Z direction) and only the substrate moves. Provided the relative position of the substrate and the optical system is correct, it does not matter in principle which one of those is moving in the real world, or if both are moving, or a combination of a part of the optical system is moving (e.g., in the Z and/or tilt direction) with the remainder of the optical system being stationary and the substrate is moving (e.g., in the X and Y directions, but also optionally in the Z and/or tilt direction). [0065]The radiation redirected by the substrate W then passes through partially reflecting surface 1into a detector 180 in order to have the spectrum detected. The detector 180 may be located at a back- projected focal plane 110 (i.e., at the focal length of the lens system 150) or the plane 110 may be re­imaged with auxiliary optics (not shown) onto the detector 180. The detector may be a two-dimensional detector so that a two-dimensional angular scatter spectrum of a substrate target 30 can be measured. The detector 180 may be, for example, an array of CCD or CMOS sensors, and may use an integration time of, for example, 40 milliseconds per frame. [0066]A reference beam may be used, for example, to measure the intensity of the incident radiation. To do this, when the radiation beam is incident on the partially reflecting surface 160 part of it is transmitted through the partially reflecting surface 160 as a reference beam towards a reference mirror 140. The reference beam is then projected onto a different part of the same detector 180 or alternatively on to a different detector (not shown). [0067]One or more interference filters 130 are available to select a wavelength of interest in the range of, say, 405 - 790 nm or even lower, such as 200 - 300 nm. The interference filter may be tunable rather than comprising a set of different filters. A grating could be used instead of an interference filter. An aperture stop or spatial light modulator (not shown) may be provided in the illumination path to control the range of angle of incidence of radiation on the target. [0068]The detector 180 may measure the intensity of redirected radiation at a single wavelength (or narrow wavelength range), the intensity separately at multiple wavelengths or integrated over a wavelength range. Furthermore, the detector may separately measure the intensity of transverse magnetic- and transverse electric-polarized radiation and/or the phase difference between the transverse magnetic- and transverse electric-polarized radiation. id="p-69" id="p-69"
[0069]The target 30 on substrate W may be a 1-D grating, which is printed such that after development, the bars are formed of solid resist lines. The target 30 may be a 2-D grating, which is printed such that after development, the grating is formed of solid resist pillars or vias in the resist. The bars, pillars or vias may be etched into or on the substrate (e.g., into one or more layers on the substrate). The pattern (e.g., of bars, pillars or vias) is sensitive to change in processing in the patterning process (e.g., optical aberration in the lithographic projection apparatus (particularly the projection system PS), focus change, dose change, etc.) and will manifest in a variation in the printed grating. Accordingly, the measured data of the printed grating is used to reconstruct the grating. One or more parameters of the 1-D grating, such as line width and/or shape, or one or more parameters of the 2-D grating, such as pillar or via width or length or shape, may be input to the reconstruction process, performed by processor PU, from knowledge of the printing step and/or other inspection processes. [0070]In addition to measurement of a parameter by reconstruction, diffraction-based metrology or inspection can be used in the measurement of asymmetry of features in product and/or resist patterns. A particular application of asymmetry measurement is for the measurement of overlay, for example, but other applications are also known. In this case, the target 30 typically comprises one set of periodic features superimposed on another. For example, asymmetry can be measured by comparing opposite parts of the diffraction spectrum from the target 30 (for example, comparing the -1st and +1st orders in the diffraction spectrum of a periodic grating). The concepts of asymmetry measurement using the instrument of Figure 3 or Figure 4 are described, for example, in U.S. patent application publication US2006- 066855, which is incorporated herein in its entirety by reference. Simply stated, while the positions of the diffraction orders in the diffraction spectrum of the target are determined only by the periodicity of the target, asymmetry in the diffraction spectrum is indicative of asymmetry in the individual features which make up the target. In the instrument of Figure 4, where detector 180 may be an image sensor, such asymmetry in the diffraction orders appears directly as asymmetry in the pupil image recorded by detector 180. This asymmetry can be measured by digital image processing in unit PU, and calibrated against known values of overlay. [0071]Figure 5 illustrates a plan view of a typical target 30, and the extent of illumination spot S in the apparatus of Figure 4. To obtain a diffraction spectrum that is free of interference from surrounding structures, the target 30, in an embodiment, is a periodic structure (e.g., grating) larger than the width (e.g., diameter) of the illumination spot S. The width of spot S may be smaller than the width and length of the target. The target in other words is ‘underfilled’ by the illumination, and the diffraction signal is essentially free from any signals from product features and the like outside the target itself. The illumination arrangement 2, 120, 130, 170 may be configured to provide illumination of a uniform intensity across a back focal plane of objective 150. Alternatively, by, e.g., including an aperture in the illumination path, illumination may be restricted to on axis or off axis directions. [0072]Figure 6 schematically depicts an example process of the determination of the value of one or more variables of interest of a target pattern 30’ based on measurement data obtained using metrology. Radiation detected by the detector 180 provides a measured radiation distribution 108 for target 30’. [0073]For a given target 30’, a radiation distribution 208 can be computed / simulated from a parameterized model 206 using, for example, a numerical Maxwell solver 210. The parameterized model 206 shows example layers of various materials making up, and associated with, the target. The parameterized model 206 may include one or more of variables for the features and layers of the portion of the target under consideration, which may be varied and derived. As shown in Figure 6, the one or more of the variables may include the thickness t of one or more layers, a width w (e.g., CD) of one or more features, a height h of one or more features, and/or a sidewall angle a of one or more features. Although not shown, the one or more of the variables may further include, but is not limited to, the refractive index (e.g., a real or complex refractive index, refractive index tensor, etc.) of one or more of the layers, the extinction coefficient of one or more layers, the absorption of one or more layers, resist loss during development, a footing of one or more features, and/or line edge roughness of one or more features. The initial values of the variables may be those expected for the target being measured. The measured radiation distribution 108 is then compared at 212 to the computed radiation distribution 208 to determine the difference between the two. If there is a difference, the values of one or more of the variables of the parameterized model 206 may be varied, a new computed radiation distribution 2calculated and compared against the measured radiation distribution 108 until there is sufficient match between the measured radiation distribution 108 and the computed radiation distribution 208. At that point, the values of the variables of the parameterized model 206 provide a good or best match of the geometry of the actual target 30’. In an embodiment, there is sufficient match when a difference between the measured radiation distribution 108 and the computed radiation distribution 208 is within a tolerance threshold. [0074]A further inspection apparatus suitable for use in embodiments is shown in Figure 7A. A target T and diffracted rays of measurement radiation used to illuminate the target are illustrated in more detail in Figure 7B. The inspection apparatus illustrated is of a type known as a dark field metrology apparatus. The inspection apparatus may be a stand-alone device or incorporated in either the lithographic apparatus LA, e.g., at the measurement station, or the lithographic cell LC. An optical axis, which has several branches throughout the apparatus, is represented by a dotted line O. In this apparatus, radiation emitted by source 11 (e.g., a xenon lamp) is directed onto substrate W via optical element 15 by an optical system comprising lenses 12, 14 and objective lens 16. These lenses are arranged in a double sequence of a 4F arrangement. A different lens arrangement can be used, provided that it, e.g., provides a substrate image onto a detector, and simultaneously allows for access of an intermediate pupil-plane for spatial-frequency filtering. Therefore, the angular range at which the radiation is incident on the substrate can be selected by defining a spatial intensity distribution in a plane that presents the spatial spectrum of the substrate plane, here referred to as a (conjugate) pupil plane. In particular, this can be done by inserting an aperture plate of suitable form between lenses 12 and 14, in a plane which is a back-projected image of the objective lens pupil plane. In the example illustrated, aperture plate 13 has different forms, labeled 13N and 13S, allowing different illumination modes to be selected. The illumination system in the present examples forms an off-axis illumination mode. In the first illumination mode, aperture plate 13N provides off-axis radiation from a direction designated, for the sake of description only, as ‘north’. In a second illumination mode, aperture plate 13S is used to provide similar illumination, but from an opposite direction, labeled ‘south’. Other modes of illumination are possible by using different apertures. The rest of the pupil plane is desirably dark as any unnecessary radiation outside the desired illumination mode will interfere with the desired measurement signals. [0075]As shown in Figure 7B, target T is placed with substrate W normal to the optical axis O of objective lens 16. The substrate W may be supported by a support (not shown). A ray of measurement radiation I impinging on target T from an angle off the axis O gives rise to a zeroth order ray (solid line 0) and two first order rays (dot-chain line +1 and double dot-chain line -1). It should be remembered that with an overfilled small target, these rays are just one of many parallel rays covering the area of the substrate including metrology target T and other features. Since the aperture in plate 13 has a finite width (necessary to admit a useful quantity of radiation), the incident rays I will in fact occupy a range of angles, and the diffracted rays 0 and +1/-1 will be spread out somewhat. According to the point spread function of a small target, each order +1 and -1 will be further spread over a range of angles, not a single ideal ray as shown. Note that the periodic structure pitches of the targets and the illumination angles can be designed or adjusted so that the first order rays entering the objective lens are closely aligned with the central optical axis. The rays illustrated in Figures 7A and 7B are shown somewhat off axis, purely to enable them to be more easily distinguished in the diagram. [0076]At least the 0 and +1st orders diffracted by the target T on substrate W are collected by objective lens 16 and directed back through optical element 15. Returning to Figure 7A, both the first and second illumination modes are illustrated, by designating diametrically opposite apertures labeled as north (N) and south (S). When the incident ray I of measurement radiation is from the north side of the optical axis, that is when the first illumination mode is applied using aperture plate 13N, the +1 diffracted rays, which are labeled +1(N), enter the objective lens 16. In contrast, when the second illumination mode is applied using aperture plate 13S the -1 diffracted rays (labeled -1(S)) are the ones which enter the lens 16. [0077]A beam splitter 17 divides the diffracted beams into two measurement branches. In a first measurement branch, optical system 18 forms a diffraction spectrum (pupil plane image) of the target on first sensor 19 (e.g. a CCD or CMOS sensor) using the zeroth and first order diffractive beams. Each diffraction order hits a different point on the sensor, so that image processing can compare and contrast orders. The pupil plane image captured by sensor 19 can be used for focusing the inspection apparatus and/or normalizing intensity measurements of the first order beam. The pupil plane image can also be used for many measurement purposes such as reconstruction. [0078]In the second measurement branch, optical system 20, 22 forms an image of the target T on sensor 23 (e.g. a CCD or CMOS sensor). In the second measurement branch, an aperture stop 21 is provided in a plane that is conjugate to the pupil-plane. Aperture stop 21 functions to block the zeroth order diffracted beam so that the image of the target formed on sensor 23 is formed only from the -1 or +first order beam. The images captured by sensors 19 and 23 are output to processor PU which processes the image, the function of which will depend on the particular type of measurements being performed. Note that the term ‘image’ is used here in a broad sense. An image of the periodic structure features as such will not be formed, if only one of the -1st and +1st orders is present. [0079]The particular forms of aperture plate 13 and field stop 21 shown in Figures 7A, 7C and 7D are purely examples. In an embodiment, on-axis illumination of the targets is used and an aperture stop with an off-axis aperture is used to pass substantially only one first order of diffracted radiation to the sensor.In yet other embodiments, 2nd, 3rd and higher order beams (not shown in Figures 7A, 7B, 7C or 7D) can be used in measurements, instead of or in addition to the first order beams. [0080]In order to make the measurement radiation adaptable to these different types of measurement, the aperture plate 13 may comprise a number of aperture patterns formed around a disc, which rotates to bring a desired pattern into place. Note that aperture plate 13N or 13S can only be used to measure periodic structures oriented in one direction (X or Y depending on the set-up). For measurement of an orthogonal periodic structure, rotation of the target through 90° and 270° might be implemented.Different aperture plates are shown in Figures 7C and 7D. The use of these, and numerous other variations and applications of the apparatus are described in the patent application publications mentioned above. [0081]Figure 8 depicts a (composite) target formed on a substrate according to known practice. The target in this example comprises four periodic structures (e.g., gratings) 32 to 35 positioned closely together so that they will all be within a measurement spot 31 formed by the metrology radiation illumination beam of the inspection apparatus. The four periodic structures thus are all simultaneously illuminated and simultaneously imaged on sensors 19 and 23. In an example dedicated to measurement of overlay, periodic structures 32 to 35 are themselves composite periodic structures formed by overlying periodic structures that are patterned in different layers of, e.g., the semi-conductor device formed on substrate W. Periodic structures 32 to 35 may have differently biased overlay offsets in order to facilitate measurement of overlay between the layers in which the different parts of the composite periodic structures are formed. The meaning of overlay bias will be explained below with reference to Figure 8. Periodic structures 32 to 35 may also differ in their orientation, as shown, so as to diffract incoming radiation in X and Y directions. In one example, periodic structures 32 and 34 are X-direction periodic structures with bias offsets of +d, -d, respectively. Periodic structures 33 and 35 are Y-direction periodic structures with bias offsets +d, -d respectively. Separate images of these periodic structures can be identified in the image captured by sensor 23. This is only one example of a target. A target may comprise more or fewer than 4 periodic structures, or only a single periodic structure. [0082]Figure 9 shows an example of an image that may be formed on and detected by the sensor 23, using the target of Figure 8 in the apparatus of Figure 7, using the aperture plates 13NW or 13SE from Figure 7D. While the pupil plane image sensor 19 cannot resolve the different individual periodic structures 32 to 35, the image sensor 23 can do so. The dark rectangle represents the field of the image on the sensor, within which the illuminated spot 31 on the substrate is imaged into a corresponding circular area 41. Within this, rectangular areas 42-45 represent the images of the small target periodic structures to 35. If the targets are located in product areas, product features may also be visible in the periphery of this image field. Image processor and control system PU processes these images using pattern recognition to identify the separate images 42 to 45 of periodic structures 32 to 35. In this way, the images do not have to be aligned very precisely at a specific location within the sensor frame, which greatly improves throughput of the measuring apparatus as a whole. [0083]Once the separate images of the periodic structures have been identified, the intensities of those individual images can be measured, e.g., by averaging or summing selected pixel intensity values within the identified areas. Intensities and/or other properties of the images can be compared with one another. These results can be combined to measure different parameters of the patterning process. Overlay performance is an important example of such a parameter. [0084]Figure 10 illustrates how, using for example the method described in PCT patent application publication no. WO 2011/012624 (incorporated herein in its entirety by reference), overlay error (i.e., undesired and unintentional overlay misalignment) between the two layers containing the component periodic structures 32 to 35 is measured. This measurement is done through identifying target asymmetry, as revealed by comparing the intensities in the normal and complementary diffraction order images of the target periodic structures to obtain a measure of the intensity asymmetry. In an embodiment, the normal diffraction order is +1st order radiation and the complementary diffraction order is -1st order radiation. While the discussion herein focuses the normal diffraction order as being +1st order radiation and the complementary diffraction order being -1st order radiation, the intensities of other corresponding higher orders can be compared, e.g. +2nd and -2nd orders. [0085]At step S1, the substrate, for example a semiconductor wafer, is processed through a lithographic apparatus, such as the lithographic cell of Figure 2, one or more times, to create a target including the periodic structures 32-35. At S2, using the inspection apparatus of Figure 7, an image of the periodic structures 32 to 35 is obtained using only one of the first order diffracted beams (say +1 order). At step S3, whether by changing the illumination mode, or changing the imaging mode, or by rotating substrate W by 180° in the field of view of the inspection apparatus, a second image of the periodic structures using the other first order diffracted beam (-1 order) can be obtained. Consequently the -1 order diffracted radiation is captured in the second image. [0086]Note that, by including only half of the first order diffracted radiation in each image, the ‘images’ referred to here are not conventional dark field microscopy images. The individual target features of the target periodic structures will not be resolved. Each target periodic structure will be represented simply by an area of a certain intensity level. In step S4, a region of interest (ROI) is identified within the image of each component target periodic structure, from which intensity levels will be measured. [0087]Having identified the ROI for each individual target periodic structure and measured its intensity, the asymmetry of the target, and hence overlay error, can then be determined. This is done (e.g., by the processor PU) in step S5 comparing the intensity values obtained for the normal and complementary diffraction order radiation for each target periodic structure 32-35 to identify their intensity asymmetry, e.g., any difference in their intensity. The term "difference" is not intended to refer only to subtraction. Differences may be calculated in ratio form. In step S6 the measured intensity asymmetries for a number of target periodic structures are used, together with knowledge of any known imposed overlay biases of those target periodic structures, to calculate one or more performance parameters of the patterning process in the vicinity of the target T. [0088]Figures 11A-11D show schematic cross sections of target periodic structures (overlay periodic structures), with different bias offsets. These can be used as the target T on substrate W, as seen in Figures 7-9. Periodic structures with periodicity in the X direction are shown for the sake of example only. Different combinations of these periodic structures with different biases and with different orientations can be provided separately or as part of a target. [0089]Starting with Figure 11A, a target 600 formed in at least two layers, labeled L1 and L2, is shown. In the lower or bottom layer L1, a first periodic structure (the lower or bottom periodic structure), for example a grating, is formed by features 602 and spaces 604 on a substrate 606. In layer L2, a second periodic structure, for example a grating, is formed by features 608 and spaces 610. (The cross-section is drawn such that the features 602, 608 (e.g., lines) extend into the page.) The periodic structure pattern repeats with a pitch P in both layers. Features 602 and 608 may take the form of lines, dots, blocks and via holes. In the situation shown at Figure 11A, there is no overlay contribution due to misalignment, e.g., no overlay error and no imposed bias, so that each feature 608 of the second structure lies exactly over a feature 602 in the first structure. [0090]At Figure 11B, the same target with a first known imposed bias +d is shown, such that the features 608 of the first structure are shifted by a distance d to the right, relative to the features of the second structure. The bias distance d might be a few nanometers in practice, for example 10 nm - 20 nm, while the pitch P is for example in the range 300 - 1000 nm, for example 500 nm or 600 nm. At Figure 11C, another feature with a second known imposed bias -d, such that the features of 608 are shifted to the left, is depicted. The value of d need not be the same for each structure. Biased periodic structures of this type shown at Figures 11A to 11C are described in the prior patent application publications mentioned above. [0091]Figure 11E schematically depicts, from the top, an example target 600 having sub-targets 612, 614, 616 and 618 comprising periodic structures in upper and lower layers such as depicted in Figures 11A-C. The lower layer is not shown in Figure 11E. In an embodiment, the sub-targets 612, 614, 616 and 618 are designed to measure overlay in two perpendicular directions (e.g., X and Y) and have an imposed bias d to facilitate that (as described above in respect of Figures 11B and 11C). While the embodiment of Figure 11E shows four sub-targets, there can be a different number and they can all be used to measure overlay in 1 direction or to measurement overlay in more than 2 directions. [0092]In an embodiment, sub-targets 612 and 614 are designed to together measure overlay in the X- direction. In an embodiment, sub-target 612 has a bias of +d, while sub-target 614 has a bias of -d. In an embodiment, sub-targets 616 and 618 are designed to together measure overlay in the Y-direction. In an embodiment, sub-target 616 has a bias of +d, while sub-target 618 has a bias of -d. [0093]Figure 11F depicts an example of a detected diffraction signal of the normal (e.g., +1st) order radiation from step S2 of a target 600 such as depicted in Figure 11E. Figure 11G depicts an example of a detected diffraction signal of the complementary (e.g., -1st) order radiation from step S3 of a target 6such as depicted in Figure 11E. For each periodic structure direction (X and Y) there are two periodic structures with opposite directions of intentional bias shown in Figures 11F and 11G by "+" (for +d bias) and "-" (for -d bias). So, X+ represents a detected diffraction signal from sub-target 612, X- represents a detected diffraction signal from sub-target 614, Y+ represents a detected diffraction signal from sub­target 618 and Y- represents a detected diffraction signal from sub-target 616. Thus, four diffraction intensity signals are detected per each periodic structure periodicity direction. [0094]Figure 11H is schematic depiction of a simple model for describing diffraction of radiation from a target (such as a sub-target 612, 614, 616 or 618) with a two-layer periodic structure (such as shown in Figures 11A-11C). The complex amplitude of diffracted radiation from the upper layer and lower layer are shown. Diffracted radiation from the lower layer includes a phase contribution from overlay. [0095]In Figure 12 a curve 702 illustrates the relationship between overlay OV and intensity asymmetry A for an ‘ideal’ target having zero offset and no structural asymmetry within the individual periodic structures forming the target, and in particular within the individual periodic structure of the first structure. Consequently, the target asymmetry of this ideal target comprises only an overlay contribution due to misalignment of the first structure and second structure resultant from a known imposed bias and overlay error OVE. This graph, and the graph of Figure 13, illustrate the principles behind the disclosure only, and in each graph, the units of intensity asymmetry A and overlay OV are arbitrary. Examples of actual dimensions will be given further below. [0096]In the ‘ideal’ situation of Figure 12, the curve 702 indicates that the intensity asymmetry A has a non-linear periodic relationship (e.g., sinusoidal relationship) with the overlay. The period P of the sinusoidal variation corresponds to the period or pitch P of the periodic structures, converted of course to an appropriate scale. The sinusoidal form is pure in this example, but can include harmonics in real circumstances. [0097]As mentioned above, biased periodic structures (having a known imposed overlay bias) can be used to measure overlay, rather than relying on a single measurement. This bias has a known value defined in the patterning device (e.g. a reticle) from which it was made, that serves as an on-substrate calibration of the overlay corresponding to the measured intensity asymmetry. In the drawing, the calculation is illustrated graphically. In steps S1-S5, intensity asymmetry measurements A+d and A-d are obtained for periodic structures having imposed biases +d and -d respectively (as shown in Figure 11B and Figure 11C, for example). Fitting these measurements to the sinusoidal curve gives points 704 and 706 as shown. Knowing the biases, the true overlay error OVE can be calculated. The pitch P of the sinusoidal curve is known from the design of the target. The vertical scale of the curve 702 is not known to start with, but is an unknown factor which can be referred to as a 1st harmonic proportionality constant, K. Thus, overlay sensitivity K is a measure of the sensitivity of the intensity asymmetry measurements to overlay. In an embodiment, it is a proportionality of the measured intensity with respect to overlay. It thus helps detect process dependency of overlay. [0098]In equation terms, the relationship between overlay error OVE and intensity asymmetry A is assumed to be: A±d = K sin(OVE±d) (1) where overlay error OVE is expressed on a scale such that the target pitch P corresponds to an angle 2n radians. Using two measurements of periodic structures with different, known biases (e.g. +d and -d), the overlay error OVE can be calculated using: 0VE = atan (־־^ק^ • tan(d)) (2) id="p-99" id="p-99"
[0099] Referring back to Figure 11H, the overlay OV (also referred to overlay error OVE) can also be evaluated as follows. Specifically, based on the model represented in Figure 11H, the intensities of the +1st and -1st order of diffracted radiation can be calculated as follows: (3)412 + B+12 + 2A+141 X cos [ A_1 + B_1 + 2A_1 B_1 x cos [0A_1 _ OB_1 + Oov ] A+1e‘°^ + B+1e‘( °B+' +°OV) A-1eiO^ + B-1e1(° B_ _°OV) 2I-1 TB is the rest of the phase difference between diffracted radiation from upper and lower layers, which is proportional to the thickness T of the layer between the upper and lower periodic structures and inversely proportional to the wavelength of the incident radiation.[00100] For convenience, the four intensities of one periodic structure direction (e.g. X) can be designated as follows: - PBN (+1st diffraction order from positive bias periodic structure)- PBC (-1st diffraction order from positive bias periodic structure)- NBN (+ 1st diffraction order from negative bias periodic structure)- NBC (-1st diffraction order from negative bias periodic structure) So, AIpb can be designated as PBN-PBC and AINB can be designated as NBN-NBC. Then, with the assumption that the amplitude and phase (excluding overlay phase) of diffracted waves from the +1st and -1st order radiation and also from positive bias and negative bias periodic structures are equal, and the optics of the metrology device is symmetric itself, a difference between intensity of+1st and -1st orderradiation is derived as AI = K. sin(OOV), with K being overlay proportionality equal to K = 4 A.B.sin( B). Hence, the overlay can be calculated as follows: (4)ןNBNB J+ AIAIpbAIpb2ndPtan|POV = — tan 2n id="p-101" id="p-101"
[00101]Now, Figure 11D shows schematically a phenomenon of structural asymmetry, in this case structural asymmetry in the first structure (lower or bottom structure asymmetry). The features in the periodic structures at Figures 11A to 11C, are shown as perfectly square-sided, when a real feature would have some slope on the side, and a certain roughness. Nevertheless they are intended to be at least symmetrical in profile. The features 602 and/or spaces 604 at Figure 11D in the first structure no longer have a symmetrical form at all, but rather have become distorted by one or more processing steps. Thus, for example, a bottom surface of each space has become tilted (bottom wall tilt). For example, side wall angles of the features and spaces have become asymmetrical. As a result of this, the overall target asymmetry of a target will comprise an overlay contribution independent of structural asymmetry (i.e., an overlay contribution due to misalignment of the first structure and second structure; itself comprised of overlay error and any known imposed bias) and a structural contribution due to this structural asymmetry in the target. [00102]When overlay is measured by the method of Figure 10 using only two biased periodic structures, the process-induced structural asymmetry cannot be distinguished from the overlay contribution due to misalignment, and overlay measurements (in particular to measure the undesired overlay error) become unreliable as a result. Structural asymmetry in the first structure (bottom periodic structure) of a target is a common form of structural asymmetry. It may originate, for example, in the substrate processing steps such as chemical-mechanical polishing (CMP), performed after the first structure was originally formed. [00103]Figure 13 shows a first effect of introducing structural asymmetry, for example the bottom periodic structure asymmetry illustrated in Figure 11D. The ‘ideal’ sinusoidal curve 702 no longer applies. However, at least approximately, bottom periodic structure asymmetry or other structural asymmetry has the effect of adding an intensity shift term K0 and a phase shift term $ to the intensity asymmetry A±d. The resulting curve is shown as 712 in the diagram, with label K0 indicating the intensity shift term, and label $ indicating the phase offset term. The intensity shift term K0 and phase shift term $ are dependent upon a combination of the target and a selected characteristic of the measurement radiation, such as the wavelength and/or polarization of the measurement radiation, and is sensitive to process variations. In equation terms, the relationship used for calculation in step S6 becomes: (5)A±d = K0 + K sin(OVE ± d + $) id="p-104" id="p-104"
[00104]Where there is structural asymmetry, the overlay model described by equation (2) will provide overlay error values which are impacted by the intensity shift term K0 and phase shift term and will be inaccurate as a consequence. The structural asymmetry will also result in differences in measurements of the same target using one or more different measurement parameters (e.g., wavelength of the measurement beam, polarization of the measurement beam, etc.), when mapping the overlay error, because intensity and phase shift are, e.g., wavelength and/or polarization dependent. [00105]The overlay calculations of modified step S6 rely on certain assumptions. Firstly, it is assumed intensity asymmetry behaves as a sine function of the overlay, with the period P corresponding to the grating pitch. These assumptions are valid for present overlay ranges. The number of harmonics can be designed to be small, because the small pitch-wavelength ratio only allows for a small number of propagating diffraction orders from the grating. However, in practice the overlay contribution to the intensity asymmetry due to misalignment may not necessarily be truly sinusoidal, and may not necessarily be completely symmetrical about OV = 0. [00106]So, the effect of structural asymmetry can be generally formulated as: (6) (7) A/ + = K (OV + d )+A/bg A/ _ = K (OV - d )+A/bg where AI. (also synonymous with A-) and AI+ (also synonymous with A+ ) represent the intensity asymmetry measured and AIBG is the contribution to the intensity asymmetry of the structural asymmetry. And so, the overlay error AOV can be considered as a function of AIBG / K. [00107]Now, it has been further discovered that, in addition to or alternatively to structural asymmetry in a target, a stack difference between adjacent periodic structures of a target or between adjacent targets may be a factor that adversely affects the accuracy of measurement, such as overlay measurement. Stack difference may be understood as an un-designed difference in physical configurations between adjacent periodic structures or targets. Stack difference causes a difference in an optical property (e.g., intensity, polarization, etc.) of measurement radiation between the adjacent periodic structures or targets that is due to other than overlay error, other than intentional bias and other than structural asymmetry common to the adjacent periodic structures or targets. Stack difference includes, but is not limited to, a thickness difference between the adjacent periodic structures or targets (e.g., a difference in thickness of one or more layers such that one periodic structure or target is higher or lower than another periodic structure or target designed to be at a substantially equal level), a refractive index difference between the adjacent periodic structures or targets (e.g., a difference in refractive index of one or more layers such that the combined refractive index for the one or more layers for one periodic structure or target is different than the combined refractive index for the one or more layers for of another periodic structure or target even though designed to have a substantially equal combined refractive index), a difference in material between the adjacent periodic structures or targets (e.g., a difference in the material type, material uniformity, etc. of one or more layers such that there is a difference in material for one periodic structure or target from another periodic structure or target designed to have a substantially same material), a difference in the grating period of the structures of adjacent periodic structures or targets (e.g., a difference in the grating period for one periodic structure or target from another periodic structure or target designed to have a substantially same grating period), a difference in depth of the structures of adjacent periodic structures or targets (e.g., a difference due to etching in the depth of structures of one periodic structure or target from another periodic structure or target designed to have a substantially same depth), a difference in width (CD) of the features of adjacent periodic structures or targets (e.g., a difference in the width of features of one periodic structure or target from another periodic structure or target designed to have a substantially same width of features), etc. In some examples, the stack difference is introduced by processing steps, such as CMP, layer deposition, etching, etc. in the patterning process. In an embodiment, periodic structures or targets are adjacent if within 200 ^m of each other, within 150 ^m of each other, within 100 ^m of each other, within 75 ^m of each other, within 50 ^m of each other, within 40 ^m of each other, within 30 ^m of each other, within 20 ^m of each other, or within ^m of each other. [00108]The effect of stack difference (which can be referred to as grating imbalance between gratings) can be generally formulated as: (8) (9) AI + =(K + AK )(OF + d ) AI _=(K -AK ](OF - d ) wherein AK represents a difference in the overlay sensitivity attributable to the stack difference. And so, the overlay error AOV can be proportional to — d. [00109]So, in order to characterize the stack difference, one or more stack difference parameters can be defined. As noted above, a stack difference parameter is a measure of the un-designed different physical configuration of the adjacent periodic structures or targets. In an embodiment, the stack difference parameter can be determined from evaluating cross-sections of the adjacent periodic structures or targets. [00110]In an embodiment, the stack difference parameter can be determined for lower adjacent gratings of a composite grating by evaluating the lower adjacent gratings before the upper gratings are applied. In an embodiment, the stack difference parameter can be derived from a reconstruction of the adjacent periodic structures or targets from optical measurements of the adjacent periodic structures or targets or from cross-sections of the adjacent periodic structures or targets. That is, the physical dimensions, characteristics, materials properties, etc. are reconstructed and the differences between the adjacent periodic structures or targets are determined to arrive at a stack difference parameter.[00111] An embodiment of the stack difference parameter is a periodic structure intensity imbalance (GI) which can be defined as: (8)j + d j — dj+d^ j—dGI = 2* where I+d is the average of the +1st diffraction order intensity signal diffracted by a first periodic structure having a +d bias, 7+d, and -1st diffraction order intensity signal diffracted by the first periodic structure having the +d bias, 7+d. Similarly, I_d is the average of the +1st diffraction order intensity signal diffracted by a second periodic structure having a -d bias, 7+d, and -1st diffraction order intensity signal diffracted by the second periodic structure having the -d bias, 7rd. In an embodiment, the periodicj+d_j—d i+d+J—dstructure intensity imbalance (GI) can be a derived version, such as j+d+!_d, j+d_j-d, etc.[00112] An issue with the method of overlay calculation described above is that assumptions thatwere made for its derivation often may not hold. For example, optical path properties and/or the source of radiation may not be completely symmetric between the normal and the complementary radiation; this can be mixed with actual diffraction intensities. Additionally or alternatively, the metrology target is not structurally symmetric. As noted above, this happens typically due to processing steps in the patterning process. The asymmetric behavior can occur between normal and complementary intensities, for instance, due to structural asymmetry of a lower periodic structure of the target (BGA) and/or due to a stack difference between positive bias and negative bias periodic structures (which can be characterized by a periodic structure intensity imbalance (GI)).[00113] To help resolve one or more of the errors arising out of such asymmetries, calibrationscan be used to, e.g., to handle, to some extent, asymmetry in optical path properties and/or the source of radiation. And, as for physical differences in the target (e.g., lower periodic structure structural asymmetry (BGA) and/or stack difference), one or more metrics (such as periodic structure intensity imbalance (GI) for stack difference) can be used to identify, e.g., a wavelength in which there is a lower chance of issue. For example, a "best" wavelength can be chosen based of indirect metrics that attempt to predict the good regions of wavelength spectrum. Such identification of a "best" wavelength is a challenging task though considering that sometimes the metrics do not agree. Moreover, the accuracy of overlay may not always be believed to be optimal even at the "best" selected wavelength. [00114] Thus, it is desirable to be able to address and/or correct for these errors using a newoverlay determination technique. Such an overlay determination technique can be used in various applications. A first example application is to derive a desired overlay value in high volume or during production, e.g., to derive overlay values as part of the execution of a patterning process for use in, e.g., control, design, etc. of the patterning process. Another example application is to derive an overlay value for use in design, control, etc. of a metrology process, e.g., to select a condition of the metrology process such as radiation wavelength used for measurement (and which metrology process can use a different overlay calculation technique such as the techniques described above with respect to equations (1)-(4)). [00115] In an embodiment of the new overlay determination technique, a mathematical model isused that considers several asymmetries in the radiation besides that caused by an overlay error and is designed to measure accurate overlay, e.g., being robust to target asymmetry and/or sensor asymmetry. In an embodiment, the model involves equations that are based on a plurality of different wavelengths. In an embodiment, a system of 16 equations is provided with 4 different wavelengths as variables thereof.Thus, in this embodiment, to derive an overlay value, measurements are obtained for 4 different wavelengths and the system of 16 equations is solved, wherein the equations have, e.g., 16 unknowns. [00116]An example of the set of equations is presented below that are based on 4 different wavelengths and for a target such as depicted in Figure 11E. In particular, it is for a particular overlay direction (e.g., X or Y direction) and the sub-targets associated with that overlay direction. For example, it can be for the combination of sub-targets 612 and 614 for measuring overlay in the X-direction, wherein sub-target 6has a bias of +d, while sub-target 614 has a bias of -d. Or, it can be for the combination of sub-targets 6and 618 for measuring overlay in the Y-direction, wherein sub-target 616 has a bias of +d, while sub­target 618 has a bias of -d). The system of equations comprises: (9)F = A2 + B2 + 2.A.B1.cosf* -—.(OV + d)1 - ^PBN-1V P ) a!./! (10)X,PBNa/*T - f'(OV+d)F2 = A + B2 + 2.A.Bj.cos (11)IT' PBNa/3 /F3 = A2 + B2 + 2.A.Bj.cos *.T-—.(OV + d)> T3 P (12) (13) (14) (15) (16) (17) (18) (19) (20) ץ j-z4PBNa!.y4F4 = A2 + Bj2 + 2.A.Bj.cos px.A- —.(OV + d)V A P F5 = A2 + B22 + 2.A.B2.cos( p2 +—.(OV + d)l -V P ^ «2.r: 2 ^ 1 ץ1PBCa2.y2P2. A +—.(OV + d)V A PF6 = A2 + B22 + 2.A.B2.cos PBCץ I a 73 2 ״P2. A + —. (OV + d)V A PF7 = A2 + B22 + 2.A.B2.cos PBCץ j a a 274P1.A+~^.(ov+d)- +V A PF8 = A2 + B22 + 2.A.B2.cos F9 = A2 + B32 + 2.A.B3.cosf^3 - — (OV-d)1 - ■^־VP V a! .71 ץ j a2J NBNa172A- A - ^.(OV - d)V A PF10 = A2 + B32 + 2.A.B3.cos A ־ 7 ץNBNa1T3&.A- —.(OV - d)V A PFjj = A2 + B32 + 2.A.B3.cos ץ j a,-,NBNa:.74&. A - —. (OV - d)V A pF12 = A2 + B32 + 2.A.B3.cos 20F13 = A2 + B42 + 2.A.B4.cos L ff4 + 2^.(OV-d)j -(21)a2 71 (22)NBCץ a2 72+ t (23)ץ I 7NBCa 27 3P4.7 + 7 (OV ־ d)V 43 PF15 = A2 + B42 + 2.A.B4.cos (24)ץ I 7NBCa2 .74A• 7-+^•(ov ־ d)V 7 pF16 = A2 + B42 + 2. A.B4. cos where F[,..., F16 are the functions for optimization (e.g., have their absolute values minimized), OV is the overlay, A!... A4 are the different wavelengths of the illumination measurement radiation used to illuminate the target for the measurements, A is amplitude of the diffracted waves from the upper periodic structure of the sub-targets, B1.B4 are the amplitudes of the diffracted waves from the lower periodic structures of the sub-target (in this case, there are 4 variables B, one associated with each combination of sub-target and diffraction order and can be different (e.g., independent) from each other (e.g., have different values) in certain ways as described further hereafter), P!... p4 are the phase differences arising between the radiation incident on the lower periodic structure and incident on the upper periodic structures (in this example, there are 4 variables P, one associated with each combination of sub-target and diffraction order and can be different from each other (e.g., have different values) in certain ways as described further hereafter), P is the pitch of the target, d is the bias of the target, a1 and a2 are factors accounting for sensor asymmetry error (in this example, there are 2 variables a, one associated with each diffraction order and can be different from each other (e.g., have different values) in certain ways as described further hereafter), y!... y4 are factors accounting for changes of illumination measurement radiation intensities among measurements by different wavelengths (specifically the intensity can change when measuring at different wavelengths since they would typically be done at different times and/or the intensity can change to obtain the different wavelengths and are equal in number with the number of wavelengths), and IPBN, IPBC, I^N, and INBC are the extracted mean intensities measured using radiation at the respectively identified wavelengths A1. A4 and corresponding respective to +1st diffraction order radiation from a positive bias periodic structure (e.g., sub-target 612)(PBN), -1st diffraction order from the positive bias periodic structure (e.g., sub-target 612) (PBC), +1st diffraction order from negative bias periodic structure (e.g., sub-target 614) (NBN), and -1st diffraction order from negative bias periodic structure (e.g., sub-target 614) (NBC). id="p-117" id="p-117"
[00117] While, in this example, 4 different wavelengths are used, a different number ofwavelengths could be used. For example, 2 wavelengths could be used provided various assumptions are made. As another example, more than 4 wavelengths could be used. Adding information from more wavelengths than 4 (or more than 2) can be used to increase the robustness of the model to variation. Additionally or alternatively, more wavelengths than 4 can be used to determine extra unknown parameters, such as spot inhomogeneity (specifically, the asymmetry from the sensor that differs between positive bias and negative bias intensities).[00118] In an embodiment, different sources of error can be taken into account in the system ofequations. For example, in an embodiment, sensor asymmetry between positive (e.g., +1st) order and negative (e.g., -1st) order radiation, structural asymmetry of the target, and/or stack difference within the target.[00119] In an embodiment, sensor asymmetry between positive (e.g., +1st) order and negative(e.g., -1st) order radiation is accounted for by having different variables a! and a2. In an embodiment, a! corresponds to the positive (e.g., +1st) order radiation and a2 corresponds to the negative (e.g., -1st) order radiation. Typically, a! and a2 will have different values when the equations are evaluated to determine the overlay.[00120] In an embodiment, structural asymmetry of the target is accounted for by having certaindifferent amplitude B variables and certain different p variables. In particular, in an embodiment, an amplitude variable (e.g., B! and/or B3) of radiation for a positive value of a certain diffraction order (e.g., +1st) of the measured radiation is different from an amplitude variable (e.g., B2 and/or B4 respectively for B! and/or B3) of radiation for a negative value of the certain diffraction order (e.g., -1st) of the measured radiation and at least a phase variable (e.g., P! and/or p3) of radiation for the positive value of the certain diffraction order of the measured radiation is different from a phase variable (e.g., p2 and/or prespectively for P! and/or p3) of radiation for the negative value of the certain diffraction order of the measured radiation. In an embodiment, B!־ B3, P! and/or p3 corresponds to +1st order radiation and B2, B4, p2 and/or p4 corresponds to -1st order radiation. Since typically some target asymmetry is present, B! and B2, B3 and B4, p! and p2, and p3 and p4 will have different values when the equations are evaluated to determine the overlay.[00121] In an embodiment, stack difference within the target is accounted for by having certaindifferent amplitude B variables and certain different p variables. In particular, in an embodiment, an amplitude variable (e.g., B! and/or B2) of radiation for a sub-target of the target with a positive bias (e.g., +d) is different from an amplitude variable (e.g., B3 and/or B4 respectively for B! and/or B2) of radiation for a sub-target of the target with a negative bias (e.g., -d) and at least a phase variable (e.g., p! and/or p2) of radiation for the sub-target of the target with the positive bias (e.g., +d) is different from a phase variable (e.g., p3 and/or p4 respectively for P! and/or p2) of radiation for a sub-target of the target with a negative bias (e.g., -d). In an embodiment, B1־ B2, P! and/or p2 corresponds to a sub-target of the target with a positive bias and B3, B4, p3 and/or p4 corresponds to a sub-target of the target with a negative bias.Since typically there is some stack difference present, B1 and B3, B2 and B4, p1 and p3, and p2 and p4 will have different values when the equations are evaluated to determine the overlay. [00122] In a further embodiment, the system of equations (9) -(24) may be formed such that thevariables corresponding to the amplitudes (such as A, B) are dependent on the illumination conditions, for example wavelength, and that the variables corresponding to the correction parameters (such as a1 and a2) are not necessarily dependent on the illumination conditions, for example wavelength. The system of equations (9) - (24) may comprise an additional offset constant added to each of the right hand terms of equations (9) - (24). [00123] So, to evaluate equations (9)-(24), the mean intensities of the target are extracted asdescribed above in respect of Figure 10 (e.g. by a pattern recognition method) for four different wavelengths. In particular, in an embodiment, IPBN, IPBC, Inbn, and INBC are obtained for each of A!... Ayielding 16 intensity values. Further, the pitch P, bias d and wavelength values A1. A4 are known in the equations. So, there are 16 unknowns - overlay OV, amplitude A, amplitudes B1.B4 , phase differences P1. p4, sensor asymmetry error factors a! and a2 , and illumination measurement radiation intensity factors y!. y4. Then, the equations (9)-(24) are solved using a technique to solve nonlinear equations to arrive at a value of at least overlay OV. [00124] So, in an embodiment, in order to obtain the parameters of the model (and derive a valueof overlay OV), an optimization problem of the equations can be formulated and solved using one or more known nonlinear equation solving techniques. One or more various algorithms can be used to solve the optimization problem, such as the interior-point and the trust-region reflective algorithms.Furthermore, analytical calculation of the gradient of the objective function and supplying the optimization algorithm with that calculated gradient, can significantly increase the speed of convergence and accuracy of the results. [00125] A particular non-limiting example of a technique to solve the equations is now discussed.For a more clear presentation of the final optimization problem, several auxiliary variables are defined, namely: Fl=a1 • y • F i e {1,2,3,4} , F = a2 • y_4 • F i e {5,6,7,8}F[=a1 •y_5 • F i e {9,10,11,12} , F=a2 • y _12 • F i e {13,14,15,16} So, the optimization problem can be written as the following objective function: 16 r r7 ץ ץF■ ( x)= min ^i=1 v ■ y F '( x)min J (x) = min subject to: lb < x < ub where ^PBN , aPBCPBN ׳ • י^ ,ov } '4 >/1 ,/2 ,/3 ,/4 ,a1 ,a2x = {A B1 5 B2 5 B3 5 B4 5 P1 , P2 , ^3 י P x } and lb and ub are respectively the lower and upper bounds of variables, defined in order to tighten the search space of the optimization algorithm. The bounds are pre-determined based on the physicalinterpretation of the variables, e.g. A , B ■ representing the amplitudes of the diffracted waves and P representing the phase difference of the diffracted waves between the two layers. [00126] In order to efficiently solve this nonlinear constrained optimization problem, in anembodiment, nonlinear optimization algorithms are combined along with some mathematical techniques to avoid reaching only the local optima and to increase the speed of convergence. The following provides an overview of the algorithm and the steps taken to solve the problem: 1. Define the bounds lb, ub based on physical knowledge of values of parameters.lb■ + ub■ ub■ - lb■(xi). The resulting optimization 2. Replace xi in the objective function by2problem (based on the new variables xi) is therefore unconstrained. 3. Calculate the Jacobian of F':8F'8F2 8F'168x 8x'׳ dx8F׳ 8F2 8F'1 68x'2 8x'2 8x'2 OF'׳ 8F2' 8F'1 68x16 8x16 8x16 VF '( x׳) = + cos 4. While n < N : 4.1 Draw an initial point x'(0) from Uniform(0,n) .4.2 For k > 0Calculate x'(k +1) using a modified Levenberg-Marquardt iteration algorithm: x' (k +1) = x '(k)-[^1 + VF '(x'(k))v tF '(x'(k))j VF '(x'(k))F '(x' (k)) Compute the gradient of the objective function: VJ (x(k +1)) = 2VF' (x(k +1)) F' (x(k +1)) and take the maximum absolute value of the gradient vector as a stopping criterion if it is very close to zero. Otherwise, take the relative change in the value of x or the objective function as a stopping criterion.* *4.3 Store the local optimal solution x and J corresponding to loop iteration n. Also report the corresponding stopping criterion that is met. Increase the outer loop counter (used for the multi-start of optimization) to n+1.*5. Calculate the minimum of the optimal objective functions J (obtained for random initial points in the previous step). For the minimum of the optimal values, check whether the corresponding stopping criterion was the gradient-related one (i.e., gradient being very close to zero). In this case, report this objective value and the corresponding optimal point as the possible global solution.[00127] The above disclosure describes obtaining a system of equations (e.g., Equations (9) to(24) by performing multiple measurements of a target with multiple wavelengths (e.g., X!... in the aforementioned equations). However. wavelength is only one example of an illumination condition which may be varied to obtain the system of equations. As such, the concepts described herein may be more generally applied to varying an illumination condition of the illumination radiation. For example, other illumination conditions which may be varied include polarization or angle of incidence.[00128] The reason that combining images at different wavelengths is useful lies in the fact thatmany of the model parameters are wavelength-dependent (dependencies which are grouped together in the so-called swing curve as will be described in more detail later). Therefore, the images at different wavelengths can be thought of as independent samplings of the sensor and target taken together (so with all error sources and overlay combined). This independence is key: each image provides unique information, which can be combined and separated by a well-chosen model. Measurements at different polarizations also highlight different interactions of light with the stack, and therefore are (at least partially) independent. Measurements relating to different angles of incidence may require further consideration, as will now be explained. [00129] In many metrology devices, such as that depicted in Figure 7A, it is the aperture 13 thatdetermines the illumination profile and therefore which angles of incidence are transmitted to the target. As with the wavelength, the angle of incidence is a big contributor to the swing curve (which is caused by interference of waves and material properties in the stack), and therefore different angles of incidence (i.e. different points in the pupil) can provide independent samplings of the system. The concept of the swing curve will be described in more detail below. Ideally, each wave should be sampled independently (i.e., by scanning a laser over different angles). However, a typical metrology illumination source emits a continuum of waves at different angles simultaneously (since it is a partially coherent source). The sampling of the pupil is thus currently governed by the aperture. Many of the available apertures have overlapping illumination profiles with respect to each other, and thus do not provide fully independent samples. This is fundamentally different from images taken at different wavelengths, where the wavelengths have no, or negligible, spectral overlap. [00130] Therefore, a number of methods will be described for which the varied illuminationcondition is extended to angle of incidence. Such methods may significantly increase the number of independent images which may be fed into a multi-image overlay extraction algorithm such as that described by Equations (9) to (24). The central idea is that separate acquirements are performed, using the smallest possible unique sections of the pupil. This may be implemented in many different ways, of which a few will be illustrated. [00131] A first method, which requires no hardware change, comprises acquiring images usingthe apertures presently available and making linear combinations of the intensities acquired, thereby creating essentially independent pupil samplings. This is a valid approach, since the images are formed by incoherent sums of all participating waves. For instance, one could acquire an image A using a first aperture plate defining a first illumination profile, and acquire image B using a second aperture plate defining a second illumination profile, wherein the first and second illumination profiles overlap such that the first illumination profile is (spatially) contained entirely within the second illumination profile. Once the images have been properly aligned, the difference of acquired image B and acquired image A could be determined so as to obtain a new derived image C (e.g., after careful normalization, by for example the energy sensor reading). As such, Image C will (mostly) contain information from the waves outside of the first aperture profile, but inside the second aperture profile. Therefore, acquired image A and derived image C should be substantially independent and can be used in the algorithm described by Equations (9) to (24), where the term ^n will now denote different images and therefore different angles of incidence. As such, from the different aperture plates which may be available, different bases of independent pupil samplings can be constructed. It may be noted that, while the linear combination example above comprises a difference between 2 images, the concept also applies to using a linear combinations of more than 2 images, so as to obtain different bases which better match the actual swing curve of the target. [00132] An advantage of this method is that the aperture plates used for each measurement can bechosen to be larger than the sampled regions, meaning that blurring and edge effects are kept to a minimum in the images, and it requires no hardware change. [00133] In another method, the aperture profile may be selectable to define non-overlappingmultiple profiles. For example, an aperture profile (or multiple aperture profiles) could be added to the Illumination Mode Selector (IMS), which can be moved continuously across the pupil by rotating the IMS wheel in small steps. This way, the pupil can be continuously sampled, and optimal samplings may be identified. This provides more flexibility than the first solution, since the regions of the aperture profiles that are sampled are not fixed. Also, any image blurring due to these small aperture profiles will be the same across the samplings. Several such aperture profiles of different lengths could be combined the same way as described in the first approach, giving an even finer basis. Note that the apertures should not become so small as to blur the dark-field images too much. [00134] The above solutions require sequential acquisitions, which requires additional time,because they block large pupil areas before the objective lens. However, parallel acquirements of waves travelling at different angles can be obtained by using a wedge prism that projects different parts of the pupil to different regions of the sensing camera (e.g., 4 quadrants measured in a single-shot). Taking this wedge idea further, by projecting smaller regions of the pupil quadrants to separate regions of the sensing camera, "hyper-angular" measurements are possible, synonymous to parallel wavelengths being measured in a "hyper-spectral" setup. Such a system could be implemented using a finely segmented wedge or a spatial light modulator (SLM), which alters the phase of the light on a per-pixel basis. The SLM could replace the wedge, allowing for a dynamic choice of pupil regions to be sampled simultaneously (this might require a larger total CCD area at equal pixel density than is currently used for the sensing camera, to maintain proper resolution). [00135] It has been found that the behavior of parameter values for different targets on a substrateare comparable and seems stable. Thus, it is possible to use the results from a few targets to limit the range of the search space for parameter optimization, which can significantly boost the convergence speed of the optimization algorithm. [00136] So, referring to Figure 14, an embodiment of a method involving the multiple wavelengthtechnique described above is schematically depicted. At 1400, a system of equations is provided as described herein. For example, the system of equations can have 16 or more equations and be a function of overlay and measurement radiation wavelength. At 1410, radiation values are obtained for use in solving the system of equations. In an embodiment, the radiation values can be measured from a physical target on a physical substrate. In an embodiment, the radiation values can be determined by a simulator that simulates illuminating the metrology target and detecting radiation redirected by the metrology target. At 1420, the radiation values are used with the system of equations to solve for one or more parameters of the equations. In an embodiment, a solved for parameter is overlay. In an embodiment, one or more the optimization techniques described above can be used. At 1430, an application is made of the solved for one or more parameters. For example, the solved for parameter can be overlay determined at high volume or as part of production and can be used to, e.g., control, design, etc. an aspect of a patterning process. As another example, the solved for parameter can be overlay determined for use in design, control, etc. of a metrology process, e.g., to select a condition of the metrology process such as radiation wavelength used for measurement (and which metrology process can use a different overlay calculation technique such as the techniques described above with respect to equations (1)-(4)). An example of the use of determined overlay for use in design, control, etc. of a metrology process is described below in relation to Figure 15. [00137] In sum, there is provided a new physical model for determining accurate overlay that isbased on considering several parameters of the radiation scattering problem from a diffraction metrology target. This method can provide a more accurate determination of overlay and/or provide a robust calculation of overlay. In an embodiment, this is achieved based on a mathematical description of the scattering problem, wherein for each periodic structure of a plurality of periodic structures and for two diffraction orders of wave formation, a set of parameters of a system of non-linear equations is formed comprising a plurality of wavelengths and overlay as at least some of such parameters. With this description, it can be sufficient to measure 2 diffraction orders of at least 2 periodic structures (with periodic structures having respectively a positive and negative bias) at a plurality of different wavelengths (e.g., 4 different wavelengths) to obtain a plurality of radiation values (e.g., 16 measured or simulated intensity values). With at least the plurality of radiation values and the wavelengths as known values, the system of non-linear equations can be solved, wherein a number of the parameters of the scattering problem, including overlay, are the unknowns. [00138] Moreover, the system of equations can uniquely take into account the effects of structuralasymmetry, stack difference and/or sensor asymmetry when calculating overlay. That is, one or more parameters of the system of equations are configured as described herein so as to incorporate the effect of structural asymmetry, stack difference and/or sensor asymmetry in the determination of the overlay value. Thus, this method can provide a more accurate estimation of overlay. Moreover, for sensor asymmetry, this method when configured with one or more parameters directed to sensor asymmetry as described herein may avoid a need for extra information from substrate rotation of 180 degrees used to eliminate sensor asymmetry. This is because the overlay determination can directly account for sensor asymmetry by having one or more parameters directed to sensor asymmetry in the system of equations.

Claims (23)

1.270315/
2.CLAIMS: 1. A method of determining a patterning process parameter from a metrology target, the method comprising: obtaining a plurality of values of diffraction radiation from the metrology target, each value of the plurality of values corresponding to a different illumination condition of a plurality of illumination conditions of illumination radiation for the target; and using, by a hardware computer system, the combination of values to determine a same value of the patterning process parameter for the target by solving a system of equations comprising each of the plurality of illumination conditions as a variable of at least one equation of the system of equations. 2. The method of claim 1, wherein the values of diffraction radiation are obtained for each of at least four illumination conditions of the plurality of illumination conditions.
3. The method of claim 1, wherein the target comprises at least two sub-targets, each sub-target having a different bias and each of the values correspond to diffraction radiation from a particular sub-target.
4. The method of claim 1, wherein the values separately correspond to radiation of a positive value of a certain diffraction order of the diffraction radiation and to radiation of a negative value of the certain diffraction order of the diffraction radiation.
5. The method of claim 1, wherein the system of equations comprises at least 16 equations.
6. The method of claim 1, wherein the system of equations comprises at most 16 unknowns.
7. The method of claim 1, wherein the target comprises an upper periodic structure and a lower periodic structure, wherein each equation of the system of equations comprises one or more terms that are a function of a variable representing amplitude of radiation from the lower periodic structure of the target and a variable representing phase of radiation from the target, and wherein at least an amplitude variable of radiation for a positive value of a certain diffraction order of the diffraction radiation is different from an amplitude variable of radiation for a negative value of the certain diffraction order of the diffraction radiation and at least a phase variable of radiation for the positive value of the certain diffraction order of the diffraction radiation is different from a phase variable of radiation for the negative value of the certain diffraction order of the diffraction radiation.
8. The method of claim 1, wherein the target comprises a sub-target of the target with a positive bias of a periodic structure and a sub-target of the target with a negative bias of a periodic structure, wherein each equation of the system of equations comprises one or more terms that are a function of a variable representing amplitude of radiation from the target and a variable representing phase of radiation from the target, and wherein at least an amplitude variable of radiation for the sub-target with the positive bias is different from an amplitude variable of radiation for the sub-target with the 55 270315/ negative bias and at least a phase variable of radiation for the sub-target with the positive bias is different from a phase variable of radiation for the sub-target with the negative bias.
9. The method of claim 1, wherein each equation of the system of equations comprises one or more terms that are a function of a variable representing sensor asymmetry error.
10. The method of claim 9, wherein at least a sensor asymmetry error variable of radiation for a positive value of a certain diffraction order of the diffraction radiation is different from a sensor asymmetry error variable of radiation for a negative value of the certain diffraction order of the diffraction radiation.
11. The method of claim 1, wherein solving the system of equations comprises solving a nonlinear system of equations to arrive at the value of the patterning process parameter.
12. The method of claim 1, wherein the patterning process parameter is overlay.
13. The method of claim 1, wherein the values of diffraction radiation are diffraction values obtained from measurement of the metrology target on a substrate processed using a patterning process.
14. The method of claim 1, wherein the values of diffraction radiation are diffraction values obtained from simulation of the measurement of the metrology target.
15. The method of claim1, wherein the illumination condition comprises wavelength and/or polarization.
16. The method of claim 1, wherein the illumination condition comprises angle of incidence with respect to the target.
17. The method of claim 16, wherein the plurality of values of diffraction radiation include those which each relate to a corresponding image, each of the images corresponding to a different non-overlapping illumination profile of the illumination radiation.
18. The method of claim 17, wherein the images include one or more derived images, each of the one or more derived images being obtained from a linear combination of two or more acquired images so as to remove information relating to portions of an acquisition illumination profile of at least one of the acquired images which overlaps with an acquisition illumination profile relating to another of the acquired images, the acquisition illumination profiles comprising the actual illumination profiles used in acquisition of the acquired images.
19. A non-transitory computer program product comprising machine-readable instructions that, when executed by a processor system, are configured to cause the processor system to at least: obtain a plurality of values of diffraction radiation from a metrology target, each value of the plurality of values corresponding to a different illumination condition of a plurality of illumination conditions of illumination radiation for the target; and use the combination of values to determine a same value of a patterning process parameter for the target by solving of a system of equations comprising each of the plurality of illumination conditions as a variable of at least one equation of the system of equations. 56 270315/
20. A system comprising: an inspection apparatus configured to provide a beam of radiation on a metrology target on a substrate and to detect radiation diffracted by the target; and the non-transitory computer program product of claim 19.
21. The system of claim 20, further comprising a lithographic apparatus comprising a support structure configured to hold a patterning device to modulate a radiation beam and a projection optical system arranged to project the modulated radiation beam onto a radiation-sensitive substrate.
22. A non-transitory computer program product comprising machine-readable instructions that, when executed by a processor system, are configured to cause the processor system to at least: obtain a plurality of values of diffraction radiation from a metrology target, each value of the plurality of values corresponding to each of at least four different illumination conditions of illumination radiation for the target, each of the at least four different illumination conditions being of same illumination parameter type; and use the combination of values to determine a same value of a patterning process parameter for the target.
23. The computer program product of claim 22, further solving a system of equations comprising each of the at least four different illumination conditions as a variable of at least one equation of the system of equations.
IL270315A 2017-05-03 2018-04-10 Metrology parameter determination and metrology recipe selection IL270315B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762501047P 2017-05-03 2017-05-03
EP18152479 2018-01-19
PCT/EP2018/059183 WO2018202388A1 (en) 2017-05-03 2018-04-10 Metrology parameter determination and metrology recipe selection

Publications (3)

Publication Number Publication Date
IL270315A IL270315A (en) 2019-12-31
IL270315B1 IL270315B1 (en) 2023-08-01
IL270315B2 true IL270315B2 (en) 2023-12-01

Family

ID=61899316

Family Applications (1)

Application Number Title Priority Date Filing Date
IL270315A IL270315B2 (en) 2017-05-03 2018-04-10 Metrology parameter determination and metrology recipe selection

Country Status (5)

Country Link
JP (1) JP7000454B2 (en)
KR (1) KR102326192B1 (en)
CN (1) CN110603490B (en)
IL (1) IL270315B2 (en)
TW (2) TWI799893B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11333982B2 (en) * 2019-01-28 2022-05-17 Kla Corporation Scaling metric for quantifying metrology sensitivity to process variation
CN113906345A (en) * 2019-05-03 2022-01-07 Asml荷兰有限公司 Method for determining alignment model based on tilt fitting technique
JP7365510B2 (en) * 2020-01-29 2023-10-19 エーエスエムエル ネザーランズ ビー.ブイ. Measurement method and device for measuring periodic structures on substrates
JP2023551776A (en) * 2020-12-08 2023-12-13 エーエスエムエル ネザーランズ ビー.ブイ. Metrology methods and related equipment
CN117516882A (en) * 2023-11-08 2024-02-06 上海市第一人民医院 Portable visual optical prism degree detection device and method

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7279258B2 (en) * 2004-03-12 2007-10-09 Infineon Technologies Richmond, Lp Method and arrangement for controlling focus parameters of an exposure tool
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
IL217843A (en) * 2011-02-11 2016-11-30 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2010717A (en) * 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
KR101967723B1 (en) * 2012-07-05 2019-04-10 에이에스엠엘 네델란즈 비.브이. Metrology for lithography
WO2015101461A2 (en) * 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
CN107771271B (en) * 2015-04-21 2020-11-06 Asml荷兰有限公司 Metrology method and apparatus, computer program and lithographic system

Also Published As

Publication number Publication date
CN110603490A (en) 2019-12-20
TWI799893B (en) 2023-04-21
TWI756417B (en) 2022-03-01
TW202212987A (en) 2022-04-01
KR20190142390A (en) 2019-12-26
IL270315B1 (en) 2023-08-01
TW201843534A (en) 2018-12-16
KR102326192B1 (en) 2021-11-15
IL270315A (en) 2019-12-31
CN110603490B (en) 2022-12-30
JP7000454B2 (en) 2022-02-04
JP2020518848A (en) 2020-06-25

Similar Documents

Publication Publication Date Title
US10845707B2 (en) Determination of stack difference and correction using stack difference
US10635004B2 (en) Correction using stack difference
US20200218166A1 (en) Metrology recipe selection
US20190064677A1 (en) Metrology method, target and substrate
US11448974B2 (en) Metrology parameter determination and metrology recipe selection
US10451978B2 (en) Metrology parameter determination and metrology recipe selection
US11300883B2 (en) Method to determine a patterning process parameter
US10620550B2 (en) Metrology method and apparatus
IL270315B1 (en) Metrology parameter determination and metrology recipe selection
EP3460574A1 (en) Method to determine a patterning process parameter