JP7000454B2 - Metrology parameter determination and metrology recipe selection - Google Patents

Metrology parameter determination and metrology recipe selection Download PDF

Info

Publication number
JP7000454B2
JP7000454B2 JP2019557563A JP2019557563A JP7000454B2 JP 7000454 B2 JP7000454 B2 JP 7000454B2 JP 2019557563 A JP2019557563 A JP 2019557563A JP 2019557563 A JP2019557563 A JP 2019557563A JP 7000454 B2 JP7000454 B2 JP 7000454B2
Authority
JP
Japan
Prior art keywords
radiation
target
overlay
metrology
value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019557563A
Other languages
Japanese (ja)
Other versions
JP2020518848A (en
Inventor
ジャヴァエリ,ナージェス
ハジャーマディ,モハマドレザ
ズヴァイヤー,オージェ,ビクター
サンギネッティ,ゴンザーロ,ロベルト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority claimed from PCT/EP2018/059183 external-priority patent/WO2018202388A1/en
Publication of JP2020518848A publication Critical patent/JP2020518848A/en
Application granted granted Critical
Publication of JP7000454B2 publication Critical patent/JP7000454B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01MTESTING STATIC OR DYNAMIC BALANCE OF MACHINES OR STRUCTURES; TESTING OF STRUCTURES OR APPARATUS, NOT OTHERWISE PROVIDED FOR
    • G01M11/00Testing of optical apparatus; Testing structures by optical methods not otherwise provided for
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01MTESTING STATIC OR DYNAMIC BALANCE OF MACHINES OR STRUCTURES; TESTING OF STRUCTURES OR APPARATUS, NOT OTHERWISE PROVIDED FOR
    • G01M11/00Testing of optical apparatus; Testing structures by optical methods not otherwise provided for
    • G01M11/02Testing optical properties
    • G01M11/0242Testing optical properties by measuring geometrical properties or aberrations
    • G01M11/0257Testing optical properties by measuring geometrical properties or aberrations by analyzing the image formed by the object to be tested
    • G01M11/0264Testing optical properties by measuring geometrical properties or aberrations by analyzing the image formed by the object to be tested by using targets or reference patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Geometry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Description

関連出願の相互参照
[0001] 本願は、2017年5月3日出願の米国特許出願第62/501,047号及び2018年1月19日出願の欧州特許出願第18152479.4号の優先権を主張するものであり、これらの特許文献の全体を参照により本明細書に援用する。
Cross-reference of related applications
[0001] This application claims the priority of US Patent Application No. 62 / 501,047 filed May 3, 2017 and European Patent Application No. 18152479.4 filed January 19, 2018. , All of these patent documents are incorporated herein by reference.

[0002] 本開示は、例えば、リソグラフィ技術によるデバイスの製造に使用可能な検査(例えば、メトロロジ)のための方法及び装置と、リソグラフィ技術を使用してデバイスを製造する方法と、に関する。 [0002] The present disclosure relates to, for example, methods and devices for inspection (eg, metrology) that can be used to manufacture devices by lithographic techniques, and methods of manufacturing devices using lithographic techniques.

[0003] リソグラフィ装置は、基板に、通常は基板のターゲット部分に所望のパターンを付加する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造で使用することができる。その際に、代替としてマスク又はレチクルとも称されるパターニングデバイスを使用して、ICの個々の層に形成される回路パターンを発生させることができる。このパターンは、基板(例えば、シリコンウェーハ)のターゲット部分(例えば、1つ又は複数のダイの一部を含む)に転写することができる。パターンの転写は通常、基板に設けられた放射感応性材料(レジスト)層への結像による。一般に、単一の基板は、連続的にパターン形成された、隣接したターゲット部分のネットワークを含む。 [0003] A lithographic device is a machine that adds a desired pattern to a substrate, usually to a target portion of the substrate. Lithographic devices can be used, for example, in the manufacture of integrated circuits (ICs). In doing so, a patterning device, also referred to as a mask or reticle, can be used as an alternative to generate circuit patterns formed in the individual layers of the IC. This pattern can be transferred to a target portion (eg, including a portion of one or more dies) of a substrate (eg, a silicon wafer). The transfer of the pattern is usually by imaging on a radiation sensitive material (resist) layer provided on the substrate. Generally, a single substrate contains a network of continuously patterned, adjacent target portions.

[0004] パターニングプロセス(すなわち、パターニング(リソグラフィ露光又はインプリントなど)を含む、デバイス又は他の構造を作成するプロセス。通常、レジストの現像やエッチングなど、1つ又は複数の関連する処理ステップを含むことがある)を可能にするための重要な側面として、このプロセス自体を開発すること、監視及び制御できるようにこのプロセスをセットアップすること、次いでプロセス自体を実際に監視して制御することが挙げられる。パターニングデバイスパターン、レジストタイプ、リソグラフィ後のプロセスステップ(例えば現像やエッチング)などのパターニングプロセスの原理構成を仮定すると、基板上にパターンを転写するためのパターニングプロセスにおいて装置をセットアップし、1つ又は複数のメトロロジターゲットを現像してプロセスを監視し、メトロロジプロセスをセットアップしてメトロロジターゲットを測定し、次いで測定量に基づいてプロセスを監視及び/又は制御するプロセスを実施することが望ましい。 [0004] The process of creating a device or other structure, including patterning (ie, patterning (such as lithography exposure or imprinting)), usually comprising one or more related processing steps such as developing or etching a resist. An important aspect to enable) is to develop the process itself, set it up for monitoring and control, and then actually monitor and control the process itself. Be done. Patterning Device Assuming the principle configuration of the patterning process such as pattern, resist type, post-lithographic process steps (eg development and etching), one or more devices are set up in the patterning process for transferring the pattern onto the substrate. It is desirable to develop a metrology target to monitor the process, set up the metrology process to measure the metrology target, and then carry out the process of monitoring and / or controlling the process based on the measured amount.

[0005] したがって、パターニングプロセスでは、構造のクリティカルディメンジョン(CD)や、基板内又は基板上に形成される連続層間のオーバーレイ誤差(すなわち、望ましくない意図していない連続層のミスアライメント)など、1つ又は複数の対象のパラメータを決定すること(例えば、測定することや、パターニングプロセスの1つ又は複数の側面をモデル化する1つ又は複数のモデルを使用してシミュレートすること)が望ましい。 [0005] Therefore, in the patterning process, the critical dimensions of the structure (CD), overlay errors between continuous layers formed in or on the substrate (ie, undesired and unintended continuous layer misalignment), etc. It is desirable to determine the parameters of one or more objects (eg, to measure or to simulate using one or more models that model one or more aspects of the patterning process).

[0006] パターニングプロセスによって作成された構造に対して、そのような1つ又は複数の対象のパラメータを決定し、パターニングプロセスに関する設計、制御、及び/又は監視のため、例えばプロセスの設計、制御、及び/又は検証のためにこのパラメータを使用することが望ましい。パターン形成された構造の決定された1つ又は複数の対象のパラメータは、パターニングプロセスの設計、補正、及び/又は検証、欠陥検出又は分類、歩留まり推定、及び/又はプロセス制御のために使用することができる。 [0006] For the structure created by the patterning process, the parameters of one or more such objects are determined and for the design, control and / or monitoring of the patterning process, eg, process design, control, etc. And / or it is desirable to use this parameter for verification. The parameters of one or more determined objects of the patterned structure shall be used for designing, correcting and / or verifying, defect detection or classification, yield estimation, and / or process control of the patterning process. Can be done.

[0007] したがって、パターン形成プロセスでは、多くの場合、例えば、プロセス制御及び検証を行うために、形成された構造の測定を行うのが好ましい。クリティカルディメンジョン(CD)を測定するのにしばしば使用される走査電子顕微鏡と、デバイスの2つの層のアライメント精度の尺度であるオーバーレイを測定する専用ツールとを含む、上記の測定を行う様々なツールが公知である。オーバーレイは、2つの層間のミスアライメントの度合いによって表すことができ、例えば、測定された1nmのオーバーレイという表現は、2つの層が1nmだけずれた状態を表すことができる。 [0007] Therefore, in the pattern formation process, it is often preferable to measure the formed structure, for example, for process control and verification. Various tools for making the above measurements include a scanning electron microscope often used to measure critical dimensions (CDs) and a dedicated tool for measuring overlays, which is a measure of the alignment accuracy of the two layers of the device. It is known. The overlay can be represented by the degree of misalignment between the two layers, for example, the expression measured 1 nm overlay can represent a state in which the two layers are offset by 1 nm.

[0008] 様々な形態の検査装置(例えば、メトロロジ装置)が、リソグラフィ分野で使用するために開発された。これらのデバイスは、ターゲットの対象となる特性を求めることを可能にする「スペクトル」を得るために、放射ビームをターゲットに誘導し、再誘導された(散乱)放射線の1つ又は複数の特性、例えば、単一の反射角における波長に応じた強度照度、1つ又は複数の波長における反射角に応じた強度照度、又は反射角に応じた偏光を測定する。対象となる特性は、様々な技術、例えば、厳密結合波分析又は有限要素法などの反復手法によるターゲットの再現、ライブラリ検索、及び主成分分析によって求めることができる。 [0008] Various forms of inspection equipment (eg, metrology equipment) have been developed for use in the lithographic field. These devices direct a radiating beam to the target and one or more characteristics of the re-guided (scattered) radiation, in order to obtain a "spectrum" that allows the target characteristics to be determined. For example, the intensity illuminance according to the wavelength at a single reflection angle, the intensity illuminance according to the reflection angle at one or a plurality of wavelengths, or the polarization according to the reflection angle is measured. The properties of interest can be determined by a variety of techniques, such as target reproduction, library search, and principal component analysis by iterative techniques such as tightly coupled wave analysis or the finite element method.

[0009] さらなる技法は、(鏡面反射に対応する)0次回折を阻止することを伴い、より高次の回折のみが処理される。そのようなメトロロジの例は、国際公開第2009/078708号及び国際公開第2009/106279号で見ることができ、これらの特許文献全体を参照により本明細書に援用する。この技法のさらなる発展形態は、米国特許出願公開第2011/0027704号、米国特許出願公開第2011/0043791号、及び米国特許出願公開第2012/0242940号に記載されており、これらの各特許出願全体を参照により本明細書に援用する。通常、そのような回折ベースの技法は、オーバーレイを測定するために使用される。技法のためのターゲットは、照明スポットよりも小さくてよく、基板上の製品構造によって囲まれていてもよい。ターゲットは、複数の周期構造を備えることができ、これらは1つの像で測定することができる。そのようなメトロロジ技法の特定の形態では、オーバーレイ測定結果は、通常の回析次数(例えば+1次)、及び相補的な回析次数(例えば-1次)の強度を別個に得るためにターゲットを回転させながら、又は照明モード若しくは結像モードを変更しながら、特定の条件下でターゲットを2回測定することによって得られる。所与のターゲットに関する強度非対称性、これらの回折次数強度の比較は、ターゲット非対称性、すなわちターゲットにおける非対称性の測定を提供する。オーバーレイ誤差の指標として、ターゲットにおけるこの非対称性を使用することができる。 [0009] A further technique involves blocking 0th order diffraction (corresponding to specular reflection) and only higher order diffraction is processed. Examples of such metrology can be found in WO 2009/07878 and WO 2009/106279, which are incorporated herein by reference in their entirety. Further developments of this technique are described in U.S. Patent Application Publication No. 2011/0027704, U.S. Patent Application Publication No. 2011/0043791, and U.S. Patent Application Publication No. 2012/02424940, and each of these patent applications as a whole. Is incorporated herein by reference. Usually such diffraction-based techniques are used to measure overlays. The target for the technique may be smaller than the illumination spot and may be surrounded by the product structure on the substrate. The target can have multiple periodic structures, which can be measured in one image. In certain embodiments of such metrology techniques, overlay measurement results are targeted to separately obtain the intensity of the normal diffractive order (eg +1 order) and the complementary diffractive order (eg -1st order). It is obtained by measuring the target twice under certain conditions while rotating or changing the illumination mode or imaging mode. Intensity asymmetry with respect to a given target, comparison of these diffraction order intensities, provides a measurement of target asymmetry, i.e., asymmetry at the target. This asymmetry at the target can be used as an indicator of overlay error.

[0010] オーバーレイ測定の例では、上記の技法は、オーバーレイ(すなわち、オーバーレイ誤差及び意図的なバイアス)が、ターゲットにおけるターゲット非対称性の唯一の原因であるという仮定に依拠する。上層及び/又は下層における周期構造内のフィーチャの構造的非対称性や、センサを使用した測定における非対称性など、ターゲット又は測定における任意の他の非対称性は、1次の(又は他のより高次の)測定強度非対称性も引き起こす。ターゲット及び/又は測定におけるそのような他の非対称性に起因し得る、オーバーレイ(意図的なバイアスを含む)とは関係ないこの強度非対称性は、オーバーレイ測定を乱し、不正確なオーバーレイ測定を与える。 [0010] In the overlay measurement example, the above technique relies on the assumption that overlay (ie, overlay error and intentional bias) is the sole cause of target asymmetry in the target. Any other asymmetry in the target or measurement, such as the structural asymmetry of features in the periodic structure in the upper and / or lower layers, or the asymmetry in measurements using sensors, is a primary (or higher) order. It also causes measurement intensity asymmetry. This intensity asymmetry, independent of overlays (including intentional bias), which may result from such other asymmetries in the target and / or measurement, disturbs the overlay measurement and gives an inaccurate overlay measurement. ..

[0011] 一実施形態では、メトロロジターゲットからパターニングプロセスパラメータを決定する方法であって、メトロロジターゲットから回折放射線の複数の値を得ることであって、複数の値の各値が、ターゲットに対する照明放射線の複数の照明条件のうちの異なる照明条件に対応することと、ターゲットに対するパターニングプロセスパラメータの同じ値を決定するために値の組合せを使用することと、を含む方法が提供される。 [0011] In one embodiment, a method of determining patterning process parameters from a metrology target, obtaining multiple values of diffracted radiation from the metrology target, where each value of the plurality of values is relative to the target. A method is provided that accommodates different illumination conditions among multiple illumination conditions of illumination radiation and uses a combination of values to determine the same value of the patterning process parameter for the target.

[0012] 一実施形態では、測定放射線によって照明されたメトロロジターゲットからパターニングプロセスパラメータの第1の値を決定するために、第1のパターニングプロセスパラメータ決定技法を使用することと、メトロロジターゲットに対するパターニングプロセスパラメータの複数の第2の値に達するように、第1のパターニングプロセスパラメータ決定技法とは異なる第2のパターニングプロセスパラメータ決定技法を使用することであって、第2の値の各々が、測定放射線の異なる照明条件で決定されることと、第1の値及び第2の値に基づいて、メトロロジターゲットの測定のためのメトロロジレシピに対する測定放射線の照明条件を識別することと、を含む方法が提供される。 [0012] In one embodiment, the first patterning process parameter determination technique is used to determine the first value of the patterning process parameter from the metrology target illuminated by the measured radiation, and for the metrology target. By using a second patterning process parameter determination technique that is different from the first patterning process parameter determination technique so that a plurality of second values of the patterning process parameter are reached, each of the second values is Determining for different lighting conditions of the measured radiation and identifying the lighting conditions of the measured radiation for the metrology recipe for the measurement of the metrology target based on the first and second values. Methods to include are provided.

[0013] 一実施形態では、本明細書に記載のメトロロジレシピに従って基板上のメトロロジターゲットを測定することを含む測定方法が提供される。 [0013] In one embodiment, a measurement method comprising measuring a metrology target on a substrate according to the metrology recipe described herein is provided.

[0014] 一実施形態では、リソグラフィプロセスのパラメータを測定するためのメトロロジ装置であって、本明細書で述べる方法を実施するように動作可能なメトロロジ装置が提供される。 [0014] In one embodiment, a metrology device for measuring parameters of a lithography process is provided that is operable to implement the methods described herein.

[0015] 一実施形態では、プロセッサに本明細書に記載の方法を実行させるための機械可読命令を含む非一時的コンピュータプログラム製品が提供される。 [0015] In one embodiment, a non-temporary computer program product is provided that includes machine-readable instructions for causing a processor to perform the methods described herein.

[0016] 基板上の2つの隣接する周期構造又は測定ターゲットに放射ビーム提供し、前記ターゲットによって回折された放射線を検出してパターニングプロセスのパラメータを決定するように構成された検査装置と、本明細書に記載の非一時的なコンピュータプログラムと、を備えるシステムが提供される。一実施形態では、システムは、放射ビームを変調するためにパターニングデバイスを保持するように構成された支持構造と、前記変調された放射ビームを放射線感受性基板上に投影するように配置された投影光学系と、を備えるリソグラフィ装置をさらに備える。 [0016] An inspection apparatus configured to provide a radiation beam to two adjacent periodic structures or measurement targets on a substrate and to detect radiation diffracted by said target to determine parameters for a patterning process and the present specification. A system is provided with the non-temporary computer program described in the book. In one embodiment, the system has a support structure configured to hold a patterning device to modulate the radiated beam and projection optics arranged to project the modulated radiated beam onto a radiation sensitive substrate. Further equipped with a system and a lithography apparatus including.

[0017] さらなる特徴及び利点、さらには、様々な実施形態の構造及び動作が、添付図面を参照して下記に詳細に説明される。なお、本発明は、本明細書で説明する特定の実施形態に限定されない。そのような実施形態は、例示のみを目的として本明細書に提示される。さらなる実施形態が、本明細書に記載された教示から当業者に明らかになるであろう。 [0017] Further features and advantages, as well as the structure and operation of the various embodiments, are described in detail below with reference to the accompanying drawings. The present invention is not limited to the specific embodiments described herein. Such embodiments are presented herein for purposes of illustration only. Further embodiments will be apparent to those of skill in the art from the teachings described herein.

[0018] 実施形態が、単なる例として、添付の図面を参照して以下に説明される。 [0018] Embodiments are described below, by way of example only, with reference to the accompanying drawings.

[0019]リソグラフィ装置の一実施形態を示す図である。[0019] It is a figure which shows one Embodiment of a lithography apparatus. [0020]リソグラフィセル又はクラスタの一実施形態を示す図である。[0020] It is a figure which shows one Embodiment of a lithography cell or a cluster. [0021]例示的な検査装置及びメトロロジ技法を概略的に示す図である。[0021] It is a diagram schematically showing an exemplary inspection device and metrology technique. [0022]例示的な検査装置を概略的に示す図である。[0022] FIG. 6 is a diagram schematically showing an exemplary inspection device. [0023]検査装置の照明スポットとメトロロジターゲットとの関係を示す図である。[0023] It is a figure which shows the relationship between the illumination spot of an inspection apparatus, and a metrology target. [0024]測定データに基づいて複数の対象の変数を導出するプロセスを概略的に示す図である。[0024] It is a figure which shows the process which derives the variable of a plurality of objects based on the measurement data. [0025]第1の対の照明アパーチャを使用してターゲットを測定するように構成された検査装置(例えば、この場合は暗視野スキャトロメータ)の概略図である。[0025] Schematic representation of an inspection device (eg, a darkfield scatometer in this case) configured to measure a target using a first pair of illumination apertures. [0026]所与の照明方向に関するターゲット周期構造の回折スペクトルの詳細を概略的に示す図である。[0026] The figure schematically shows the details of the diffraction spectrum of the target periodic structure with respect to a given illumination direction. [0027]回折ベースのオーバーレイ測定のために図7Aの検査装置を使用する際にさらなる照明モードを提供する第2の対の照明アパーチャを概略的に示す図である。[0027] FIG. 2 schematically illustrates a second pair of illumination apertures that provide additional illumination modes when using the inspection device of FIG. 7A for diffraction-based overlay measurements. [0028]第1と第2の対のアパーチャを組み合わせた第3の対の照明アパーチャを概略的に示す図である。[0028] FIG. 6 is a diagram schematically showing a third pair of illumination apertures in which a first pair of apertures are combined. [0029]多重周期構造ターゲットの形態と、基板上の測定スポットの概要と、を示す図である。[0029] It is a figure which shows the form of the multi-period structure target, and the outline of the measurement spot on a substrate. [0030]図7Aの検査装置で得られた図8のターゲットの像を示す図である。[0030] It is a figure which shows the image of the target of FIG. 8 obtained by the inspection apparatus of FIG. 7A. [0031]図3の検査装置を使用するオーバーレイ測定法のステップを示す流れ図である。[0031] It is a flow chart which shows the step of the overlay measurement method using the inspection apparatus of FIG. [0032]ゼロの領域内で異なるオーバーレイ値を有するオーバーレイ周期構造の概略断面図である。[0032] FIG. 3 is a schematic cross-sectional view of an overlay period structure having different overlay values within a region of zero. [0032]ゼロの領域内で異なるオーバーレイ値を有するオーバーレイ周期構造の概略断面図である。[0032] FIG. 3 is a schematic cross-sectional view of an overlay period structure having different overlay values within a region of zero. [0032]ゼロの領域内で異なるオーバーレイ値を有するオーバーレイ周期構造の概略断面図である。[0032] FIG. 3 is a schematic cross-sectional view of an overlay period structure having different overlay values within a region of zero. [0033]処理の効果による底部周期構造での構造的非対称性を有するオーバーレイ周期構造の概略断面図である。[0033] FIG. 3 is a schematic cross-sectional view of an overlay periodic structure having structural asymmetry in the bottom periodic structure due to the effect of processing. [0034]意図的なバイアスを伴う周期構造を有するオーバーレイターゲットの概略上面図である。[0034] FIG. 3 is a schematic top view of an overlay target having a periodic structure with an intentional bias. [0035]図11Eに示されるものなどのターゲットからの特定の次数の放射線の検出された回折信号の例を示す図である。[0035] It is a figure which shows the example of the detected diffraction signal of the radiation of a specific order from the target, such as the one shown in FIG. 11E. [0036]図11Eに示されるものなどのターゲットからの別の特定の次数の放射線の検出された回折信号の例を示す図である。[0036] FIG. 6 is a diagram illustrating an example of a detected diffracted signal of another particular order of radiation from a target, such as that shown in FIG. 11E. [0037]2層周期構造を有するターゲットからの放射線の回折を説明するための簡単なモデルの概略的な描写である。[0037] A schematic depiction of a simple model for explaining the diffraction of radiation from a target having a two-layer periodic structure. [0038]構造的非対称性を受けない理想的なターゲットでのオーバーレイ測定の原理を示す図である。[0038] It is a figure which shows the principle of overlay measurement with an ideal target which is not subjected to structural asymmetry. [0039]本明細書における実施形態で開示されるような構造的非対称性の補正を用いた、理想的でないターゲットにおけるオーバーレイ測定の原理を示す図である。[0039] It is a diagram showing the principle of overlay measurement in a non-ideal target using the correction of structural asymmetry as disclosed in the embodiments herein. [0040]方法の一実施形態の流れ図である。[0040] It is a flow chart of one Embodiment of the method. [0041]方法の一実施形態の流れ図である。[0041] It is a flow chart of one Embodiment of the method. [0042]性能を監視するために並びにメトロロジ、設計及び/又は製造プロセスの制御の基礎としてメトロロジターゲットが使用されるプロセスを示す流れ図である。[0042] A flow chart illustrating a process in which a metrology target is used to monitor performance and as a basis for controlling a metrology, design and / or manufacturing process. [0043]単一の偏光(この場合は直線X偏光)に関する様々な波長での測定におけるターゲットに関するオーバーレイ感度のグラフである。[0043] A graph of overlay sensitivity for a target in measurements at various wavelengths for a single polarization (in this case, linear X polarization). [0044]単一の偏光(この場合は直線Y偏光)に関する様々な波長での測定におけるターゲットに関するオーバーレイ感度のグラフである。[0044] A graph of overlay sensitivity for a target in measurements at various wavelengths for a single polarization (in this case, linear Y polarization). [0045]フィーチャ非対称性を有さないオーバーレイ格子に関するA対Aのプロットである。[0045] A + vs. A - plot for an overlay grid with no feature asymmetry.

[0046] 実施形態を詳細に述べる前に、実施形態を実施することができる例示的な環境を示すことが有益である。 [0046] Before elaborating on an embodiment, it is useful to show an exemplary environment in which the embodiment can be implemented.

[0047] 図1は、リソグラフィ装置LAを概略的に示す。この装置は、放射ビームB(例えばUV放射線又はDUV放射線)を調整するように構成された照明光学系(照明器)ILと、パターニングデバイス(例えばマスク)MAを支持するように構成され、特定のパラメータに従ってパターニングデバイスを正確に位置決めするように構成された第1の位置決め装置PMに接続されたパターニングデバイス支持体又は支持構造(例えばマスクテーブル)MTと、基板(例えばレジストコートウェハ)Wを保持するように構成され、特定のパラメータに従って基板を正確に位置決めするように構成された第2の位置決め装置PWに接続された基板テーブル(例えばウェハテーブル)WTと、基板Wのターゲット部分C(例えば1つ又は複数のダイを含む)上にデバイスMAをパターン形成することによって、放射ビームBに付与されたパターンを投影するように構成された投影光学系(例えば屈折投影レンズ系)PSと、を含む。 [0047] FIG. 1 schematically shows a lithography apparatus LA. The device is configured to support an illumination optical system (illuminator) IL configured to adjust the radiation beam B (eg UV radiation or DUV radiation) and a patterning device (eg mask) MA and is specific. Holds a patterning device support or support structure (eg, mask table) MT connected to a first positioning device PM configured to accurately position the patterning device according to parameters, and a substrate (eg, resist coated wafer) W. A substrate table (eg, a wafer table) WT connected to a second positioning device PW configured to accurately position the substrate according to specific parameters, and a target portion C (eg, one) of the substrate W. Also included is a projection optical system (eg, a refraction projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning the device MA on it (including a plurality of dies).

[0048] 照明光学系は、放射線を誘導、整形、又は制御するための、屈折、反射、磁気、電磁気、静電気、若しくは他のタイプの光学構成要素、又はそれらの任意の組合せなど、様々なタイプの光学構成要素を含むことがある。 Illumination optics are of various types, such as refraction, reflection, magnetism, electromagnetics, static electricity, or other types of optical components, or any combination thereof, for inducing, shaping, or controlling radiation. May include optical components of.

[0049] パターニングデバイス支持体は、パターニングデバイスの向き、リソグラフィ装置の設計、及び例えばパターニングデバイスが真空環境内に保持されているかどうかなどの他の条件に応じた様式でパターニングデバイスを保持する。パターニングデバイス支持体は、パターニングデバイスを保持するために機械的、真空、静電、又は他のクランプ技法を使用することができる。パターニングデバイス支持体は、フレーム又はテーブルでよく、例えば、必要に応じて固定式又は可動式でよい。パターニングデバイス支持体は、パターニングデバイスが例えば投影システムに対して確実に所望の位置にくるようにすることができる。本明細書における「レチクル」又は「マスク」という用語の使用は、より一般的な「パターニングデバイス」という用語と同義とみなすことができる。 The patterning device support holds the patterning device in a manner depending on the orientation of the patterning device, the design of the lithography device, and other conditions such as whether the patterning device is held in a vacuum environment. The patterning device support can use mechanical, vacuum, electrostatic, or other clamping techniques to hold the patterning device. The patterning device support may be a frame or table, for example fixed or movable as required. The patterning device support can ensure that the patterning device is in the desired position, eg, with respect to the projection system. The use of the term "reticle" or "mask" herein can be considered synonymous with the more general term "patterning device".

[0050] 本明細書で使用される「パターニングデバイス」という用語は、基板のターゲット部分にパターンを作成するように、ビームの断面にパターンを付与するために使用することができる任意のデバイスを表すものとして広く解釈すべきである。例えばパターンが位相シフトフィーチャ又はいわゆるアシストフィーチャを含む場合、放射ビームに付与されたパターンは、基板のターゲット部分での所望のパターンに正確には対応しないことがあることに留意されたい。一般に、放射ビームに付与されたパターンは、集積回路などのターゲット部分に作成されているデバイスでの特定の機能層に対応する。 [0050] As used herein, the term "patterning device" refers to any device that can be used to pattern a cross section of a beam, such as creating a pattern on a target portion of a substrate. It should be broadly interpreted as a thing. Note that, for example, if the pattern contains phase shift features or so-called assist features, the pattern applied to the emitted beam may not exactly correspond to the desired pattern at the target portion of the substrate. In general, the pattern imparted to the radiated beam corresponds to a particular functional layer in a device created in a target portion such as an integrated circuit.

[0051] パターニングデバイスは、透過型でも反射型でもよい。パターニングデバイスの例としては、マスク、プログラマブルミラーアレイ、及びプログラマブルLCDパネルが挙げられる。マスクは、リソグラフィにおいてよく知られており、バイナリ、レべンソン型(alternating)位相シフト、及びハーフトーン型(attenuated)位相シフトなどのマスクタイプ、並びに様々なハイブリッドマスクタイプを含む。プログラマブルミラーアレイの一例は、小さいミラーのマトリックス配置を採用し、各ミラーは、入射する放射ビームを異なる方向に反射するように個々に傾斜させることができる。傾斜したミラーは、放射ビームにパターンを付与し、この放射ビームがミラーマトリックスによって反射される。 [0051] The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography and include mask types such as binary, alternating phase shift, and attenuated phase shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each mirror can be individually tilted to reflect an incident radiating beam in different directions. The tilted mirror imparts a pattern to the radiated beam, which is reflected by the mirror matrix.

[0052] 本明細書で示すように、装置は、(例えば透過型マスクを採用する)透過型でよい。代替として、装置は、(例えば、上で言及したようなタイプのプログラマブルミラーアレイを採用する、又は反射型マスクを採用する)反射型でもよい。 [0052] As shown herein, the device may be transmissive (eg, adopting a transmissive mask). Alternatively, the device may be reflective (eg, adopting a programmable mirror array of the type mentioned above, or adopting a reflective mask).

[0053] リソグラフィ装置は、投影システムと基板との間の空間を満たすように、比較的高い屈折率を有する液体、例えば水で基板の少なくとも一部を覆うことができるタイプのものでもよい。リソグラフィ装置内の他の空間、例えばマスクと投影システムとの間に浸液を適用してもよい。投影システムの開口数を増加させるための液浸技法は、当技術分野においてよく知られている。本明細書で使用される「液浸」という用語は、基板などの構造が液体に浸されなければならないことを意味するのではなく、露光中に投影システムと基板との間に液体があることを意味するにすぎない。 The lithography apparatus may be of a type in which at least a part of the substrate can be covered with a liquid having a relatively high refractive index, for example, water so as to fill the space between the projection system and the substrate. Immersion may be applied to other spaces within the lithography equipment, such as between the mask and the projection system. Immersion techniques for increasing the numerical aperture of projection systems are well known in the art. As used herein, the term "immersion" does not mean that a structure such as a substrate must be immersed in a liquid, but that there is liquid between the projection system and the substrate during exposure. It just means.

[0054] 図1を参照すると、イルミネータILは、放射源SOから放射ビームを受け取る。例えば放射源がエキシマレーザであるとき、放射源とリソグラフィ装置とは別体でもよい。そのような場合、放射源は、リソグラフィ装置の一部を成すとはみなされず、放射ビームは、例えば適切な誘導ミラー及び/又はビームエキスパンダを含むビーム送達システムBDによって放射源SOからイルミネータILに送られる。他の場合には、例えば放射源が水銀ランプであるとき、放射源はリソグラフィ装置の一部でよい。放射源SO及びイルミネータILは、必要であればビーム送達システムBDと共に、放射システムと呼ぶことができる。 [0054] Referring to FIG. 1, the illuminator IL receives a radiated beam from the source SO. For example, when the radiation source is an excimer laser, the radiation source and the lithography device may be separate. In such cases, the source is not considered to form part of the lithography appliance and the emitted beam is sent from the source SO to the illuminator IL by a beam delivery system BD containing, for example, a suitable induction mirror and / or beam expander. Sent. In other cases, for example when the source is a mercury lamp, the source may be part of a lithography appliance. The radiation source SO and the illuminator IL can be referred to as a radiation system, if necessary, together with the beam delivery system BD.

[0055] イルミネータILは、放射ビームの角強度分布を調節するための調節装置ADを含むことができる。一般に、イルミネータの瞳面における強度分布の少なくとも外側及び/又は内側の半径範囲(通常、それぞれσ-外側及びσ-内側と呼ばれる)を調節することができる。さらに、イルミネータILは、インテグレータIN及びコンデンサCOなど様々な他の構成要素を含むことができる。イルミネータを使用して、放射ビームを調整し、その断面に所望の均一性及び強度分布を有するようにすることができる。 [0055] The illuminator IL can include a regulator AD for adjusting the angular intensity distribution of the radiated beam. In general, it is possible to adjust at least the outer and / or inner radial range (usually referred to as σ-outer and σ-inner, respectively) of the intensity distribution in the pupil plane of the illuminator. In addition, the illuminator IL can include various other components such as an integrator IN and a capacitor CO. An illuminator can be used to adjust the radiated beam to have the desired uniformity and intensity distribution in its cross section.

[0056] 放射ビームBは、パターニングデバイス支持体(例えばマスクテーブル)MTに保持されているパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスによってパターン形成される。パターニングデバイス(例えばマスク)MAを通過すると、放射ビームBは、投影光学系PSを通過し、投影光学系PSは、ビームを基板Wのターゲット部分Cに集束させ、それによってパターンの像をターゲット部分Cに投影する。第2の位置決め装置PW及び位置センサIF(例えば、干渉計デバイス、リニアエンコーダ、2-Dエンコーダ、又は静電容量センサ)を用いて、例えば異なるターゲット部分Cを放射ビームBの経路内に位置決めするように基板テーブルWTを正確に移動させることができる。同様に、第1の位置決め装置PM及び別の位置センサ(図1には明示されていない)を使用して、例えばマスクライブラリからの機械的な取出し後、又はスキャン中に、放射ビームBの経路に対してパターニングデバイス(例えばマスク)MAを正確に位置決めすることができる。 [0056] The radiated beam B is incident on the patterning device (for example, mask) MA held in the patterning device support (for example, mask table) MT, and is patterned by the patterning device. Upon passing through the patterning device (eg, mask) MA, the radiating beam B passes through the projection optical system PS, which focuses the beam on the target portion C of the substrate W, thereby targeting the image of the pattern. Project to C. A second positioning device PW and a position sensor IF (eg, an interferometer device, linear encoder, 2-D encoder, or capacitive sensor) are used to position, for example, a different target portion C in the path of the radiation beam B. The board table WT can be moved accurately as described above. Similarly, using the first positioning device PM and another position sensor (not specified in FIG. 1), for example, after mechanical removal from the mask library or during scanning, the path of the radiated beam B. The patterning device (eg, mask) MA can be accurately positioned with respect to the patterning device (eg, mask) MA.

[0057] パターニングデバイス(例えばマスク)MAと基板Wは、パターニングデバイスアライメントマークM、Mと基板アライメントマークP、Pとを使用してアライメントすることができる。図示される基板アライメントマークは専用のターゲット部分を占めるが、ターゲット部分間の空間内に位置されてもよい(これらはスクライブレーンアライメントマークとして知られている)。同様に、パターニングデバイス(例えばマスク)MAに複数のダイが設けられている状況では、パターニングデバイスアライメントマークをダイ間に位置することができる。小さいアライメントマーカが、デバイスフィーチャの間でダイ内部に含まれてもよく、この場合、マーカはできるだけ小さく、隣接するフィーチャとは異なる結像又はプロセス条件を必要としないことが望ましい。アライメントマーカを検出するアライメントシステムについては、以下でさらに述べる。 [0057] The patterning device (eg, mask) MA and the substrate W can be aligned using the patterning device alignment marks M 1 and M 2 and the substrate alignment marks P 1 and P 2 . The illustrated substrate alignment marks occupy a dedicated target portion, but may be located in the space between the target portions (these are known as scribe lane alignment marks). Similarly, in situations where the patterning device (eg, mask) MA is provided with multiple dies, the patterning device alignment mark can be located between the dies. Small alignment markers may be contained within the die between device features, in which case it is desirable that the markers be as small as possible and do not require different imaging or process conditions than adjacent features. The alignment system for detecting the alignment marker will be further described below.

[0058] この例でのリソグラフィ装置LAは、いわゆるデュアルステージタイプのものであり、2つの基板テーブルWTa、WTbと、2つのステーション(露光ステーションと測定ステーション)と、を有し、それらのステーション間で基板テーブルを交換することができる。1つの基板テーブル上の1つの基板が露光ステーションで露光されている間に、別の基板を測定ステーションで他の基板テーブルに装填することができ、様々な予備ステップを行うことができる。予備ステップは、レベルセンサLSを使用して基板の表面制御をマッピングし、アライメントセンサASを使用して基板上のアライメントマーカの位置を測定することを含むことがある。これにより、装置のスループットを大幅に増加させることができる。 [0058] The lithography apparatus LA in this example is of a so-called dual stage type, has two substrate tables WTa and WTb, and two stations (exposure station and measurement station), and is between the stations. You can replace the board table with. While one substrate on one substrate table is exposed at the exposure station, another substrate can be loaded into the other substrate table at the measurement station and various preliminary steps can be performed. Preliminary steps may include mapping the surface control of the substrate using the level sensor LS and measuring the position of the alignment marker on the substrate using the alignment sensor AS. This can significantly increase the throughput of the device.

[0059] 図示される装置は、例えばステップモード又はスキャンモードを含む様々なモードで使用することができる。リソグラフィ装置の構成及び動作は当業者にはよく知られており、本発明の実施形態を理解するためにさらに述べる必要はない。 [0059] The illustrated device can be used in various modes including, for example, step mode or scan mode. The configuration and operation of the lithography apparatus are well known to those skilled in the art and do not need to be further described in order to understand the embodiments of the present invention.

[0060] 図2に示されるように、リソグラフィ装置LAは、リソグラフィセルLC又はリソセル若しくはクラスタと呼ばれるリソグラフィシステムの一部を成す。また、リソグラフィセルLCは、基板に対して露光前及び露出後のプロセスを実施するための装置を含むこともできる。従来、これらは、レジスト層を堆積するためのスピンコータSC、露光されたレジストを現像するための現像装置DE、冷却プレートCH、及びベークプレートBKを含む。基板ハンドラ又はロボットROは、入出力ポートI/O1、I/O2から基板を取り、それらを異なるプロセス装置間で移動させ、次いでリソグラフィ装置のローディングベイLBに送達する。総称してトラックと呼ばれることが多いこれらのデバイスは、トラック制御ユニットTCUの制御下にあり、トラック制御ユニットTCUは、それ自体、監視制御システムSCSによって制御され、監視制御システムSCSはまた、リソグラフィ制御ユニットLACUを介してリソグラフィ装置を制御する。したがって、スループット及び処理効率を最大にするように異なる装置を動作させることができる。 [0060] As shown in FIG. 2, the lithography apparatus LA forms part of a lithography system called a lithography cell LC or a lithocell or cluster. The lithography cell LC can also include an apparatus for performing pre-exposure and post-exposure processes on the substrate. Conventionally, these include a spin coater SC for depositing a resist layer, a developing device DE for developing an exposed resist, a cooling plate CH, and a bake plate BK. The board handler or robot RO takes the boards from the I / O ports I / O1 and I / O2, moves them between different process devices, and then delivers them to the loading bay LB of the lithography device. These devices, often collectively referred to as tracks, are under the control of the track control unit TCU, which is itself controlled by the monitoring control system SCS, which is also a lithography control. The lithography equipment is controlled via the unit LACU. Therefore, different devices can be operated to maximize throughput and processing efficiency.

[0061] 少なくとも1つのパターニングステップ(例えば光リソグラフィステップ)を含むパターニングプロセス(例えばデバイス製造プロセス)の設計、監視、制御などを行うために、パターン形成された基板を検査することができ、パターン形成された基板の1つ又は複数のパラメータが測定される。1つ又は複数のパラメータは、例えば、パターン形成された基板内又は基板上に形成された連続層間のオーバーレイ、例えばパターン形成された基板内又は基板上に形成されたフィーチャのクリティカルディメンジョン(CD)(限界線幅)、光リソグラフィステップの焦点又は焦点誤差、光リソグラフィステップのドーズ量又はドーズ誤差、光リソグラフィステップの光収差などを含むことがある。この測定は、製品基板自体のターゲット及び/又は基板上に提供される専用のメトロロジターゲットに対して実施することができる。走査型電子顕微鏡、像ベースの測定又は検査ツール、及び/又は様々な特殊ツールの使用を含め、パターニングプロセスで形成された構造の測定を行うための様々な技法がある。比較的高速で非侵襲的な形態の特殊メトロロジ及び/又は検査ツールは、放射ビームが基板表面上のターゲットに向けられ、散乱(回折/反射)ビームの特性が測定されるものである。基板によって散乱される前後のビームの1つ又は複数の特性を比較することによって、基板の1つ又は複数の特性を決定することができる。これは、回折ベースのメトロロジ又は検査と呼ばれることがある。 [0061] A patterned substrate can be inspected and patterned to design, monitor, control, etc. a patterning process (eg, a device manufacturing process) that includes at least one patterning step (eg, an optical lithography step). One or more parameters of the board are measured. One or more parameters may be, for example, an overlay between continuous layers formed in or on a patterned substrate, eg, a critical dimension (CD) of features formed in or on a patterned substrate (CD). Limit line width), focal or focal error of the optical lithography step, dose amount or dose error of the optical lithography step, optical aberration of the optical lithography step, and the like may be included. This measurement can be performed on the target of the product substrate itself and / or on a dedicated metrology target provided on the substrate. There are various techniques for measuring the structures formed in the patterning process, including the use of scanning electron microscopes, image-based measurement or inspection tools, and / or various specialized tools. A relatively fast, non-invasive form of specialty metrology and / or inspection tool is one in which the radiated beam is directed at a target on the surface of the substrate and the characteristics of the scattered (diffraction / reflection) beam are measured. By comparing the characteristics of one or more of the beams before and after being scattered by the substrate, the characteristics of one or more of the substrates can be determined. This is sometimes referred to as diffraction-based metrology or inspection.

[0062] 図3は、例示的な検査装置(例えばスキャトロメータ)を示す。この検査装置は、基板W上に放射線を投影する広帯域(白色光)放射投影装置2を備える。再誘導された放射線は分光計検出器4に送られ、分光計検出器4は、例えば左下のグラフに示されるような鏡面反射放射線のスペクトル10(波長の関数としての強度)を測定する。このデータから、検出されたスペクトルを生じる構造又はプロファイルは、プロセッサPUによって、例えば厳密結合波解析及び非線形回帰によって、又は図3の右下に示されているようなシミュレートされたスペクトルのライブラリとの比較によって再構成することができる。一般に、再構成のために、構造の一般的な形態が知られており、構造が作られたプロセスの知識からいくつかの変数が仮定され、測定データから決定される構造の変数はいくつかのみである。そのような検査装置は、垂直入射検査装置又は斜入射検査装置として構成することができる。 [0062] FIG. 3 shows an exemplary inspection device (eg, a scatometer). This inspection device includes a wideband (white light) radiation projection device 2 that projects radiation onto the substrate W. The reguided radiation is sent to the spectroscope detector 4, which measures, for example, the spectrum 10 (intensity as a function of wavelength) of specularly reflected radiation as shown in the lower left graph. From this data, the structures or profiles that give rise to the detected spectra can be combined with a library of simulated spectra as shown in the lower right of FIG. 3 by the processor PU, for example by tightly coupled wave analysis and non-linear regression. Can be reconstructed by comparison of. In general, for reconstruction, the general form of the structure is known, some variables are assumed from the knowledge of the process in which the structure was made, and only a few variables of the structure are determined from the measured data. Is. Such an inspection device can be configured as a vertical incident inspection device or an oblique incident inspection device.

[0063] 使用することができる別の検査装置が図4に示されている。このデバイスでは、放射源2によって放出された放射線は、レンズ系120を使用してコリメートされ、干渉フィルタ130及び偏光子170を透過され、部分反射面160によって反射され、対物レンズ150を介して基板W上のスポットSに集束される。対物レンズ150は、高い開口数(NA)、望ましくは少なくとも0.9又は少なくとも0.95を有する。液浸検査装置(水などの比較的高い屈折率の流体を使用する)は、1を超える開口数を有することさえあり得る。 [0063] Another inspection device that can be used is shown in FIG. In this device, the radiation emitted by the radiation source 2 is collimated using the lens system 120, transmitted through the interference filter 130 and the polarizing element 170, reflected by the partially reflecting surface 160, and passed through the objective lens 150 to the substrate. Focused on the spot S on W. The objective lens 150 has a high numerical aperture (NA), preferably at least 0.9 or at least 0.95. Immersion inspection equipment (using a fluid with a relatively high index of refraction such as water) can even have a numerical aperture greater than one.

[0064] リソグラフィ装置LAと同様に、測定動作中に基板Wを保持するために1つ又は複数の基板テーブルを設けることができる。基板テーブルは、図1の基板テーブルWTと形状が同様又は同一でよい。検査装置がリソグラフィ装置と一体化されている例では、それらは同じ基板テーブルでもよい。測定光学系に対して基板を正確に位置決めするように構成された第2の位置決め装置PWに、粗動位置決め装置及び微動位置決め装置を設けることができる。例えば、対象のターゲットの位置を獲得し、そのターゲットを対物レンズ150の下の位置に置くために、様々なセンサ及びアクチュエータが設けられる。通常、基板Wを横切る様々な位置で、ターゲットに対して多くの測定が行われる。基板支持体をX及びY方向に移動させて異なるターゲットを獲得し、Z方向に移動させて、光学系の焦点に対するターゲットの所望の位置を得ることができる。例えば実際には光学系が実質的に(典型的にはX及びY方向で、しかしおそらくZ方向でも)静止したままであり得て、基板だけが移動するときには、対物レンズが基板に対して様々な位置に導かれているかのように動作を考察して記載することが好都合である。基板と光学系の相対位置が正しいという前提で、原理的に、それらのどちらが現実世界で動いているのか、又は両方が動いているのか、又は光学系の一部が動いており(例えばZ及び/又は傾斜方向で)、光学系の残りの部分は静止しており、基板は動いている(例えばX及びY方向で、しかしまた任意選択でZ及び/又は傾斜方向で)という組合せなのかは問題とならない。 [0064] Similar to the lithography apparatus LA, one or more substrate tables can be provided to hold the substrate W during the measurement operation. The substrate table may have the same or the same shape as the substrate table WT of FIG. In the example where the inspection equipment is integrated with the lithography equipment, they may be the same board table. A coarse movement positioning device and a fine movement positioning device can be provided in the second positioning device PW configured to accurately position the substrate with respect to the measurement optical system. For example, various sensors and actuators are provided to acquire the position of the target of interest and place the target below the objective lens 150. Usually, many measurements are made on the target at various positions across the substrate W. The substrate support can be moved in the X and Y directions to obtain different targets and moved in the Z direction to obtain the desired position of the target with respect to the focal point of the optical system. For example, in practice the optics can remain substantially stationary (typically in the X and Y directions, but perhaps even in the Z direction), and when only the substrate moves, the objectives vary with respect to the substrate. It is convenient to consider and describe the operation as if it were guided to a certain position. Assuming that the relative positions of the substrate and the optics are correct, in principle, which of them is moving in the real world, or both are moving, or part of the optics is moving (eg Z and). / Or in the tilt direction), the rest of the optical system is stationary, and the substrate is moving (eg, in the X and Y directions, but also optionally in the Z and / or tilt direction). It doesn't matter.

[0065] 次いで、基板Wによって再誘導された放射線は、部分反射面160を通過して検出器180に入り、スペクトルが検出される。検出器180を逆投影焦点面110(すなわち、レンズ系150の焦点距離)に位置してもよく、又は面110を、補助光学系(図示せず)を用いて検出器180上に再結像してもよい。検出器は、基板ターゲット30の2次元角散乱スペクトルを測定することができるように2次元検出器でよい。検出器180は、例えばCCD又はCMOSセンサのアレイでよく、また、例えばフレーム当たり40ミリ秒の積分時間を使用することができる。 [0065] The radiation reguided by the substrate W then passes through the partially reflective surface 160 and enters the detector 180, where the spectrum is detected. The detector 180 may be located on the back projection focal length 110 (ie, the focal length of the lens system 150), or the surface 110 is reimaged onto the detector 180 using an auxiliary optical system (not shown). You may. The detector may be a two-dimensional detector so that the two-dimensional angular scattering spectrum of the substrate target 30 can be measured. The detector 180 may be, for example, an array of CCD or CMOS sensors, and may use, for example, an integration time of 40 ms per frame.

[0066] 例えば、入射光線の強度を測定するために参照ビームを使用することができる。これを行うために、放射ビームが部分反射面160に入射するとき、放射ビームの一部が、参照ビームとして部分反射面160を透過されて、参照ミラー140に向かう。次いで、参照ビームは、同じ検出器180の異なる部分に投影されるか、又は異なる検出器(図示せず)に投影される。 [0066] For example, a reference beam can be used to measure the intensity of an incident ray. To do this, when the radiating beam is incident on the partially reflecting surface 160, a portion of the radiating beam is transmitted through the partially reflecting surface 160 as a reference beam and directed towards the reference mirror 140. The reference beam is then projected onto different parts of the same detector 180 or to different detectors (not shown).

[0067] 例えば405~790nm、さらにはより低い、例えば200~300nmの範囲内の対象波長を選択するために、1つ又は複数の干渉フィルタ130が利用可能である。干渉フィルタは、1セットの様々なフィルタを備えるのではなく、同調可能でよい。干渉フィルタの代わりに格子を使用することもできる。ターゲットへの放射線の入射角の範囲を制御するために、開口絞り又は空間光変調器(図示せず)を照明経路に設けることができる。 [0067] One or more interference filters 130 may be used to select a wavelength of interest, eg, in the range of 405 to 790 nm, and even lower, eg, 200 to 300 nm. Interference filters may be tuned rather than having a set of various filters. A grid can also be used instead of the interference filter. Aperture diaphragms or spatial light modulators (not shown) can be provided in the illumination path to control the range of angles of incidence of radiation on the target.

[0068] 検出器180は、再誘導された放射線の強度を単一波長(又は狭い波長範囲)で測定することができ、複数の波長で個別に、又はある波長範囲にわたって積分して強度を測定することもできる。さらに、検出器は、横方向の磁気偏光放射線と横方向の電気偏光放射線の強度、及び/又は横方向の磁気偏光放射線と横方向の電気偏光放射線との位相差を個別に測定することができる。 [0068] The detector 180 can measure the intensity of reinduced radiation at a single wavelength (or a narrow wavelength range) and measures the intensity individually at multiple wavelengths or integrated over a wavelength range. You can also do it. In addition, the detector can individually measure the intensity of the lateral magnetically polarized radiation and the laterally polarized radiation and / or the phase difference between the laterally polarized radiation and the laterally electrically polarized radiation. ..

[0069] 基板W上のターゲット30は、現像後に固体レジストラインからバーが形成されるように印刷された1-D格子でよい。ターゲット30は、2-D格子でもよく、現像後にレジスト内の固体レジストピラー又はバイアから格子が形成されるように印刷される。バー、ピラー、又はバイアは、基板内又は基板上に(例えば、基板上の1つ又は複数の層内に)エッチングすることができる。(例えば、バー、ピラー、又はバイアの)パターンは、パターニングプロセスにおける処理の変化(例えば、リソグラフィ投影装置(特に投影システムPS)における光収差、焦点の変化、ドーズ量の変化など)に敏感であり、印刷された格子のばらつきとして現れる。したがって、印刷された格子の測定データを使用して、格子が再構成される。線幅及び/又は形状など1-D格子の1つ若しくは複数のパラメータ、又はピラー若しくはバイアの幅若しくは長さ若しくは形状など2-D格子の1つ若しくは複数のパラメータを、印刷ステップ及び/又は他の検査プロセスの知識からプロセッサPUによって実施される再構成プロセスに入力することができる。 [0069] The target 30 on the substrate W may be a 1-D grid printed so that bars are formed from the solid resist lines after development. The target 30 may be a 2-D grid and is printed so that a grid is formed from the solid resist pillars or vias in the resist after development. Bars, pillars, or vias can be etched into or on the substrate (eg, in one or more layers on the substrate). Patterns (eg, bars, pillars, or vias) are sensitive to processing changes in the patterning process (eg, photoaberration, focus changes, dose changes, etc. in lithography projection equipment (especially projection system PS)). , Appears as variations in the printed grid. Therefore, the grid is reconstructed using the measured data of the printed grid. One or more parameters of the 1-D grid such as line width and / or shape, or one or more parameters of the 2-D grid such as width or length or shape of pillars or vias, printing steps and / or others. From the knowledge of the inspection process of, it is possible to input to the reconstruction process carried out by the processor PU.

[0070] 再構成によるパラメータの測定に加えて、回折ベースのメトロロジ又は検査を、製品及び/又はレジストパターンでのフィーチャの非対称性の測定において使用することができる。非対称性測定の特定の用途は、例えばオーバーレイの測定に関するが、他の用途も知られている。この場合、ターゲット30は通常、互いに重ね合わされた1セットの周期フィーチャを備える。例えば、非対称性は、ターゲット30からの回折スペクトルの対向する部分を比較すること(例えば、周期格子の回折スペクトルにおける-1次と+1次を比較すること)によって測定することができる。図3又は図4の機器を使用する非対称性測定の概念は、例えば、全体を参照により本明細書に援用する米国特許出願公開第2006066855号に記載されている。簡単に述べると、ターゲットの回折スペクトルでの回折次数の位置はターゲットの周期性によってのみ決定されるが、回折スペクトルにおける非対称性は、ターゲットを構成する個々のフィーチャの非対称性を示す。検出器180がイメージセンサでよい図4の機器では、そのような回折次数の非対称性は、検出器180によって記録される瞳孔像の非対称性として直接現れる。この非対称性は、PU単位でデジタル画像処理によって測定することができ、オーバーレイの既知の値に対して較正することができる。 [0070] In addition to measuring parameters by reconstruction, diffraction-based metrology or inspection can be used to measure feature asymmetry in products and / or resist patterns. Certain uses of asymmetry measurement are, for example, related to overlay measurements, but other uses are also known. In this case, the target 30 typically comprises a set of periodic features superimposed on each other. For example, asymmetry can be measured by comparing opposite parts of the diffraction spectrum from the target 30 (eg, comparing -1st and +1st order in the diffraction spectrum of a periodic lattice). The concept of asymmetry measurement using the instrument of FIG. 3 or 4 is described, for example, in US Patent Application Publication No. 2006066855, which is incorporated herein by reference in its entirety. Briefly, the position of the diffraction order in the diffraction spectrum of the target is determined only by the periodicity of the target, but the asymmetry in the diffraction spectrum indicates the asymmetry of the individual features that make up the target. In the device of FIG. 4, where the detector 180 may be an image sensor, such diffraction order asymmetry manifests directly as the asymmetry of the pupil image recorded by the detector 180. This asymmetry can be measured by digital image processing on a PU-by-PU basis and calibrated to known values for overlays.

[0071] 図5は、図4の装置における典型的なターゲット30及び照明スポットSの広がりの平面図を示す。周囲の構造からの干渉がない回折スペクトルを得るために、一実施形態では、ターゲット30は、照明スポットSの幅(例えば直径)よりも大きい周期構造(例えば格子)である。スポットSの幅は、ターゲットの幅及び長さよりも小さくてよい。換言すると、ターゲットは照明によって「アンダーフィル(underfilled)」されており、回折信号は、ターゲット自体の外部の製品フィーチャなどからのいかなる信号も本質的に含んでいない。照明構成2、120、130、170は、対物レンズ150の後焦点面にわたって均一な強度の照明を提供するように構成することができる。代替として、例えば照明経路にアパーチャを含めることによって、照明をオンアクシス方向又はオフアクシス方向に制限することができる。 [0071] FIG. 5 shows a plan view of the spread of a typical target 30 and illumination spot S in the apparatus of FIG. In order to obtain a diffraction spectrum without interference from the surrounding structure, in one embodiment, the target 30 is a periodic structure (eg, a grid) that is larger than the width (eg, diameter) of the illumination spot S. The width of the spot S may be smaller than the width and length of the target. In other words, the target is "underfilled" by illumination and the diffracted signal essentially does not contain any signal from product features or the like outside the target itself. Illumination configurations 2, 120, 130, 170 can be configured to provide uniform intensity illumination over the posterior focal plane of the objective lens 150. Alternatively, lighting can be restricted to on-axis or off-axis directions, for example by including apertures in the illumination path.

[0072] 図6は、メトロロジを使用して得られた測定データに基づいてターゲットパターン30’の1つ又は複数の対象の変数の値を決定する例示的なプロセスを概略的に示す。検出器180によって検出された放射線は、ターゲット30’に関する測定された放射分布108を提供する。 [0072] FIG. 6 schematically illustrates an exemplary process of determining the value of one or more variables of interest in a target pattern 30'based on measurement data obtained using metrology. The radiation detected by the detector 180 provides the measured radiation distribution 108 for the target 30'.

[0073] 所与のターゲット30’について、例えば数値マクスウェルソルバ210を使用して、パラメータ化モデル206から放射分布208を計算/シミュレートすることができる。パラメータ化モデル206は、ターゲットを構成する、及びターゲットに関連する様々な材料の例示的な層を示す。パラメータ化モデル206は、考慮下のターゲットの部分のフィーチャ及び層に関する1つ又は複数の変数を含むことがあり、それらの変数は変更及び導出することができる。図6に示されるように、1つ又は複数の変数は、1つ又は複数の層の厚さt、1つ又は複数のフィーチャの幅w(例えばCD)、1つ又は複数のフィーチャの高さh、及び/又は1つ又は複数のフィーチャの側壁角度αを含むことがある。示されていないが、1つ又は複数の変数は、限定はしないが、1つ又は複数の層の屈折率(例えば実屈折率又は複素屈折率や屈折率テンソルなど)、1つ又は複数の層の吸光係数、1つ又は複数の層の吸収、現像中のレジスト損失、1つ又は複数のフィーチャのフッティング、及び/又は1つ又は複数のフィーチャのラインエッジ粗さをさらに含むことができる。変数の初期値は、測定されているターゲットに関して予想されるものでよい。次いで、測定された放射分布108は、212で、計算された放射分布208と比較されて、2つの放射分布の差が決定される。差があった場合、パラメータ化モデル206の1つ又は複数の変数の値を変えることができ、測定された放射分布108と計算された放射分布208との間に十分な合致が生じるまで、新たな計算された放射分布208を算出して、測定された放射分布108と比較することができる。その時点で、パラメータ化モデル206の変数の値は、実際のターゲット30’の幾何学的形状の良好な又は最良の合致を提供する。一実施形態では、測定された放射分布108と計算された放射分布208との差が公差閾値内にあるとき、十分な合致が存在する。 [0073] For a given target 30', the radiation distribution 208 can be calculated / simulated from the parameterized model 206, for example using the numerical Maxwell solver 210. Parameterized model 206 shows exemplary layers of various materials that make up and relate to the target. The parameterized model 206 may include one or more variables relating to the features and layers of the portion of the target under consideration, which variables can be modified and derived. As shown in FIG. 6, one or more variables are the thickness t of one or more layers t, the width w of one or more features (eg CD), the height of one or more features. h and / or may include sidewall angles α of one or more features. Although not shown, one or more variables are, but are not limited to, the index of refraction of one or more layers (eg, real refractive index or complex index of refraction, refractive index tensor, etc.), one or more layers. Absorption index of, absorption of one or more layers, resist loss during development, footing of one or more features, and / or line edge roughness of one or more features can be further included. The initial value of the variable may be what is expected for the target being measured. The measured radiation distribution 108 is then compared at 212 with the calculated radiation distribution 208 to determine the difference between the two radiation distributions. If there is a difference, the value of one or more variables in the parameterized model 206 can be changed until there is a sufficient match between the measured radiation distribution 108 and the calculated radiation distribution 208. The calculated radiation distribution 208 can be calculated and compared with the measured radiation distribution 108. At that point, the values of the variables in the parameterized model 206 provide a good or best match for the geometry of the actual target 30'. In one embodiment, there is a sufficient match when the difference between the measured radiation distribution 108 and the calculated radiation distribution 208 is within the tolerance threshold.

[0074] 実施形態での使用に適したさらなる検査装置が図7Aに示されている。例えば、そのようなメトロロジ装置、又は他の任意の適切なメトロロジ装置があり得る。ターゲットTとターゲットを照明するために使用される測定放射の回折放射線とが、図7Bにさらに詳細に示されている。図示した検査装置は、暗視野メトロロジ装置として公知のタイプである。検査装置は、スタンドアロン型デバイスとすることができるし、又は、例えば、測定ステーション若しくはリソグラフィックセルLCのいずれかで、リソグラフィ装置LAに組み込むこともできる。装置全体にわたっていくつかの分岐を有する光軸は、点線Oで示されている。この装置では、放射源11(例えば、キセノンランプ)によって放射された放射線は、レンズ12、14及び対物レンズ16を含む光学系によって、光学要素15を介して基板Wに誘導される。これらのレンズは、2連の4F構成で配置されている。異なるレンズ構成が、例えば基板像を検出器上に形成し、同時に、空間周波数フィルタリング用の中間瞳面のアクセスを可能にするという条件で、異なるレンズ構成を使用することができる。したがって、放射線が基板に入射する角度範囲は、ここでは(共役)瞳面と称される、基板平面の空間スペクトルを示す平面の空間強度分布を画定することで選択することができる。特に、これは、レンズ12、14間で、対物レンズ瞳面の後方投影像である平面内に、適切な形態のアパーチャプレート13を挿入することで行うことができる。図示した例では、アパーチャプレート13は、様々な照明モードが選択されるのを可能にする、13N及び13Sの符号を付けた様々な形態を有する。この例の照明システムは、オフアクシス照明モードを形成している。第1の照明モードでは、アパーチャプレート13Nは、単に説明のために「北(N)」と指定した方向からのオフアクシス放射線をもたらす。第2の照射モードでは、アパーチャプレート13Sは、同様であるが「南(S)」の符号を付けた反対の方向から照明するために使用される。様々なアパーチャを使用することで、他の照明モードが可能である。所望の照明モード以外の任意の不必要な放射は、所望する測定信号に干渉することになるので、瞳面の残部は暗色とするのが望ましい。 Further inspection devices suitable for use in embodiments are shown in FIG. 7A. For example, there may be such a metrology device, or any other suitable metrology device. The diffracted radiation of the target T and the measured radiation used to illuminate the target is shown in more detail in FIG. 7B. The illustrated inspection device is a type known as a dark field metrology device. The inspection device can be a stand-alone device, or can be incorporated into the lithography device LA, for example, at either a measuring station or a lithography cell LC. The optical axis with some branches throughout the device is shown by the dotted line O. In this device, the radiation emitted by the radiation source 11 (eg, a xenon lamp) is guided to the substrate W via the optical element 15 by an optical system including the lenses 12, 14 and the objective lens 16. These lenses are arranged in a double 4F configuration. Different lens configurations can be used, provided that, for example, a substrate image is formed on the detector and at the same time allows access to the intermediate pupil surface for spatial frequency filtering. Therefore, the angular range in which the radiation is incident on the substrate can be selected by defining the spatial intensity distribution of the plane showing the spatial spectrum of the plane of the substrate, which is referred to here as the (conjugated) pupil plane. In particular, this can be done by inserting an appropriate form of the aperture plate 13 between the lenses 12 and 14 in a plane which is a rear projection image of the pupil surface of the objective lens. In the illustrated example, the aperture plate 13 has various forms labeled 13N and 13S that allow different lighting modes to be selected. The lighting system in this example forms an off-axis lighting mode. In the first illumination mode, the aperture plate 13N provides off-axis radiation from the direction designated "north (N)" for illustration purposes only. In the second irradiation mode, the aperture plate 13S is used to illuminate from the opposite direction, similarly but labeled "south (S)". Other lighting modes are possible by using different apertures. Any unwanted radiation other than the desired illumination mode will interfere with the desired measurement signal, so it is desirable to darken the rest of the pupil surface.

[0075] 図7Bに示すように、ターゲットTは、基板Wが対物レンズ16の光軸Oに垂直な状態で配置されている。基板Wは、サポート(図示せず)によって支持することができる。軸Oから外れた角度からターゲットTに当たった測定放射線Iは、ゼロ次光線(実線0)及び2つの一次光線(一点鎖線+1及び二点鎖線-1)を生じさせる。小ターゲットがオーバーフィルされる場合、これらの光線は、メトロロジターゲットT及び他のフィーチャを含む基板の領域にわたる多数の平行光線の1つにすぎないことを忘れてはならない。プレート13のアパーチャは、(有用な放射量を受け入れるのに必要な)有限の幅を有するので、入射光線Iは、事実上、所定の角度範囲を占め、回折光線0及び回折光線+1/-1は幾分広がる。小ターゲットの点広がり関数によれば、各次数+1、-1は、示すような単一の理想光線ではなく、所定の角度範囲にわたってさらに広がる。ターゲットの周期構造ピッチ及び照明角は、対物レンズに入射する一次光線が、中心光軸と密接して整列するように設計及び調整できることに留意されたい。図7A及び図7Bに示した光線は、単に、光線が図中でより容易に区別されるのを可能にするために、幾分軸から外れて示されている。 As shown in FIG. 7B, the target T is arranged in a state where the substrate W is perpendicular to the optical axis O of the objective lens 16. The substrate W can be supported by a support (not shown). The measured radiation I that hits the target T from an angle off the axis O produces a zero-order ray (solid line 0) and two primary rays (dashed-dotted line + 1 and alternate-dashed line-1). It should be remembered that if the small target is overfilled, these rays are just one of many parallel rays over the area of the substrate containing the metrology target T and other features. Since the aperture of the plate 13 has a finite width (necessary to receive useful radiation), the incident ray I effectively occupies a predetermined angular range, diffracted ray 0 and diffracted ray + 1 / -1. Spreads somewhat. According to the point spread function of the small target, each order +1, -1 is not a single ideal ray as shown, but further spreads over a predetermined angular range. It should be noted that the periodic structural pitch and illumination angle of the target can be designed and adjusted so that the primary ray incident on the objective lens is closely aligned with the central optical axis. The rays shown in FIGS. 7A and 7B are shown somewhat off-axis simply to allow the rays to be more easily distinguished in the figure.

[0076] 基板W上のターゲットTで回折した少なくとも0次及び+1次のものは、対物レンズ16によって集められ、逆戻りして光学要素15を通る。図7Aに戻ると、北(N)及び南(S)として符号を付けた直径方向両側のアパーチャを指定することで、第1及び第2の照明モードの両方が示されている。測定放射の入射光線Iが光軸の北側から来ると、すなわち、アパーチャプレート13Nを使用する第1の照明モードが適用されると、+1(N)の符号を付けた+1回折光線が、対物レンズ16に入射する。それに対して、アパーチャプレート13Sを使用する第2の照明モードが適用されると、(-1(S)の符号を付けた)-1回折光線がレンズ16に入射する。 [0076] At least 0th and +1st order diffracted by the target T on the substrate W are collected by the objective lens 16 and reverted to pass through the optical element 15. Returning to FIG. 7A, both the first and second illumination modes are shown by designating apertures on both sides in the radial direction, labeled as north (N) and south (S). When the incident ray I of the measurement radiation comes from the north side of the optical axis, that is, when the first illumination mode using the aperture plate 13N is applied, the +1 diffracted ray labeled +1 (N) is the objective lens. It is incident on 16. On the other hand, when the second illumination mode using the aperture plate 13S is applied, the -1 diffracted ray (coded with -1 (S)) is incident on the lens 16.

[0077] ビームスプリッタ17は、回折ビームを2つの測定分岐に分流する。第1の測定分岐では、光学系18は、ゼロ次及び一次回折ビームを使用して、ターゲットの回折スペクトル(瞳面像)を第1のセンサ19(例えば、CCD又はCMOSセンサ)に形成する。各回折次数はセンサの異なる部分に当たるので、画像処理により、各次数を比較し、対照させることができる。センサ19によって取り込まれた瞳面像は、検査装置の焦点を合わせる、及び/又は一次ビームの強度照度測定値を正規化するために使用することができる。瞳面像は、再現などの多くの測定目的に使用することもできる。 [0077] The beam splitter 17 splits the diffracted beam into two measurement branches. In the first measurement branch, the optical system 18 uses zero-order and first-order diffraction beams to form a diffraction spectrum (pupil image) of the target on the first sensor 19 (eg, a CCD or CMOS sensor). Since each diffraction order corresponds to a different part of the sensor, each order can be compared and contrasted by image processing. The pupil image captured by the sensor 19 can be used to focus the inspection device and / or to normalize the intensity and illuminance measurements of the primary beam. The pupillary image can also be used for many measurement purposes such as reproduction.

[0078] 第2の測定分岐では、光学系20、22は、ターゲットTの像をセンサ23(例えば、CCD又はCMOSセンサ)に形成する。第2の測定分岐では、開口絞り21が、瞳面と共役である平面に設けられる。開口絞り21は、ゼロ次回折ビームを遮断するように機能するので、センサ23に形成されるターゲットの像は、-1又は+1の一次ビームからのみ形成される。センサ19、23によって取り込まれた像はプロセッサPUに出力され、プロセッサPUは像を処理し、プロセッサPUの機能は、行われる特定のタイプの測定によって決まる。「像」という用語は、ここでは広い意味で使用されることに留意されたい。-1及び+1の次数の1つだけが存在する場合に、周期構造特徴の像は形成されない。 [0078] In the second measurement branch, the optical systems 20 and 22 form an image of the target T on the sensor 23 (eg, a CCD or CMOS sensor). In the second measurement branch, the aperture stop 21 is provided on a plane conjugate with the pupil surface. Since the aperture stop 21 functions to block the zero-order diffraction beam, the image of the target formed on the sensor 23 is formed only from the -1 or +1 primary beam. The image captured by the sensors 19 and 23 is output to the processor PU, which processes the image, and the function of the processor PU is determined by the specific type of measurement made. Note that the term "image" is used broadly here. No image of periodic structure features is formed when only one of the orders -1 and +1 is present.

[0079] 図7A、7C及び7Dに示すアパーチャプレート13及び視野絞り21の特定の形態は単なる例である。一実施形態では、ターゲットのオンアクシス照明が使用され、オフアクシス開口を有する開口絞りを使用して、実質的に1つだけの一次回折放射をセンサに送る。さらに別の実施形態では、一次ビームの代わりに、又は一次ビームに加えて、二次、三次、さらに高次のビーム(図7A、7B、7C又は7Dに示していない)を測定に使用することができる。 [0079] The specific forms of the aperture plate 13 and the field diaphragm 21 shown in FIGS. 7A, 7C and 7D are merely examples. In one embodiment, on-axis illumination of the target is used and an aperture diaphragm with an off-axis aperture is used to deliver substantially only one primary diffracted radiation to the sensor. In yet another embodiment, a secondary, tertiary and even higher order beam (not shown in FIGS. 7A, 7B, 7C or 7D) is used for the measurement instead of or in addition to the primary beam. Can be done.

[0080] これらの様々なタイプの測定に適合可能な測定放射を行うために、アパーチャプレート13は、ディスクのまわりに形成された複数のアパーチャパターンを含むことができ、このディスクは、所望のパターンを所定の位置に合わせるために回転する。アパーチャプレート13N又はアパーチャプレート13Sは、一方向(構成に応じてX又はY)に向けられた周期構造を測定するためにのみ使用することができることに留意されたい。直交周期構造の測定の場合、ターゲットを90°及び270°だけ回転させることができる。図7Cと図7Dには異なるアパーチャプレートが示されている。これらの使用、並びに装置の多くの他の変形及び応用は、上述した特許出願公開に記載されている。 [0080] To provide measurement radiation adaptable to these various types of measurements, the aperture plate 13 can include a plurality of aperture patterns formed around the disc, which disc is the desired pattern. Rotate to fit in place. It should be noted that the aperture plate 13N or aperture plate 13S can only be used to measure periodic structures oriented in one direction (X or Y depending on the configuration). For measurements of orthogonal periodic structures, the target can be rotated by 90 ° and 270 °. 7C and 7D show different aperture plates. These uses, as well as many other modifications and applications of the device, are described in the patent application publication described above.

[0081] 図8は、既知の慣例に従って基板に形成された(複合)ターゲットを示す。この例におけるターゲットは、互いに近接して位置決めされた4つの周期構造(例えば格子)32~35を備え、周期構造は全て、検査装置のメトロロジ放射照明ビームによって形成される測定スポット31内にある。したがって、4つの周期構造は全て同時に照明され、センサ19と23に同時に結像される。オーバーレイの測定に特化した例では、周期構造32~35は、それら自体、例えば基板Wに形成された半導体デバイスの異なる層にパターン形成された周期構造をオーバーレイすることによって形成される複合周期構造である。周期構造32~35は、複合周期構造の異なる部分が形成されている層間のオーバーレイの測定を容易にするために、異なるバイアスのオーバーレイオフセットを有することがある。オーバーレイバイアスの意義は、図8を参照して以下に説明する。また、周期構造32~35は、入射放射線をX方向及びY方向に回折するように、図示されるようにそれらの向きが異なっていてもよい。一例では、周期構造32及び34は、それぞれバイアスオフセット+d、-dを有するX方向周期構造である。周期構造33及び35は、それぞれバイアスオフセット+d、-dを有するY方向周期構造である。これらの周期構造の個別の像を、センサ23によって捕捉された像内で識別することができる。これはターゲットの一例にすぎない。ターゲットは、4つよりも多い若しくは4つよりも少ない周期構造、又は1つの周期構造のみを備えていてもよい。 [0081] FIG. 8 shows a (composite) target formed on a substrate according to known conventions. The target in this example comprises four periodic structures (eg, grids) 32-35 positioned in close proximity to each other, all of which are within the measurement spot 31 formed by the metrology radiated illumination beam of the inspection device. Therefore, all four periodic structures are illuminated simultaneously and imaged simultaneously on sensors 19 and 23. In an example specialized for overlay measurement, the periodic structures 32-35 themselves are composite periodic structures formed by overlaying themselves, for example, a patterned periodic structure on different layers of a semiconductor device formed on a substrate W. Is. Periodic structures 32-35 may have overlay offsets of different biases to facilitate the measurement of overlays between layers where different parts of the composite periodic structure are formed. The significance of the overlay bias will be described below with reference to FIG. Further, the periodic structures 32 to 35 may have different directions as shown so as to diffract the incident radiation in the X direction and the Y direction. In one example, the periodic structures 32 and 34 are X-direction periodic structures having bias offsets + d and −d, respectively. The periodic structures 33 and 35 are Y-direction periodic structures having bias offsets + d and −d, respectively. Individual images of these periodic structures can be identified within the image captured by the sensor 23. This is just one example of a target. The target may have more than four or less than four periodic structures, or only one periodic structure.

[0082] 図9は、図7Dのアパーチャプレート13NW又は13SEを使用して、図7の装置において図8のターゲットを用いてセンサ23に形成され得て、センサ23によって検出され得る像の一例を示す。瞳面イメージセンサ19は、異なる個々の周期構造32~35を解像することはできないが、イメージセンサ23はその解像を行うことができる。濃い色の矩形はセンサ上の像のフィールドを表し、そのフィールド内部で、基板上の照明されたスポット31は、対応する円形エリア41内に結像される。この円形エリア41内で、矩形エリア42~45は、小さいターゲット周期構造32~35の像を表す。ターゲットが製品エリアにある場合、製品フィーチャもこの像フィールドの周辺に見えることがある。画像処理装置及び制御システムPUは、パターン認識を使用してこれらの像を処理し、周期構造32~35の別個の像42~45を識別する。このようにすると、像をセンサフレーム内の特定の位置に非常に正確にアライメントする必要がない。これは、測定装置全体のスループットを大幅に改良する。 [0082] FIG. 9 is an example of an image that can be formed on the sensor 23 using the aperture plate 13NW or 13SE of FIG. 7D with the target of FIG. 8 in the apparatus of FIG. 7 and can be detected by the sensor 23. show. The pupil surface image sensor 19 cannot resolve different individual periodic structures 32 to 35, but the image sensor 23 can resolve them. The dark rectangle represents a field of images on the sensor, within which the illuminated spot 31 on the substrate is imaged within the corresponding circular area 41. Within the circular area 41, the rectangular areas 42-45 represent images of the small target periodic structures 32-35. If the target is in the product area, product features may also be visible around this image field. The image processing apparatus and control system PU use pattern recognition to process these images to identify separate images 42-45 of periodic structures 32-35. In this way, the image does not need to be very accurately aligned to a particular position within the sensor frame. This greatly improves the throughput of the entire measuring device.

[0083] 周期構造の個別の像が識別されると、それらの個々の像の強度を、例えば識別されたエリア内の選択された画素強度値を平均又は合計することによって測定することができる。像の強度及び/又は他の特性は、互いに比較することができる。これらの結果を組み合わせて、パターニングプロセスの様々なパラメータを測定することができる。オーバーレイ性能は、そのようなパラメータの重要な一例である。 [0083] Once the individual images of the periodic structure have been identified, the intensity of those individual images can be measured, for example, by averaging or summing the selected pixel intensity values within the identified area. The intensity and / or other properties of the image can be compared to each other. These results can be combined to measure various parameters of the patterning process. Overlay performance is an important example of such a parameter.

[0084] 図10は、例えば国際公開第2011/012624号(その全体を参照により本明細書に援用する)に記載されている方法を使用して、成分周期構造32~35を含む2つの層間のオーバーレイ誤差(すなわち、望ましくなく且つ意図的でないオーバーレイミスアライメント)が測定される様子を示す。この測定は、強度非対称性の尺度を得るためにターゲット周期構造の通常の回析次数の像と相補的な回析次数の像における強度を比較することによって明らかになるターゲット非対称性を識別することによって行われる。一実施形態では、通常の回析次数は+1次放射線であり、相補的な回析次数は-1次放射線である。本明細書の論述は、+1次放射線としての通常の回析次数及び-1次放射線である相補的な回析次数に焦点を置くが、他の対応するさらに高い次数(例えば、+2次と-2次)の強度を比較することができる。 [0084] FIG. 10 shows two layers comprising component period structures 32-35, for example using the method described in WO 2011/012624, which is hereby incorporated by reference in its entirety. Overlay error (ie, undesired and unintentional overlay misalignment) is measured. This measurement identifies the target asymmetry revealed by comparing the intensities in the image of the normal diffraction order of the target periodic structure with the image of the complementary diffraction order to obtain a measure of intensity asymmetry. It is done by. In one embodiment, the normal diffractive order is +1 radiation and the complementary diffractive order is -1st order radiation. The discussion herein focuses on the normal diffraction order as +1st order radiation and the complementary diffraction order as -1st order radiation, but other corresponding higher orders (eg, +2nd order and-. The strength of the secondary) can be compared.

[0085] ステップS1で、基板、例えば半導体ウェハは、図2のリソグラフィセルなどのリソグラフィ装置によって1回又は複数回処理されて、周期構造32~35を含むターゲットを作成する。ステップS2で、図7の検査装置を使用して、1次回折ビームの1つ(例えば+1次)のみを用いて周期構造32~35の像が取られる。ステップS3で、照明モードを変更すること、若しくは結像モードを変更することによって、又は検査装置の視野内で基板Wを180°回転させることによって、他の一次回折ビーム(-1次)を用いた周期構造の第2の像を得ることができる。その結果、第2の像において-1次回折放射線が捕捉される。 [0085] In step S1, the substrate, eg, a semiconductor wafer, is processed once or multiple times by a lithography apparatus such as the lithography cell of FIG. 2 to create a target including periodic structures 32 to 35. In step S2, using the inspection device of FIG. 7, images of periodic structures 32 to 35 are taken using only one of the primary diffraction beams (eg, +1 order). In step S3, another primary diffraction beam (-1st order) is used by changing the illumination mode, changing the imaging mode, or rotating the substrate W by 180 ° within the field of view of the inspection device. It is possible to obtain a second image of the periodic structure. As a result, the -1st order diffracted radiation is captured in the second image.

[0086] 各像に一次回折放射線の半分しか含まないことにより、ここで言う「像」は、従来の暗視野顕微鏡像ではないことに留意されたい。ターゲット周期構造の個々のターゲットフィーチャは解像されない。各ターゲット周期構造は、単に特定の強度レベルのエリアによって表される。ステップS4で、各成分ターゲット周期構造の像内で関心領域(ROI)が識別され、そこから強度レベルが測定される。 [0086] Note that the "image" here is not a conventional darkfield microscope image, as each image contains only half of the primary diffracted radiation. Individual target features in the target periodic structure are not resolved. Each target periodic structure is simply represented by an area of a particular intensity level. In step S4, a region of interest (ROI) is identified within the image of each component target periodic structure from which the intensity level is measured.

[0087] 個々のターゲット周期構造ごとにROIを識別し、その強度を測定すると、ターゲットの非対称性、したがってオーバーレイ誤差を決定することができる。これは、ステップS5において、ターゲット周期構造32~35ごとに通常の及び相補的な回折次数の放射線に関して得られた強度値を比較して、それらの強度非対称性(例えば、それらの強度の差)を識別して(例えば、プロセッサPUによって)行われる。「差」という用語は、減算のみを表すものとは意図されていない。差は、比率の形で算出されてもよい。ステップS6では、いくつかのターゲット周期構造に関する測定された強度非対称性を、それらのターゲット周期構造の任意の既知の課されたオーバーレイバイアスの知識と共に使用して、ターゲットTの近傍でのパターニングプロセスの1つ又は複数の性能パラメータを算出する。 [0087] By identifying the ROI for each individual target periodic structure and measuring its intensity, the asymmetry of the target, and thus the overlay error, can be determined. This will compare the intensity values obtained for normal and complementary diffraction order radiation for each of the target period structures 32 to 35 in step S5 and their intensity asymmetry (eg, their intensity difference). Is identified (eg, by the processor PU). The term "difference" is not intended to refer only to subtraction. The difference may be calculated in the form of a ratio. In step S6, the measured intensity asymmetry of some target periodic structures is used in conjunction with the knowledge of any known imposed overlay bias of those target periodic structures for the patterning process in the vicinity of the target T. Calculate one or more performance parameters.

[0088] 図11A~図11Dは、異なるバイアスオフセットを有するターゲット周期構造(オーバーレイ周期構造)の概略断面図を示す。図7~図9で見られるように、これらは基板W上のターゲットTとして使用することができる。単に例として、X方向で周期性を有する周期構造が示されている。異なるバイアス及び異なる向きを有するこれらの周期構造の異なる組合せを、個別に又はターゲットの一部として提供することができる。 [0088] FIGS. 11A-11D show schematic cross-sectional views of a target periodic structure (overlay periodic structure) having different bias offsets. As seen in FIGS. 7-9, these can be used as the target T on the substrate W. Just as an example, a periodic structure with periodicity in the X direction is shown. Different combinations of these periodic structures with different biases and different orientations can be provided individually or as part of the target.

[0089] 図11Aから始めると、符号L1及びL2で表される少なくとも2つの層に形成されたターゲット600が示されている。下部又は底部層L1では、第1の周期構造(下部又は底部周期構造)、例えば格子が、基板606のフィーチャ602及び空間604によって形成される。層L2では、第2の周期構造、例えば格子が、フィーチャ608及び空間610によって形成される(フィーチャ602、608(例えばライン)が紙面奥へ延びるように断面が描かれている)。周期構造パターンは、両層においてピッチPで繰り返している。フィーチャ602及び608は、ライン、ドット、ブロック、及びバイアホールの形態を取ることがある。図11Aに示される状況では、ミスアライメントによるオーバーレイ寄与、例えばオーバーレイ誤差及び課されたバイアスがなく、したがって、第2の構造の各フィーチャ608が、第1の構造でのフィーチャ602の真上に位置する。 Starting with FIG. 11A, the target 600 formed in at least two layers represented by the reference numerals L1 and L2 is shown. In the bottom or bottom layer L1, a first periodic structure (bottom or bottom periodic structure), such as a grid, is formed by the features 602 and space 604 of the substrate 606. In layer L2, a second periodic structure, eg, a grid, is formed by features 608 and space 610 (cross sections are drawn such that features 602, 608 (eg, lines) extend deep into the paper). The periodic structure pattern repeats at pitch P in both layers. Features 602 and 608 may take the form of lines, dots, blocks, and via holes. In the situation shown in FIG. 11A, there is no overlay contribution due to misalignment, such as overlay error and imposed bias, so that each feature 608 of the second structure is located directly above the feature 602 in the first structure. do.

[0090] 図11Bで、第1の既知の課されたバイアス+dを有する同じターゲットが示され、第1の構造のフィーチャ608が、第2の構造のフィーチャに対して右に距離dだけずらされているバイアス距離dは、実際には数ナノメートル、例えば10nm~20nmでよく、ピッチPは、例えば300~1000nmの範囲、例えば500nm又は600nmである。図11Cには、第2の既知の課されたバイアス-dを有する別のフィーチャが示されており、フィーチャ608が左にシフトされている。dの値は、各構造に関して同じである必要はない。図11Aから図11Cに示されるこのタイプのバイアス周期構造は、上述した先行特許出願公開に記載されている。 [0090] In FIG. 11B, the same target with the first known imposed bias + d is shown, with the feature 608 of the first structure shifted to the right by a distance d with respect to the feature of the second structure. The bias distance d may actually be several nanometers, such as 10 nm to 20 nm, and the pitch P may be, for example, in the range of 300 to 1000 nm, such as 500 nm or 600 nm. FIG. 11C shows another feature with a second known imposed bias-d, with feature 608 shifted to the left. The value of d does not have to be the same for each structure. This type of bias period structure shown in FIGS. 11A-11C is described in the prior patent application publication described above.

[0091] 図11Eは、図11A~Cに示されるものなどの上層及び下層における周期構造を含むサブターゲット612、614、616、618を有する例示的なターゲット600を上方から概略的に示す。下層は、図11Eには示されていない。一実施形態では、サブターゲット612、614、616、618は、2つの垂直方向(例えば、X及びY)におけるオーバーレイを測定するように設計され、その測定を容易にするように課されたバイアスdを有する(図11B及び11Cに関して上述されるように)。図11Eの実施形態は4つのサブターゲットを示しているが、異なる数でもよく、それらはすべて、1つの方向におけるオーバーレイを測定するため又は2つを超える方向におけるオーバーレイを測定するために使用することができる。 [0091] FIG. 11E schematically shows an exemplary target 600 having subtargets 612, 614, 616, 618 including periodic structures in the upper and lower layers, such as those shown in FIGS. 11A-C. The lower layer is not shown in FIG. 11E. In one embodiment, the sub-targets 612, 614, 616, 618 are designed to measure overlays in two vertical directions (eg, X and Y), with a bias d imposed to facilitate the measurement. (As described above with respect to FIGS. 11B and 11C). The embodiment of FIG. 11E shows four sub-targets, but may be different numbers, all of which are used to measure overlays in one direction or to measure overlays in more than two directions. Can be done.

[0092] 一実施形態では、サブターゲット612及び614は共に、X方向におけるオーバーレイを測定するように設計される。一実施形態では、サブターゲット612は+dのバイアスを有し、サブターゲット614は-dのバイアスを有する。一実施形態では、サブターゲット616及び618は共に、Y方向におけるオーバーレイを測定するように設計される。一実施形態では、サブターゲット616は+dのバイアスを有し、サブターゲット618は-dのバイアスを有する。 [0092] In one embodiment, the sub-targets 612 and 614 are both designed to measure overlay in the X direction. In one embodiment, the sub-target 612 has a + d bias and the sub-target 614 has a −d bias. In one embodiment, the sub-targets 616 and 618 are both designed to measure overlay in the Y direction. In one embodiment, the sub-target 616 has a + d bias and the sub-target 618 has a −d bias.

[0093] 図11Fは、図11Eに示されるものなどのターゲット600からのステップS2からの通常の次数(例えば、+1次)の放射線の検出された回折信号の例を示す。図11Gは、図11Eに示されるものなどのターゲット600からのステップS3からの相補的な次数(例えば、-1次)の放射線の検出された回折信号の例を示す。各周期構造方向(X及びY)に対し、図11F及び11Gにおいて「+」(+dバイアスの場合)及び「-」(-dバイアスの場合)によって示されるような反対方向の意図的なバイアスを有する2つの周期構造が存在する。したがって、X+は、サブターゲット612から検出された回折信号を表し、X-は、サブターゲット614から検出された回折信号を表し、Y+は、サブターゲット618から検出された回折信号を表し、Y-は、サブターゲット616から検出された回折信号を表す。したがって、周期構造の周期性方向ごとに4つの回折強度信号が検出される。 [0093] FIG. 11F shows an example of a detected diffraction signal of normal order (eg, +1 order) radiation from step S2 from target 600, such as that shown in FIG. 11E. FIG. 11G shows an example of a detected diffracted signal of complementary order (eg, -1) order radiation from step S3 from target 600, such as that shown in FIG. 11E. For each periodic structural direction (X and Y), intentional bias in the opposite direction as indicated by "+" (in the case of + d bias) and "-" (in the case of −d bias) in FIGS. 11F and 11G. There are two periodic structures that have. Therefore, X + represents the diffraction signal detected from the sub-target 612, X- represents the diffraction signal detected from the sub-target 614, Y + represents the diffraction signal detected from the sub-target 618, and Y- Represents the diffraction signal detected from the sub-target 616. Therefore, four diffraction intensity signals are detected for each periodic direction of the periodic structure.

[0094] 図11Hは、2層周期構造(図11A~Cに示されるものなど)を有するターゲット(サブターゲット612、614、616又は618など)からの放射線の回折を説明するための簡単なモデルの概略的な描写である。上層及び下層から回析された放射線の複素振幅が示されている。下層から回析された放射線は、オーバーレイからの位相寄与を含む。 [0094] FIG. 11H is a simple model for explaining the diffraction of radiation from a target (such as subtarget 612, 614, 616 or 618) having a two-layer periodic structure (such as those shown in FIGS. 11A-C). It is a schematic description of. The complex amplitudes of the radiation diffracted from the upper and lower layers are shown. Radiation diffracted from the underlying layer contains phase contributions from the overlay.

[0095] 図12において、曲線702は、ターゲットを形成する個々の周期構造内、特に第1の構造の個々の周期構造内でオフセットを有さず、構造的非対称性を有さない「理想的な」ターゲットに関するオーバーレイOVと強度非対称性Aとの関係を示す。その結果、この理想的なターゲットのターゲット非対称性は、既知の課されたバイアス及びオーバーレイ誤差OVから生じる第1の構造と第2の構造とのミスアライメントによるオーバーレイ寄与のみを含む。このグラフ及び図13のグラフは、本開示の背景にある原理のみを示しており、各グラフにおいて、強度非対称性A及びオーバーレイOVの単位は任意である。実際の寸法の例は、以下にさらに提示する。 [0095] In FIG. 12, the curve 702 has no offset and no structural asymmetry within the individual periodic structures forming the target, especially within the individual periodic structures of the first structure. The relationship between the overlay OV and the intensity asymmetry A with respect to the target is shown. As a result, the target asymmetry of this ideal target includes only the overlay contribution due to the misalignment of the first and second structures resulting from the known imposed bias and overlay error OVE . This graph and the graph of FIG. 13 show only the principles behind the present disclosure, in which the units of intensity asymmetry A and overlay OV are arbitrary. Examples of actual dimensions are further presented below.

[0096] 図12の「理想的な」状況では、曲線702は、強度非対称性Aがオーバーレイとの非線形の周期的な関係(例えば正弦関係)を有することを示す。正弦波変動の周期Pは、周期構造の周期又はピッチPに対応し、当然、適切なスケールに変換される。この例では正弦波形は純粋なものであるが、現実の状況では高調波を含むことがある。 [0096] In the "ideal" situation of FIG. 12, curve 702 shows that the intensity asymmetry A has a non-linear periodic relationship (eg, a sine relationship) with the overlay. The period P of the sinusoidal fluctuation corresponds to the period or pitch P of the periodic structure and is, of course, converted to an appropriate scale. In this example, the sine and cosine waveform is pure, but in real life it may contain harmonics.

[0097] 上述したように、オーバーレイを測定するために、単一の測定量に依拠するのではなく、(既知の課されたオーバーレイバイアスを有する)バイアス周期構造を使用することができる。このバイアスは、それが生成されたパターニングデバイス(例えばレチクル)で定義される既知の値を有し、これは、測定された強度非対称性に対応するオーバーレイの基板上での較正として働く。図面には、算出結果がグラフで示されている。ステップS1~S5において、(例えば図11B及び図11Cに示されるように)課されたバイアス+d及び-dをそれぞれ有する周期構造に関して、強度非対称性測定量A+d及びA-dが得られる。これらの測定量を正弦曲線に当てはめると、図示のように点704及び706が得られる。バイアスを知ると、真のオーバーレイ誤差OVを算出することができる。正弦曲線のピッチPは、ターゲットの設計から分かっている。曲線702の垂直スケールは、最初は分かっておらず、未知の係数であり、1次高調波比例定数Kと呼ぶことができる。したがって、オーバーレイ感度Kは、オーバーレイに対する強度非対称性測定量の感度の尺度である。一実施形態では、オーバーレイ感度Kは、オーバーレイに対する測定された強度の割合である。したがって、オーバーレイ感度Kは、オーバーレイのプロセス依存性を検出する助けとなる。 [0097] As mentioned above, a bias period structure (with known imposed overlay bias) can be used to measure the overlay, rather than relying on a single measure. This bias has a known value as defined by the patterning device from which it was generated (eg, the reticle), which acts as a calibration on the substrate of the overlay corresponding to the measured intensity asymmetry. In the drawing, the calculation result is shown in a graph. In steps S1-5, intensity asymmetry measures A + d and Ad are obtained for periodic structures with biases + d and −d, respectively, imposed (eg, as shown in FIGS. 11B and 11C). When these measures are applied to a sinusoidal curve, points 704 and 706 are obtained as shown. Knowing the bias, the true overlay error OVE can be calculated. The pitch P of the sinusoidal curve is known from the design of the target. The vertical scale of curve 702 is initially unknown and is an unknown coefficient and can be referred to as the first harmonic proportionality constant K. Therefore, the overlay sensitivity K is a measure of the sensitivity of the intensity asymmetry measure to the overlay. In one embodiment, the overlay sensitivity K is the ratio of the measured intensity to the overlay. Therefore, the overlay sensitivity K helps detect the process dependency of the overlay.

[0098] 等式としては、オーバーレイ誤差OVと強度非対称性Aとの関係は、以下のように仮定される。
±d=Ksin(OV±d) (1)
ここで、オーバーレイ誤差OVは、ターゲットピッチPが角度2πラジアンに対応するようにスケール調整して表される。異なる既知のバイアス(例えば+d及び-d)を有する周期構造の2つの測定量を使用して、以下の式を用いてオーバーレイ誤差OVを算出することができる。

Figure 0007000454000001
[0098] As an equation, the relationship between the overlay error OVE and the intensity asymmetry A is assumed as follows.
A ± d = Ksin (OVE ± d) (1)
Here, the overlay error OVE is expressed by adjusting the scale so that the target pitch P corresponds to the angle 2π radians. Overlay error OVE can be calculated using the following equation using two measures of periodic structure with different known biases (eg + d and −d).
Figure 0007000454000001

[0099] 図11Hに戻ると、オーバーレイOV(オーバーレイ誤差OVとも呼ばれる)は、以下の通り評価することもできる。具体的には、図11Hに表されているモデルに基づいて、+1次及び-1次の回析された放射線の強度を以下の通り算出することができる。

Figure 0007000454000002
ここで、
Figure 0007000454000003
は、オーバーレイ及びバイアスによる位相差であり、
Figure 0007000454000004
は、上層から回析された放射線と下層から回析された放射線との残りの位相差であり、上部周期構造と下部周期構造との間の層の厚さTに比例し、入射放射線の波長に反比例する。 [0099] Returning to FIG . 11H, the overlay OV (also referred to as overlay error OVE) can also be evaluated as follows. Specifically, based on the model shown in FIG. 11H, the +1 and -1st order diffracted radiation intensities can be calculated as follows.
Figure 0007000454000002
here,
Figure 0007000454000003
Is the phase difference due to overlay and bias.
Figure 0007000454000004
Is the remaining phase difference between the radiation diffracted from the upper layer and the radiation diffracted from the lower layer, proportional to the layer thickness T between the upper and lower periodic structures, and the wavelength of the incident radiation. Is inversely proportional to.

[00100] 便宜上、1つの周期構造方向(例えば、X)における4つの強度は、以下の通り指定することができる。
- PBN(正のバイアス周期構造からの+1次回折)
- PBC(正のバイアス周期構造からの-1次回折)
- NBN(負のバイアス周期構造からの+1次回折)
- NBC(負のバイアス周期構造からの-1次回折)
したがって、ΔIPBは、PBN-PBCとして指定することができ、ΔINBは、NBN-NBCとして指定することができる。次いで、+1次及び-1次放射線からの回析された波の振幅及び位相(オーバーレイ位相を除く)が等しく、また、正のバイアス及び負のバイアス周期構造からの回析された波の振幅及び位相も等しく、メトロロジデバイスの光学機器自体が対称であると仮定すると、+1次放射線の強度と-1次放射線の強度との差は、ΔI=K.sin(ΦOV)として導出され、Kは、オーバーレイ比例係数であり、K=4A.B.sin(β)に等しい。したがって、オーバーレイは、以下の通り算出することができる。

Figure 0007000454000005
[00100] For convenience, the four strengths in one periodic structural direction (eg, X) can be specified as follows.
-PBN (+1st order diffraction from positive bias period structure)
-PBC (-1st order diffraction from positive bias period structure)
-NBN (+1st order diffraction from negative bias period structure)
-NBC (-1st order diffraction from negative bias period structure)
Therefore, ΔI PB can be designated as PBN-PBC, and ΔI NB can be designated as NBN-NBC. The amplitude and phase of the diffracted waves from the + 1 and -1st order radiation (excluding the overlay phase) are then equal, and the amplitude and phase of the diffracted waves from the positive and negative bias period structures. Assuming that the phases are equal and the optics of the metrology device themselves are symmetric, the difference between the intensity of the +1st order radiation and the intensity of the -1st order radiation is ΔI = K. et al. Derived as sin (Φ OV ), K is the overlay proportional coefficient and K = 4A. B. Equal to sin (β). Therefore, the overlay can be calculated as follows.
Figure 0007000454000005

[00101] ここで、図11Dは、構造的非対称性、この場合には第1の構造における構造的非対称性(下方又は底部構造非対称性)の現象を概略的に示す。図11A~図11Cでの周期構造内のフィーチャは、完全に四角形の面で示されているが、現実のフィーチャは、面にいくらかの傾斜及びある程度の粗さを有する。それにもかかわらず、それらは、プロファイルが少なくとも対称であるように意図されている。図11Dでの第1の構造のフィーチャ602及び/又は空間604は、もはや全く対称形ではなく、1つ又は複数の処理ステップによって歪められている。したがって、例えば、各空間の底面は傾斜している(底壁傾斜)。例えば、フィーチャ及び空間の側壁角度が非対称になっている。この結果、ターゲットの全体的なターゲット非対称性は、構造的非対称性とは無関係のオーバーレイ寄与(すなわち、第1の構造と第2の構造のミスアライメントによるオーバーレイ寄与;それ自体、オーバーレイ誤差と任意の既知の課されたバイアスとからなる)と、ターゲットにおけるこの構造的非対称性による構造的寄与とを含む。 [00101] Here, FIG. 11D schematically shows the phenomenon of structural asymmetry, in this case structural asymmetry (downward or bottom structural asymmetry) in the first structure. The features in the periodic structure in FIGS. 11A-11C are shown as completely quadrangular faces, but the actual features have some slope and some roughness on the faces. Nevertheless, they are intended to have a profile that is at least symmetrical. The features 602 and / or space 604 of the first structure in FIG. 11D are no longer quite symmetrical and are distorted by one or more processing steps. Therefore, for example, the bottom surface of each space is inclined (bottom wall inclination). For example, the side wall angles of features and spaces are asymmetric. As a result, the overall target asymmetry of the target is an overlay contribution independent of structural asymmetry (ie, overlay contribution due to misalignment of the first and second structures; itself, overlay error and any. Consists of known imposed biases) and the structural contribution of this structural asymmetry in the target.

[00102] バイアス周期構造を2つだけ使用して図10の方法によってオーバーレイが測定されるとき、プロセスに起因する構造的非対称性は、ミスアライメントによるオーバーレイ寄与と区別することができず、結果としてオーバーレイ測定(特に、望ましくないオーバーレイ誤差の測定)は信頼できないものとなる。ターゲットの第1の構造(底部周期構造)における構造的非対称性は、構造的非対称性の一般的な形態である。この構造的非対称性は、例えば、第1の構造が最初に形成された後に実施される化学機械研磨(CMP)などの基板処理ステップで発生し得る。 [00102] When overlays are measured by the method of FIG. 10 using only two bias period structures, the structural asymmetry due to the process is indistinguishable from the overlay contribution due to misalignment, resulting in Overlay measurements (especially measurements of unwanted overlay errors) are unreliable. Structural asymmetry in the first structure of the target (bottom periodic structure) is a common form of structural asymmetry. This structural asymmetry can occur, for example, in substrate processing steps such as chemical mechanical polishing (CMP) performed after the first structure is first formed.

[00103] 図13は、構造的非対称性、例えば図11Dに示される底部周期構造非対称性を導入する第1の効果を示す。「理想的な」正弦曲線702は、もはや当てはまらない。しかし、少なくとも概して、底部周期構造非対称性又は他の構造的非対称性は、強度非対称性A±dに強度シフト項K及び位相シフト項φを加える効果を有する。結果として得られる曲線は、グラフ中に符号712として示され、ラベルKは強度シフト項を示し、ラベルφは位相オフセット項を示す。強度シフト項K及び位相シフト項φは、ターゲットと、測定放射線の選択された特徴、例えば測定放射線の波長及び/又は偏光との組合せに依存し、プロセス変動に敏感である。等式としては、ステップS6での算出に使用される関係は以下のようになる。
±d=K+Ksin(OV±d+φ) (5)
[00103] FIG. 13 shows the first effect of introducing structural asymmetry, eg, bottom periodic structural asymmetry as shown in FIG. 11D. The "ideal" sinusoidal curve 702 no longer applies. However, at least in general, bottom periodic structural asymmetry or other structural asymmetry has the effect of adding the intensity shift term K 0 and the phase shift term φ to the intensity asymmetry A ± d . The resulting curve is shown in the graph as reference numeral 712, where label K 0 indicates the intensity shift term and label φ indicates the phase offset term. The intensity shift term K 0 and the phase shift term φ depend on the combination of the target and the selected characteristics of the measured radiation, such as the wavelength and / or polarization of the measured radiation, and are sensitive to process variability. As an equation, the relationship used for the calculation in step S6 is as follows.
A ± d = K 0 + Ksin (OVE ± d + φ) (5)

[00104] 構造的非対称性がある場合、式(2)によって記述されるオーバーレイモデルは、強度シフト項K及び位相シフト項φによって影響を及ぼされるオーバーレイ誤差値を提供し、結果として不正確になる。また、構造的非対称性は、強度及び位相シフトが例えば波長及び/又は偏光に依存するので、オーバーレイ誤差をマッピングするときに、1つ又は複数の異なる測定パラメータ(例えば測定ビームの波長や測定ビームの偏光など)を使用する同じターゲットの測定の差をもたらす。 [00104] In the presence of structural asymmetry, the overlay model described by Eq. (2) provides overlay error values affected by the intensity shift term K 0 and the phase shift term φ, resulting in inaccuracies. Become. Also, structural asymmetry is due to the fact that the intensity and phase shift depend, for example, on wavelength and / or polarization, so when mapping overlay errors, one or more different measurement parameters (eg, the wavelength of the measurement beam or the measurement beam). It results in measurement differences for the same target using (such as polarization).

[00105] 修正されたステップS6のオーバーレイ算出は、いくつかの仮定に依拠する。第1に、強度非対称性がオーバーレイの正弦関数として挙動し、周期Pが格子ピッチに対応すると仮定する。これらの仮定は、現在のオーバーレイ範囲に有効である。小さいピッチ-波長比は、格子からの少数の伝播回折次数のみを可能にするので、高調波の数を小さく設計することができる。しかし、実際には、ミスアライメントによる強度非対称性に対するオーバーレイ寄与は、必ずしも真に正弦波形ではないことがあり、またOV=0に関して必ずしも完全に対称ではないことがある。 [00105] The modified step S6 overlay calculation relies on some assumptions. First, it is assumed that the intensity asymmetry behaves as an overlay sine function and the period P corresponds to the grid pitch. These assumptions are valid for the current overlay range. The small pitch-wavelength ratio allows only a small number of propagation diffraction orders from the grid, so the number of harmonics can be designed to be small. However, in practice, the overlay contribution to intensity asymmetry due to misalignment may not always be a true sinusoidal waveform and may not always be perfectly symmetric with respect to OV = 0.

[00106] したがって、構造的非対称性の効果は、概して以下のように定式化することができる。
ΔI=K(OV+d)+ΔIBG (6)
ΔI=K(OV-d)+ΔIBG (7)
ここで、ΔI(A-とも同義)及びΔI(Aとも同義)は、測定された強度非対称性を表し、ΔIBGは、強度非対称性に対する構造的非対称性の寄与である。したがって、オーバーレイ誤差ΔOVは、ΔIBG/Kの関数とみなすことができる。
[00106] Therefore, the effect of structural asymmetry can generally be formulated as follows.
ΔI + = K (OV + d) + ΔI BG (6)
ΔI = K (OV−d) + ΔI BG (7)
Here, ΔI (also synonymous with A−) and ΔI + (also synonymous with A + ) represent the measured intensity asymmetry, and ΔI BG is the contribution of the structural asymmetry to the intensity asymmetry. Therefore, the overlay error ΔOV can be regarded as a function of ΔI BG / K.

[00107] ここで、ターゲット内の構造的非対称性に加えて、又はその代わりに、ターゲットの隣接する周期構造間又は隣接するターゲット間のスタック差が、オーバーレイ測定などの測定の精度に悪影響を及ぼす因子となり得ることがさらに明らかになっている。スタック差は、隣接する周期構造又はターゲット間の物理的構成の設計外の相違と理解することができる。スタック差は、隣接する周期構造又はターゲットにおいてよくあるオーバーレイ誤差以外、意図的なバイアス以外、及び構造的非対称性以外による、隣接する周期構造又はターゲット間の測定放射線の光学特性(例えば強度や偏光など)の差を引き起こす。スタック差は、限定はしないが、隣接する周期構造又はターゲット間の厚さの差(例えば、1つの周期構造又はターゲットが、実質的に等しいレベルになるように設計された別の周期構造又はターゲットよりも高くなる又は低くなるような、1つ又は複数の層の厚さの差)、隣接する周期構造又はターゲット間の屈折率の差(例えば、実質的に等しい合成屈折率を有するように設計されたとしても、1つの周期構造又はターゲットに関する1つ又は複数の層に関する合成屈折率が、別の周期構造又はターゲットに関する1つ又は複数の層に関する合成屈折率とは異なるような、1つ又は複数の層の屈折率の差)、隣接する周期構造又はターゲット間の材料の相違(例えば、実質的に同じ材料を有するように設計された、1つの周期構造又はターゲットと別の周期構造又はターゲットとに関する材料の相違が存在するような、1つ又は複数の層の材料タイプや材料均一性などの相違)、隣接する周期構造又はターゲットの構造の格子周期の差(例えば、実質的に同じ格子周期を有するように設計された、1つの周期構造又はターゲットと別の周期構造又はターゲットとに関する格子周期の差)、隣接する周期構造又はターゲットの構造の深さの差(例えば、実質的に同じ深さを有するように設計された、1つの周期構造又はターゲットと別の周期構造又はターゲットとの構造の深さのエッチングによる差)、隣接する周期構造又はターゲットのフィーチャの幅(CD)の差(例えば、フィーチャの実質的に同じ幅を有するように設計された、1つの周期構造又はターゲットと別の周期構造又はターゲットとのフィーチャの幅の差)などを含む。いくつかの例では、スタック差は、パターニングプロセスにおいて、CMP、層堆積、エッチングなどの処理ステップによって導入される。一実施形態では、互いに200μm以内、互いに150μm以内、互いに100μm以内、互いに75μm以内、互いに50μm以内、互いに40μm以内、互いに30μm以内、互いに20μm以内、又は互いに10μm以内にある場合、周期構造又はターゲットは隣接している。 [00107] Here, in addition to or instead of structural asymmetry within the target, stack differences between adjacent periodic structures of the target or between adjacent targets adversely affect the accuracy of measurements such as overlay measurements. It is further clear that it can be a factor. Stack differences can be understood as undesigned differences in the physical configuration between adjacent periodic structures or targets. Stack differences are the optical properties of measured radiation between adjacent periodic structures or targets (eg, intensity, polarization, etc.) due to non-intentional bias and non-structural asymmetry, other than overlay errors common in adjacent periodic structures or targets. ) Causes a difference. Stack differences are, but are not limited to, differences in thickness between adjacent periodic structures or targets (eg, another periodic structure or target designed so that one periodic structure or target is at substantially equal levels. Designed to have substantially equal synthetic refraction (eg, differences in the thickness of one or more layers), differences in refractive index between adjacent periodic structures or targets, such as higher or lower. If so, one or more such that the synthetic refractive index for one or more layers with respect to one periodic structure or target is different from the synthetic refractive index for one or more layers with respect to another periodic structure or target. Differences in refractive index between multiple layers), material differences between adjacent periodic structures or targets (eg, one periodic structure or target and another periodic structure or target designed to have substantially the same material) Differences in material type, material uniformity, etc. of one or more layers such that there are material differences with respect to, and lattice periodic differences in adjacent periodic or target structures (eg, substantially the same lattice). Differences in the depth of one periodic structure or target and another periodic structure or target designed to have a period, adjacent periodic structures or target structures (eg, substantially the same) Differences in depth due to etching of one periodic structure or target and another periodic structure or structure designed to have depth), differences in the width (CD) of adjacent periodic structures or features of the target (For example, the difference in the width of a feature from one periodic structure or target to another periodic structure or target designed to have substantially the same width of the feature) and the like. In some examples, stack differences are introduced in the patterning process by processing steps such as CMP, layer deposition, etching. In one embodiment, the periodic structure or target is within 200 μm of each other, within 150 μm of each other, within 100 μm of each other, within 75 μm of each other, within 50 μm of each other, within 40 μm of each other, within 30 μm of each other, within 20 μm of each other, or within 10 μm of each other. Adjacent.

[00108] スタック差(格子間の格子不均衡と呼ぶこともできる)の効果は、概して以下のように定式化することができる。
ΔI=(K+ΔK)(OV+d) (8)
ΔI=(K-ΔK)(OV-d) (9)
ここで、ΔKは、スタック差に起因するオーバーレイ感度の差を表す。したがって、オーバーレイ誤差ΔOVは、

Figure 0007000454000006
に比例し得る。 [00108] The effect of stack differences (also called lattice imbalances between lattices) can generally be formulated as follows.
ΔI + = (K + ΔK) (OV + d) (8)
ΔI = (K−ΔK) (OV−d) (9)
Here, ΔK represents the difference in overlay sensitivity due to the stack difference. Therefore, the overlay error ΔOV is
Figure 0007000454000006
Can be proportional to.

[00109] したがって、スタック差を特徴付けるために、1つ又は複数のスタック差パラメータを定義することができる。上記のように、スタック差パラメータは、隣接する周期構造又はターゲットの設計外の異なる物理的構成の尺度である。一実施形態では、スタック差パラメータは、隣接する周期構造又はターゲットの断面を評価することから決定することができる。 [00109] Therefore, one or more stack difference parameters can be defined to characterize the stack difference. As mentioned above, the stack difference parameter is a measure of the adjacent periodic structure or a different physical configuration outside the design of the target. In one embodiment, the stack difference parameter can be determined by evaluating adjacent periodic structures or cross sections of the target.

[00110] 一実施形態では、スタック差パラメータは、上側格子が適用される前に下側隣接格子を評価することによって、複合格子の下側隣接格子に関して決定することができる。一実施形態では、スタック差パラメータは、隣接する周期構造若しくはターゲットの光学測定量から、又は隣接する周期構造若しくはターゲットの断面積からの、隣接する周期構造若しくはターゲットの再構成により導出することができる。すなわち、物理的寸法、特徴、材料特性などが再構成され、隣接する周期構造又はターゲット間の差が、スタック差パラメータに達するように決定される。 [00110] In one embodiment, the stack difference parameter can be determined for the lower adjacent grid of the composite grid by evaluating the lower adjacent grid before the upper grid is applied. In one embodiment, the stack difference parameter can be derived by reconstructing an adjacent periodic structure or target from an optical measure of an adjacent periodic structure or target, or from an adjacent periodic structure or cross-sectional area of the target. .. That is, the physical dimensions, features, material properties, etc. are reconstructed and the difference between adjacent periodic structures or targets is determined to reach the stack difference parameter.

[00111] スタック差パラメータの一実施形態は、以下のように定義することができる周期構造強度不均衡(GI)である。

Figure 0007000454000007
ここで、
Figure 0007000454000008
は、+dバイアスを有する第1の周期構造によって回折された+1次回折強度信号
Figure 0007000454000009
と、+dバイアスを有する第1の周期構造によって回折された-1次回折強度信号
Figure 0007000454000010
との平均値である。同様に、
Figure 0007000454000011
は、-dバイアスを有する第2の周期構造によって回折された+1次回折強度信号
Figure 0007000454000012
と、-dバイアスを有する第2の周期構造によって回折された-1次回折強度信号
Figure 0007000454000013
との平均値である。一実施形態では、周期構造強度不均衡(GI)は、
Figure 0007000454000014
などの導出バージョンでよい。 [00111] One embodiment of the stack difference parameter is a periodic structural strength imbalance (GI) that can be defined as follows.
Figure 0007000454000007
here,
Figure 0007000454000008
Is a + 1st order diffraction intensity signal diffracted by a first periodic structure with a + d bias.
Figure 0007000454000009
And the -1st order diffraction intensity signal diffracted by the first periodic structure with + d bias.
Figure 0007000454000010
Is the average value of. Similarly,
Figure 0007000454000011
Is a + 1st order diffraction intensity signal diffracted by a second periodic structure with a −d bias.
Figure 0007000454000012
And the -1st order diffraction intensity signal diffracted by the second periodic structure with -d bias.
Figure 0007000454000013
Is the average value of. In one embodiment, the periodic structural strength imbalance (GI) is
Figure 0007000454000014
It may be a derived version such as.

[00112] 上述したオーバーレイ算出方法の問題は、その導出に対して置かれた仮定を維持できない場合が多いことである。例えば、光路特性及び/又は放射源は、通常の放射線と相補的な放射線とで完全に対称ではない場合があり、これは、実際の回折強度と混同する可能性がある。それに加えて又はその代替として、メトロロジターゲットは、構造的に対称ではない。上記のように、これは、通常、パターニングプロセスの処理ステップが原因で起こる。非対称的な挙動は、例えば、ターゲットの下部周期構造の構造的非対称性(BGA)に起因して及び/又は正のバイアス周期構造と負のバイアス周期構造とのスタック差に起因して(周期構造強度不均衡(GI)によって特徴付けることができる)、通常の強度と相補的な強度との間で起こり得る。 [00112] The problem with the overlay calculation method described above is that it is often not possible to maintain the assumptions made for its derivation. For example, the optical path characteristics and / or the source may not be perfectly symmetric with normal radiation and complementary radiation, which can be confused with the actual diffraction intensity. In addition to or as an alternative, metrology targets are not structurally symmetric. As mentioned above, this usually occurs due to the processing steps of the patterning process. The asymmetric behavior is due, for example, to the structural asymmetry (BGA) of the lower periodic structure of the target and / or due to the stack difference between the positive and negative bias periodic structures (periodic structure). It can occur between normal and complementary intensities (which can be characterized by intensity imbalance (GI)).

[00113] そのような非対称性から生じる1つ又は複数の誤差を解消する上で役立てるため、較正を使用して、例えば、光路特性及び/又は放射源における非対称性にある程度対処することができる。そして、ターゲットにおける物理的な違い(例えば、下部周期構造の構造的非対称性(BGA)及び/又はスタック差)に関し、1つ又は複数の測定規準(スタック差の場合は周期構造強度不均衡(GI)など)を使用して、例えば、問題となる可能性が低い波長を識別することができる。例えば、波長スペクトルの良い領域の予測を試みる間接的な測定規準に基づいて、「最良の」波長を選ぶことができる。そのような「最良の」波長の識別は、測定規準が時折合わないことを考慮しても、非常に難易度の高いタスクである。その上、選択された「最良の」波長でさえ、オーバーレイの精度が常に最適であると確信できるわけではない。 [00113] To help eliminate one or more errors resulting from such asymmetry, calibration can be used, for example, to address some degree of asymmetry in optical path characteristics and / or sources. Then, with respect to physical differences in the target (eg, structural asymmetry (BGA) and / or stack difference of the lower periodic structure), one or more measurement criteria (periodic structure strength imbalance (GI in the case of stack difference)). ) Etc.) can be used, for example, to identify wavelengths that are unlikely to be a problem. For example, the "best" wavelength can be selected based on indirect metrics that attempt to predict good regions of the wavelength spectrum. Identifying such "best" wavelengths is a very difficult task, even considering that the measurement criteria sometimes do not match. Moreover, even with the "best" wavelengths selected, one cannot always be confident that the overlay accuracy will be optimal.

[00114] したがって、新しいオーバーレイ決定技法を使用してこれらの誤差の対処及び/又は補正を行えることが望ましい。そのようなオーバーレイ決定技法は、様々な用途において使用することができる。第1の例示的な用途は、例えば、パターニングプロセスの例えば制御、設計などにおける使用のためにパターニングプロセスの実行の一部としてオーバーレイ値を導出するために、大量に又は製造中に所望のオーバーレイ値を導出することである。別の例示的な用途は、例えば、測定のために使用される放射線波長などのメトロロジプロセスの条件を選択するために、メトロロジプロセスの設計、制御などにおける使用のためにオーバーレイ値を導出することである(メトロロジプロセスは、方程式(1)~(4)に関して上述した技法などの異なるオーバーレイ算出技法を使用することができる)。 [00114] Therefore, it is desirable to be able to address and / or correct for these errors using new overlay determination techniques. Such overlay determination techniques can be used in a variety of applications. The first exemplary use is, for example, to derive overlay values as part of the patterning process execution for use in patterning processes such as control, design, etc., in large quantities or during manufacturing desired overlay values. Is to derive. Another exemplary use is to derive overlay values for use in the design, control, etc. of a metrology process, for example to select conditions for the metrology process, such as the radiation wavelength used for measurement. That is (the metrology process can use different overlay calculation techniques such as those described above for equations (1)-(4)).

[00115] 新しいオーバーレイ決定技法の実施形態では、オーバーレイ誤差によって生じるものを除いた放射線におけるいくつかの非対称性を考慮し、正確なオーバーレイを測定するように設計された(例えば、ターゲット非対称性及び/又はセンサ非対称性に対してロバストな)数学的モデルが使用される。一実施形態では、モデルは、複数の異なる波長に基づく方程式を伴う。一実施形態では、その変数として4つの異なる波長を有する16個の連立方程式が提供される。したがって、この実施形態では、オーバーレイ値を導出するため、4つの異なる波長に対して測定量が得られ、16個の連立方程式が解かれ、方程式は、例えば、16個の未知数を有する。 [00115] In embodiments of the new overlay determination technique, some asymmetries in radiation, excluding those caused by overlay errors, are considered and designed to measure accurate overlays (eg, target asymmetry and /). Or a mathematical model (which is robust to sensor asymmetry) is used. In one embodiment, the model involves equations based on multiple different wavelengths. In one embodiment, 16 simultaneous equations with four different wavelengths are provided as variables thereof. Therefore, in this embodiment, in order to derive the overlay value, a measure is obtained for four different wavelengths, 16 simultaneous equations are solved, and the equation has, for example, 16 unknowns.

[00116] 以下では、4つの異なる波長に基づく、図11Eに示されるものなどのターゲットに対する、1組の方程式の例が提示されている。具体的には、その方程式は、特定のオーバーレイ方向(例えば、X又はY方向)及びそのオーバーレイ方向と関連付けられたサブターゲットに対するものである。例えば、その方程式は、X方向におけるオーバーレイを測定するためのサブターゲット612及び614の組合せに対するものであり得、サブターゲット612は+dのバイアスを有し、サブターゲット614は-dのバイアスを有する。或いは、その方程式は、Y方向におけるオーバーレイを測定するためのサブターゲット616及び618の組合せに対するものであり得、サブターゲット616は+dのバイアスを有し、サブターゲット618は-dのバイアスを有する。連立方程式は、以下の式を含む。

Figure 0007000454000015
Figure 0007000454000016
Figure 0007000454000017
ここで、F…F16は、最適化(例えば、それらの絶対値を最小化する)のための関数であり、OVは、オーバーレイであり、λ…λは、測定のためにターゲットを照明するように使用された照明測定放射線の異なる波長であり、Aは、サブターゲットの上部周期構造から回析された波の振幅であり、B…Bは、サブターゲットの下部周期構造から回析された波の振幅であり(この場合、4つの変数Bが存在し、各々は、サブターゲットと回折次数の組合せのそれぞれと関連付けられ、以下でさらに説明されるように特定の方法で互いに異なり得る(例えば、無関係であり得る)(例えば、異なる値を有する))、β…βは、下部周期構造に入射する放射線と上部周期構造に入射する放射線との間で生じる位相差であり(この例では、4つの変数βが存在し、各々は、サブターゲットと回折次数の組合せのそれぞれと関連付けられ、以下でさらに説明されるように特定の方法で互いに異なり得る(例えば、異なる値を有する))、Pは、ターゲットのピッチであり、dは、ターゲットのバイアスであり、α及びαは、センサ非対称性誤差を説明する係数であり(この例では、2つの変数αが存在し、各々は、回折次数のそれぞれと関連付けられ、以下でさらに説明されるように特定の方法で互いに異なり得る(例えば、異なる値を有する))、γ…γは、異なる波長による測定の間の照明測定放射線強度の変化を説明する係数であり(具体的には、通常は異なる時間に行われるため、強度は、異なる波長で測定すると変化させることができる、及び/又は、強度は、異なる波長を得るために変化させることができ、その数は、波長の数に等しい)、IPBN、IPBC、INBN及びINBCは、それぞれ識別された波長λ…λの放射線を使用して測定された抽出平均強度であり、それぞれが、正のバイアス周期構造(例えば、サブターゲット612)からの+1次回折の放射線(PBN)、正のバイアス周期構造(例えば、サブターゲット612)からの-1次回折(PBC)、負のバイアス周期構造(例えば、サブターゲット614)からの+1次回折(NBN)、及び、負のバイアス周期構造(例えば、サブターゲット614)からの-1次回折(NBC)に対応する。 [00116] Below is an example of a set of equations for a target, such as that shown in FIG. 11E, based on four different wavelengths. Specifically, the equation is for a particular overlay direction (eg, X or Y direction) and a subtarget associated with that overlay direction. For example, the equation can be for a combination of sub-targets 612 and 614 for measuring overlays in the X direction, with sub-target 612 having a + d bias and sub-target 614 having a −d bias. Alternatively, the equation can be for a combination of sub-targets 616 and 618 for measuring overlays in the Y direction, with sub-target 616 having a + d bias and sub-target 618 having a −d bias. The simultaneous equations include the following equations.
Figure 0007000454000015
Figure 0007000454000016
Figure 0007000454000017
Where F 1 ... F 16 is a function for optimization (eg, minimizing their absolute values), OV is an overlay, and λ 1 ... λ 4 is a target for measurement. Are the different wavelengths of the illumination measured radiation used to illuminate, where A is the amplitude of the wave diffracted from the upper periodic structure of the subtarget and B1 ... B4 are the lower periodic structures of the subtarget. The amplitude of the wave diffracted from (in this case, there are four variables B, each associated with each of the subtarget and diffraction order combinations, in a particular way as described further below. Beta 1 ... β 4 that can be different from each other (eg, can be irrelevant) (eg, have different values) are the phase differences that occur between the radiation incident on the lower periodic structure and the radiation incident on the upper periodic structure. (In this example, there are four variables β, each associated with each of the subtarget and diffraction order combinations, which can differ from each other in a particular way as further described below (eg, different). (Having a value)), P is the pitch of the target, d is the bias of the target, and α 1 and α 2 are coefficients that explain the sensor asymmetry error (in this example, the two variables α). Are present, each associated with each of the diffraction orders and may differ from each other in a particular way (eg, having different values) as further described below, γ 1 ... γ 4 due to different wavelengths. Illumination during measurement A coefficient that describes the change in measured radiation intensity (specifically, because it is usually done at different times, the intensity can be changed when measured at different wavelengths and / or intensity. Can be varied to obtain different wavelengths, the number of which is equal to the number of wavelengths), IPBN , IPBC , INNB and INBC are the identified wavelengths λ 1 … λ 4 respectively. The average intensity of the extractions measured using -1st order diffraction (PBC) from), + 1st order diffraction (NBN) from a negative bias periodic structure (eg, subtarget 614), and -1 from a negative bias periodic structure (eg, subtarget 614). Corresponds to the next diffraction (NBC).

[00117] この例では、4つの異なる波長が使用されているが、異なる数の波長を使用することができる。例えば、様々な仮定を置けば、2つの波長を使用することができる。別の例として、4つを超える波長を使用することができる。4つを超える(又は2つを超える)波長からの情報の追加は、変動に対するモデルのロバスト性を増大するために使用することができる。それに加えて又はその代替として、4つを超える波長を使用して、スポット不均一性(具体的には、正のバイアス強度と負のバイアス強度との間で異なるセンサからの非対称性)などの追加の未知のパラメータを決定することができる。 [00117] In this example, four different wavelengths are used, but different numbers of wavelengths can be used. For example, two wavelengths can be used, with various assumptions. As another example, more than four wavelengths can be used. Addition of information from more than 4 (or more than 2) wavelengths can be used to increase the robustness of the model to variation. In addition to or as an alternative, using more than four wavelengths, such as spot non-uniformity (specifically, asymmetry from different sensors between positive and negative bias intensities), etc. Additional unknown parameters can be determined.

[00118] 一実施形態では、連立方程式において異なる誤差発生源を考慮することができる。例えば、一実施形態では、正の次数(例えば、+1次)放射線と負の次数(例えば、-1次)放射線との間のセンサ非対称性、ターゲットの構造的非対称性及び/又はターゲット内のスタック差。 [00118] In one embodiment, different sources of error can be considered in the simultaneous equations. For example, in one embodiment, sensor asymmetry between positive-order (eg, +1-order) radiation and negative-order (eg, -1st-order) radiation, structural asymmetry of the target, and / or stack within the target. difference.

[00119] 一実施形態では、正の次数(例えば、+1次)放射線と負の次数(例えば、-1次)放射線との間のセンサ非対称性は、異なる変数α及びαを有することで説明される。一実施形態では、αは、正の次数(例えば、+1次)放射線に対応し、αは、負の次数(例えば、-1次)放射線に対応する。通常、オーバーレイを決定するために方程式が評価される際は、αとαは異なる値を有する。 [00119] In one embodiment, the sensor asymmetry between positive order (eg, +1 order) radiation and negative order (eg, -1 order) radiation is by having different variables α 1 and α 2 . Be explained. In one embodiment, α 1 corresponds to positive order (eg, +1) radiation and α 2 corresponds to negative order (eg, -1) radiation. Normally, α 1 and α 2 have different values when the equation is evaluated to determine the overlay.

[00120] 一実施形態では、ターゲットの構造的非対称性は、特定の異なる振幅B変数及び特定の異なるβ変数を有することで説明される。具体的には、一実施形態では、測定された放射線の特定の回折次数(例えば、+1次)の正値に対する放射線の振幅変数(例えば、B及び/又はB)は、測定された放射線の特定の回折次数(例えば、-1次)の負値に対する放射線の振幅変数(例えば、B及び/又はBに対してそれぞれB及び/又はB)とは異なり、測定された放射線の特定の回折次数の正値に対する放射線の少なくとも位相変数(例えば、β及び/又はβ)は、測定された放射線の特定の回折次数の負値に対する放射線の位相変数(例えば、β及び/又はβに対してそれぞれβ及び/又はβ)とは異なる。一実施形態では、B、B、β及び/又はβは、+1次放射線に対応し、B、B、β及び/又はβは、-1次放射線に対応する。通常は何らかのターゲット非対称性が存在するため、オーバーレイを決定するために方程式が評価される際は、BとB、BとB、βとβ、βとβは異なる値を有する。 [00120] In one embodiment, the structural asymmetry of the target is described by having certain different amplitude B variables and certain different β variables. Specifically, in one embodiment, the radiation amplitude variable (eg, B 1 and / or B 3 ) for a positive value of a particular diffraction order (eg, +1 order) of the measured radiation is the measured radiation. Unlike the radiation amplitude variables for negative values of a particular diffraction order (eg, -1st order) (eg, B 2 and / or B 4 for B 1 and / or B 3 , respectively), the measured radiation. At least a phase variable of radiation for a positive value of a particular diffraction order of (eg, β 1 and / or β 3 ) is a phase variable of radiation for a negative value of a particular diffraction order of measured radiation (eg, β 1 and / or β 3). / Or β 3 is different from β 2 and / or β 4 ), respectively. In one embodiment, B 1 , B 3 , β 1 and / or β 3 correspond to +1 primary radiation, and B 2 , B 4 , β 2 and / or β 4 correspond to -1 primary radiation. B 1 and B 2 , B 3 and B 4 , β 1 and β 2 , and β 3 and β 4 are different when the equation is evaluated to determine the overlay, usually because of some target asymmetry. Has a value.

[00121] 一実施形態では、ターゲット内のスタック差は、特定の異なる振幅B変数及び特定の異なるβ変数を有することで説明される。具体的には、一実施形態では、正のバイアス(例えば、+d)を有するターゲットのサブターゲットに対する放射線の振幅変数(例えば、B及び/又はB)は、負のバイアス(例えば、-d)を有するターゲットのサブターゲットに対する放射線の振幅変数(例えば、B及び/又はBに対してそれぞれB及び/又はB)とは異なり、正のバイアス(例えば、+d)を有するターゲットのサブターゲットに対する放射線の少なくとも位相変数(例えば、β及び/又はβ)は、負のバイアス(例えば、-d)を有するターゲットのサブターゲットに対する放射線の位相変数(例えば、β及び/又はβに対してそれぞれβ及び/又はβ)とは異なる。一実施形態では、B、B、β及び/又はβは、正のバイアスを有するターゲットのサブターゲットに対応し、B、B、β及び/又はβは、負のバイアスを有するターゲットのサブターゲットに対応する。通常は何らかのスタック差が存在するため、オーバーレイを決定するために方程式が評価される際は、BとB、BとB、βとβ、βとβは異なる値を有する。 [00121] In one embodiment, stack differences within a target are described by having specific different amplitude B variables and specific different β variables. Specifically, in one embodiment, the radiation amplitude variable (eg, B 1 and / or B 2 ) to the sub-target of the target having a positive bias (eg, + d) has a negative bias (eg, −d). ) Of the target with a positive bias (eg, + d ), unlike the amplitude variables of radiation to the subtarget of the target with) (eg, B3 and / or B4 with respect to B1 and / or B2 , respectively). At least the phase variable of radiation to the subtarget (eg β 1 and / or β 2 ) is the phase variable of radiation to the subtarget of the target having a negative bias (eg −d) (eg β 1 and / or β). 2 is different from β 3 and / or β 4 ), respectively. In one embodiment, B 1 , B 2 , β 1 and / or β 2 correspond to sub-targets of targets with a positive bias, while B 3 , B 4 , β 3 and / or β 4 are negative. Corresponds to sub-targets of biased targets. Since there is usually some stack difference, B 1 and B 3 , B 2 and B 4 , β 1 and β 3 , and β 2 and β 4 are different values when the equation is evaluated to determine the overlay. Has.

[00122] さらなる実施形態では、連立方程式(9)~(24)は、振幅(A、Bなど)に対応する変数が照明条件(例えば、波長)によって決まり、補正パラメータ(α及びαなど)に対応する変数が必ずしも照明条件(例えば、波長)によって決まるとは限らないように、形成することができる。連立方程式(9)~(24)は、方程式(9)~(24)の右側の項の各々に加える追加のオフセット定数を含み得る。 [00122] In a further embodiment, in the simultaneous equations (9) to (24), the variable corresponding to the amplitude (A, B, etc.) is determined by the illumination condition (for example, wavelength), and the correction parameters (α 1 and α 2 etc.) are determined. ) Can be formed so that the variable corresponding to) is not always determined by the illumination condition (for example, wavelength). Simultaneous equations (9)-(24) may include additional offset constants to be added to each of the terms on the right side of equations (9)-(24).

[00123] したがって、方程式(9)~(24)を評価するため、ターゲットの平均強度は、4つの異なる波長に対して、図10に関して上述するように(例えば、パターン認識方法によって)抽出される。具体的には、一実施形態では、IPBN、IPBC、INBN及びINBCは、λ…λの各々に対して得られ、16個の強度値をもたらす。さらに、ピッチP、バイアスd及び波長値λ…λは、方程式において既知数である。したがって、16個の未知数(すなわち、オーバーレイOV、振幅A、振幅B…B、位相差β…β、センサ非対称性誤差係数α及びα、並びに、照明測定放射線強度係数γ…γ)が存在する。次いで、少なくともオーバーレイOVの値に達するように、非線形方程式を解くための技法を使用して、方程式(9)~(24)が解かれる。 [00123] Therefore, in order to evaluate equations (9)-(24), the average intensity of the target is extracted for four different wavelengths as described above for FIG. 10 (eg, by pattern recognition methods). .. Specifically, in one embodiment, IPBN , IPBC , INNB and INBC are obtained for each of λ 1 ... λ 4 and yield 16 intensity values. Further, the pitch P, the bias d and the wavelength values λ 1 ... λ 4 are known numbers in the equation. Therefore, 16 unknowns (ie, overlay OV, amplitude A, amplitude B 1 ... B 4 , phase difference β 1 ... β 4 , sensor asymmetry error coefficients α 1 and α 2 , and illumination measurement radiation intensity coefficient γ 1 ). … Gamma 4 ) exists. Equations (9)-(24) are then solved using techniques for solving nonlinear equations so that at least the overlay OV value is reached.

[00124] したがって、一実施形態では、モデルのパラメータを得るため(及びオーバーレイOVの値を導出するため)、方程式の最適化問題を公式化し、1つ又は複数の公知の非線形方程式解法を使用して解くことができる。内点法及び信頼領域法アルゴリズムなどの最適化問題を解くため、1つ又は複数の様々なアルゴリズムを使用することができる。その上、目的関数の勾配の解析的計算及びその算出された勾配を用いた最適化アルゴリズムの提供により、収束速度及び結果の精度を著しく増加させることができる。 [00124] Therefore, in one embodiment, in order to obtain the parameters of the model (and to derive the value of the overlay OV), the optimization problem of the equation is formulated and one or more known nonlinear equation solving methods are used. Can be solved. One or more different algorithms can be used to solve optimization problems such as the interior point method and the trust region method algorithms. Moreover, by providing an analytical calculation of the gradient of the objective function and an optimization algorithm using the calculated gradient, the rate of convergence and the accuracy of the result can be significantly increased.

[00125] ここでは、方程式を解くための技法の特定の非限定的な例について論じる。最終的な最適化問題のより明確な提示に対し、いくつかの補助変数、すなわち、

Figure 0007000454000018
が定義される。したがって、最適化問題は、以下の目的関数として記載することができる。
Figure 0007000454000019
条件:lb≦x≦ub
ここで、
Figure 0007000454000020
であり、lb及びubはそれぞれ、変数の下限及び上限であり、最適化アルゴリズムの検索空間制限を厳しくするために定義される。限度は、変数の物理的解釈(例えば、A、Bは回析された波の振幅を表し、βは2層間の回析された波の位相差を表す)に基づいて事前決定される。 [00125] Here we discuss certain non-limiting examples of techniques for solving equations. For a clearer presentation of the final optimization problem, some auxiliary variables, ie
Figure 0007000454000018
Is defined. Therefore, the optimization problem can be described as the following objective function.
Figure 0007000454000019
Condition: lb ≤ x ≤ ub
here,
Figure 0007000454000020
Lb and ub are the lower and upper limits of the variable, respectively, and are defined to tighten the search space limitation of the optimization algorithm. The limits are predetermined based on the physical interpretation of the variable (eg, A, Bi represent the amplitude of the diffracted wave and β i represents the phase difference of the diffracted wave between the two layers ) . ..

[00126] この非線形制約最適化問題を効率的に解くため、一実施形態では、非線形最適法アルゴリズムは、局所的最適解のみに達することを避けるため及び収束速度を増加させるために、いくつかの数学的な技法と共に組み合わされる。以下では、アルゴリズムの概要及び問題を解くために取るステップを提供する。
1. パラメータの値の物理的知識に基づいて限度lb、ubを定義する。
2. 目的関数のx

Figure 0007000454000021
に置き換える。したがって、結果として得られる最適化問題(新しい変数
Figure 0007000454000022
に基づく)は、制約を受けないものである。
3. F’のヤコビアンを算出する。
Figure 0007000454000023
4. n<Nの場合、
4.1 Uniform(0,π)から初期点x’(0)を引き出す。
4.2 k≧0の場合
修正されたレーベンバーグ・マルカート反復アルゴリズムを使用して、x’(k+1)を算出する。
Figure 0007000454000024
目的関数の勾配▽J(x(k+1))=2▽F’(x(k+1))F’(x(k+1))を演算し、ゼロに非常に近い場合は、勾配ベクトルの最大絶対値を停止基準として取り入れる。そうでなければ、xの値又は目的関数の相対変化を停止基準として取り入れる。
4.3 ループ反復nに対応する局所的最適解x及びJを格納する。また、満たされている対応する停止基準を報告する。外ループカウンタ(最適化の多スタートのために使用される)をn+1に増加する。
5. 最適な目的関数の最小値Jを算出する(以前のステップのランダムな初期点に対して得られる)。最小最適値に対し、対応する停止基準が勾配関連のものであった(すなわち、勾配がゼロに非常に近い)かどうかをチェックする。この場合、考えられる大域的解としてこの目的値及び対応する最適点を報告する。 [00126] In order to efficiently solve this nonlinear constraint optimization problem, in one embodiment, the nonlinear optimization algorithm has several to avoid reaching only the local optimal solution and to increase the rate of convergence. Combined with mathematical techniques. The following provides an overview of the algorithm and the steps taken to solve the problem.
1. 1. The limits lb and ub are defined based on the physical knowledge of the parameter values.
2. 2. The objective function x i
Figure 0007000454000021
Replace with. Therefore, the resulting optimization problem (new variable)
Figure 0007000454000022
Based on) is unconstrained.
3. 3. Calculate the Jacobian of F'.
Figure 0007000454000023
4. When n <N,
4.1 Extract the initial point x'(0) from the Uniform (0, π).
4.2 If k ≧ 0 Use the modified Leebenberg-Marquardt iteration algorithm to calculate x'(k + 1).
Figure 0007000454000024
Gradient of objective function ▽ J (x (k + 1)) = 2 ▽ F'(x (k + 1)) F'(x (k + 1)) is calculated, and if it is very close to zero, the maximum absolute value of the gradient vector is calculated. Incorporate as a stop criterion. If not, the relative change of the value of x or the objective function is taken as the stop criterion.
4.3 Stores the local optimal solutions x * and J * corresponding to the loop iteration n. It also reports the corresponding outage criteria that have been met. Increase the outer loop counter (used for multiple start of optimization) to n + 1.
5. Calculate the minimum value J * of the optimal objective function (obtained for the random initial points of the previous step). Check if the corresponding stop criteria was gradient related (ie, the gradient is very close to zero) for the minimum optimal value. In this case, this objective value and the corresponding optimum point are reported as a possible global solution.

[00127] 上記の開示は、多波長(例えば、前述の方程式のλ…λ)でターゲットの複数の測定を実行することによって連立方程式(例えば、方程式(9)~(24))を得ることについて説明する。しかし、波長は、照明条件の単なる一例であり、連立方程式を得るために変更することができる。したがって、本明細書に記載の概念は、より一般に、照明放射線の照明条件の変更に適用することができる。例えば、変更できる他の照明条件は、偏光又は入射角を含む。 [00127] The above disclosure obtains simultaneous equations (eg, equations (9)-(24)) by performing multiple measurements of the target at multiple wavelengths (eg, λ 1 ... λ 4 of the above equation). Explain that. However, the wavelength is just one example of the illumination conditions and can be modified to obtain simultaneous equations. Therefore, the concepts described herein can more generally be applied to changes in the illumination conditions of illumination radiation. For example, other lighting conditions that can be changed include polarization or angle of incidence.

[00128] 異なる波長の像を組み合わせることが役立つ理由は、モデルパラメータの多くが波長依存性(後にさらに詳細に説明されるように、いわゆるスイング曲線にまとめられる依存性)を有するという事実にある。したがって、異なる波長の像は、共に撮られるセンサ及びターゲットの独立したサンプリングと考えられる(したがって、すべての誤差発生源とオーバーレイが組み合わされる)。この独立性は重要である。すなわち、各像は、独特の情報を提供し、厳選されたモデルによって組み合わせたり分離したりすることができる。また、異なる偏光での測定は、スタックとの光の異なる相互作用を浮き彫りにし、したがって、独立したもの(少なくとも部分的に)である。異なる入射角に関連する測定は、今から説明するように、さらなる考慮を必要とし得る。 [00128] The reason why it is useful to combine images of different wavelengths is the fact that many of the model parameters have wavelength dependence (dependencies that are summarized in so-called swing curves, as will be explained in more detail later). Therefore, images of different wavelengths are considered to be independent samplings of the sensors and targets taken together (thus, all error sources and overlays are combined). This independence is important. That is, each image provides unique information and can be combined or separated by a carefully selected model. Also, measurements at different polarizations highlight different interactions of light with the stack and are therefore independent (at least partially). Measurements associated with different angles of incidence may require further consideration, as described below.

[00129] 図7Aに示されるものなどの多くのメトロロジデバイスでは、照明プロファイル(延いては、どの入射角でターゲットに透過させるか)を決定するのはアパーチャ13である。波長と同様に、入射角はスイング曲線に大きく寄与し(波の干渉及びスタック材料特性による)、したがって、異なる入射角(すなわち、瞳の異なるポイント)は、システムの独立したサンプリングを提供することができる。スイング曲線の概念は、以下でさらに詳細に説明する。理想的には、各波は、独立してサンプリングすべきである(すなわち、異なる角度にわたってレーザを走査することによって)。しかし、通常のメトロロジ照明源は、異なる角度で一連の波を同時に放出する(それは部分コヒーレント光源であるため)。したがって、瞳のサンプリングは、現在、アパーチャに左右される。利用可能なアパーチャの多くは、互いに対して重複する照明プロファイルを有し、したがって、完全に独立したサンプルを提供することはない。これは、異なる波長で撮られた像とは根本的に異なる(波長は、スペクトル重複が全く又はほとんどない)。 [00129] In many metrological devices, such as those shown in FIG. 7A, it is the aperture 13 that determines the illumination profile (and thus at what angle of incidence it penetrates the target). Like the wavelength, the angle of incidence contributes significantly to the swing curve (due to wave interference and stack material properties), so different angles of incidence (ie, different points in the pupil) can provide independent sampling of the system. can. The concept of the swing curve is described in more detail below. Ideally, each wave should be sampled independently (ie, by scanning the laser over different angles). However, a typical metrological lighting source emits a series of waves simultaneously at different angles (because it is a partially coherent light source). Therefore, pupil sampling is currently dependent on the aperture. Many of the available apertures have overlapping lighting profiles with respect to each other and therefore do not provide completely independent samples. This is fundamentally different from images taken at different wavelengths (wavelengths have no or little spectral overlap).

[00130] したがって、照明条件の変更が入射角にまで及ぶいくつかの方法を説明する。そのような方法は、方程式(9)~(24)によって説明されるものなどの複数像オーバーレイ抽出アルゴリズムに供給することができる独立した像の数を著しく増加することができる。中心となる考えは、瞳の考えられる最小の独特のセクションを使用して、別個の取得が実行されることである。これは、多くの方法で実施することができ、そのうちのいくつかを示す。 [00130] Therefore, we describe several ways in which changes in lighting conditions extend to the angle of incidence. Such a method can significantly increase the number of independent images that can be supplied to a multi-image overlay extraction algorithm, such as those described by equations (9)-(24). The central idea is that separate acquisitions are performed using the smallest possible unique section of the pupil. This can be done in many ways, some of which are shown.

[00131] ハードウェア変更を必要としない第1の方法は、現在利用可能なアパーチャを使用して像を取得し、取得された強度の線形結合を行い、それにより、本質的に独立した瞳サンプリングを作ることを含む。関与しているすべての波のインコヒーレント和によって像が形成されるため、これは有効な手法である。例えば、第1の照明プロファイルを定義する第1のアパーチャプレートを使用して像Aを取得し、第2の照明プロファイルを定義する第2のアパーチャプレートを使用して像Bを取得することができ、第1及び第2の照明プロファイルは、第1の照明プロファイルが第2の照明プロファイル内に(空間的に)完全に含まれるように重複する。像が正しくアライメントされた時点で、新しい導出像C(例えば、慎重な正規化の後、例えば、エネルギーセンサ読取による)を得るために、取得像Bと取得像Aとの違いを決定することができる。したがって、像Cは、第1のアパーチャプロファイル外(ただし、第2のアパーチャプロファイル内)の波からの情報を(主に)含む。したがって、取得像A及び導出像Cは、実質的に独立したものであるべきであり、方程式(9)~(24)によって説明されるアルゴリズムで使用することができ、λの項は、ここでは、異なる像延いては異なる入射角を示す。したがって、利用可能な異なるアパーチャプレートから、独立した瞳サンプリングの異なるベースを構築することができる。上記の線形結合の例は2つの像の違いを含むが、その概念は、ターゲットの実際のスイング曲線とよりうまく一致する異なるベースを得るために、2つを超える像の線形結合の使用にも当てはまると述べてもよい。 [00131] The first method, which does not require hardware changes, takes an image using currently available apertures and performs a linear combination of the obtained intensities, thereby providing essentially independent pupil sampling. Including making. This is an effective technique because the image is formed by the incoherent sum of all the waves involved. For example, the image A can be obtained using the first aperture plate that defines the first lighting profile and the image B can be obtained using the second aperture plate that defines the second lighting profile. , The first and second lighting profiles overlap such that the first lighting profile is completely (spatial) contained within the second lighting profile. When the images are correctly aligned, it is possible to determine the difference between the acquired image B and the acquired image A in order to obtain a new derived image C (eg, after careful normalization, eg, by energy sensor reading). can. Therefore, image C contains (mainly) information from waves outside the first aperture profile (but within the second aperture profile). Therefore, the acquired image A and the derived image C should be substantially independent and can be used in the algorithms described by equations (9)-(24), where the term λ n is used. Then, different images and different incident angles are shown. Therefore, different bases of independent pupil sampling can be constructed from the different aperture plates available. The linear combination example above involves the difference between the two images, but the concept also includes the use of linear combinations of more than two images to obtain different bases that better match the actual swing curve of the target. It may be said that this is the case.

[00132] この方法の利点は、サンプリング領域より大きくなるように、各測定に使用されるアパーチャプレートを選べることであり、それは、像におけるぶれ及びエッジ効果が最小限に維持され、ハードウェア変更を必要としないことを意味する。 [00132] The advantage of this method is that the aperture plate used for each measurement can be chosen to be larger than the sampling area, which minimizes blurring and edge effects in the image and makes hardware changes. Means you don't need it.

[00133] 別の方法では、アパーチャプロファイルは、複数の非重複プロファイルを定義するために選択可能なものであり得る。例えば、アパーチャプロファイル(又は複数のアパーチャプロファイル)は、照明モードセレクタ(IMS)に追加することができ、照明モードセレクタ(IMS)は、小さなステップでIMSホイールを回転させることによって、瞳にわたって連続的に移動させることができる。このように、瞳を連続的にサンプリングすることができ、最適なサンプリングを識別することができる。これにより、サンプリングされるアパーチャプロファイルの領域は固定されないため、第1の解決法より高い柔軟性が提供される。また、これらの小さなアパーチャプロファイルによる像ぶれは、サンプリングにわたって同じになる。異なる長さのいくつかのそのようなアパーチャプロファイルは、第1の手法で説明されるように、同じ方法で組み合わせることができ、それにより、より一層優れた基盤が得られる。アパーチャは、暗視野像がひどくぼやけるほど小さくならないようにすべきであることに留意されたい。 [00133] Alternatively, the aperture profile may be selectable for defining multiple non-overlapping profiles. For example, an aperture profile (or multiple aperture profiles) can be added to the lighting mode selector (IMS), which is continuous across the pupil by rotating the IMS wheel in small steps. Can be moved. In this way, the pupil can be continuously sampled and the optimum sampling can be identified. This provides greater flexibility than the first solution because the area of the sampled aperture profile is not fixed. Also, the image blur due to these small aperture profiles will be the same over sampling. Several such aperture profiles of different lengths can be combined in the same way, as described in the first method, thereby providing an even better foundation. Note that the aperture should not be so small that the darkfield image is severely blurred.

[00134] 上記の解決法は、対物レンズの前の大きな瞳エリアを遮断するため、追加の時間を要する順次取得を必要とする。しかし、瞳の異なる部分を検知カメラの異なる領域(例えば、シングルショットで測定された4つの四分円)に投影するウェッジプリズムを使用することによって、異なる角度で移動する波の並列取得を得ることができる。瞳の四分円のより小さな領域を検知カメラの別々の領域に投影することによって、このウェッジのアイデアをさらに取り入れると、「ハイパースペクトル」セットアップで測定されている平行波長と同義である「ハイパーアングル」測定が可能になる。そのようなシステムは、細かくセグメント化されたウェッジ又は空間光変調器(SLM)(画素ごとに光の位相が変化する)を使用して実施することができる。SLMは、ウェッジに取って代わることができ、同時にサンプリングされる瞳領域の動的選択が可能になる(これは、適切な解像度を維持するため、等しい画素密度において、検知カメラに対して現在使用されているものより大きな総CCDエリアを必要とし得る)。 [00134] The above solution requires sequential acquisition, which requires additional time to block the large pupil area in front of the objective lens. However, by using a wedge prism that projects different parts of the pupil onto different regions of the detection camera (eg, four quadrants measured in a single shot), we get parallel acquisition of waves moving at different angles. Can be done. Taking this wedge idea further by projecting a smaller area of the pupil's quadrant onto separate areas of the detection camera, it is synonymous with the parallel wavelengths measured in the "hyperspectral" setup. "Measurement becomes possible. Such a system can be implemented using finely segmented wedges or spatial light modulators (SLMs), where the phase of the light changes from pixel to pixel. SLMs can replace wedges and allow dynamic selection of pupil regions to be sampled at the same time (this is currently used for detection cameras at equal pixel densities to maintain adequate resolution. May require a larger total CCD area than what is being done).

[00135] 基板上の異なるターゲットに対するパラメータ値の挙動は、比較可能であり、安定しているように見えることが分かっている。したがって、パラメータ最適化のための検索空間の範囲を制限するために少数のターゲットからの結果を使用することが可能であり、それにより、最適化アルゴリズムの収束速度を著しく上げることができる。 [00135] The behavior of parameter values for different targets on the substrate has been found to be comparable and appear to be stable. Therefore, it is possible to use the results from a small number of targets to limit the extent of the search space for parameter optimization, which can significantly speed up the convergence of the optimization algorithm.

[00136] したがって、図14を参照すると、上述した多波長技法に関与する方法の実施形態が概略的に示されている。1400では、本明細書に記載の連立方程式が提供される。例えば、連立方程式は、16個以上の方程式を有することができ、オーバーレイ及び測定放射線波長の関数である。1410では、連立方程式を解く際に使用するための放射線値が得られる。一実施形態では、放射線値は、物理的な基板上の物理的なターゲットから測定することができる。一実施形態では、放射線値は、メトロロジターゲットの照明と、メトロロジターゲットによって再誘導された放射線の検出とをシミュレーションするシミュレータよって決定することができる。1420では、方程式の1つ又は複数のパラメータの値を求めるために、連立方程式で放射線値が使用される。一実施形態では、値を求めるパラメータは、オーバーレイである。一実施形態では、上述した最適化技法の1つ又は複数を使用することができる。1430では、アプリケーションは、1つ又は複数の値を求めるパラメータでできている。例えば、値を求めるパラメータは、大量に又は製造の一部として決定されたオーバーレイであり得、例えば、パターニングプロセスの側面の制御、設計などを行うために使用することができる。別の例として、値を求めるパラメータは、例えば、測定のために使用される放射線波長などのメトロロジプロセスの条件を選択するために、メトロロジプロセスの設計、制御などにおいて使用するために決定されたオーバーレイであり得る(メトロロジプロセスは、方程式(1)~(4)に関して上述した技法などの異なるオーバーレイ算出技法を使用することができる)。メトロロジプロセスの設計、制御などにおいて使用するために決定されたオーバーレイの使用例は、図15に関連して以下で説明される。 [00136] Therefore, with reference to FIG. 14, embodiments of the methods involved in the multi-wavelength technique described above are schematically shown. At 1400, the simultaneous equations described herein are provided. For example, a system of equations can have 16 or more equations and is a function of overlay and measured radiation wavelength. At 1410, radiation values are obtained for use in solving simultaneous equations. In one embodiment, the radiation value can be measured from a physical target on a physical substrate. In one embodiment, the radiation value can be determined by a simulator that simulates the illumination of the metrology target and the detection of radiation reguided by the metrology target. In 1420, radiation values are used in simultaneous equations to determine the values of one or more parameters of the equations. In one embodiment, the parameter for which the value is obtained is an overlay. In one embodiment, one or more of the optimization techniques described above can be used. At 1430, the application is made up of parameters for finding one or more values. For example, the parameter for which the value is determined can be an overlay determined in large quantities or as part of manufacturing and can be used, for example, to control, design, etc. aspects of the patterning process. As another example, the parameter for obtaining the value is determined for use in the design, control, etc. of the metrology process, for example, to select the conditions of the metrology process, such as the radiation wavelength used for the measurement. It can be an overlay (the metrology process can use different overlay calculation techniques such as those described above for equations (1)-(4)). Examples of the use of overlays determined for use in the design, control, etc. of metrology processes are described below in connection with FIG.

[00137] 要するに、回折メトロロジターゲットから放射線散乱問題のいくつかのパラメータを考慮することに基づく正確なオーバーレイを決定するための新しい物理的なモデルが提供される。この方法は、オーバーレイのより正確な決定を提供すること及び/又はオーバーレイのロバストな算出を提供することができる。一実施形態では、これは、散乱問題の数学的記述に基づいて達成され、複数の周期構造のうちの各周期構造に対し及び波形成の2つの回折次数に対し、非線形連立方程式の1組のパラメータが形成され、そのようなパラメータの少なくともいくつかとして複数の波長及びオーバーレイを含む。この記述により、複数の放射線値(例えば、16個の測定された又はシミュレーションされた強度値)を得るために、複数の異なる波長(例えば、4つの異なる波長)で少なくとも2つの周期構造(2つの周期構造はそれぞれ正及び負のバイアスを有する)の2つの回折次数を測定するだけで十分であり得る。少なくとも複数の放射線値及び波長を既知値とすることで、非線形連立方程式を解くことができ、散乱問題のいくつかのパラメータ(オーバーレイを含む)は未知数である。 [00137] In short, a new physical model is provided from the diffraction metrology target to determine the exact overlay based on considering some parameters of the radiation scattering problem. This method can provide a more accurate determination of the overlay and / or a robust calculation of the overlay. In one embodiment, this is achieved on the basis of a mathematical description of the scattering problem, a set of nonlinear simultaneous equations for each periodic structure of multiple periodic structures and for the two diffraction orders of wave formation. Parameters are formed and include multiple wavelengths and overlays as at least some of such parameters. With this description, at least two periodic structures (two) at multiple different wavelengths (eg, four different wavelengths) to obtain multiple radiation values (eg, 16 measured or simulated intensity values). It may be sufficient to measure two diffraction orders (the periodic structure has positive and negative biases respectively). By making at least a plurality of radiation values and wavelengths known values, a nonlinear simultaneous equation can be solved, and some parameters (including overlays) of the scattering problem are unknown.

[00138] その上、連立方程式は、オーバーレイを算出する際に、構造的非対称性、スタック差及び/又はセンサ非対称性の影響を特異的に考慮することができる。すなわち、連立方程式の1つ又は複数のパラメータは、本明細書に記載されるように、オーバーレイ値の決定において構造的非対称性、スタック差及び/又はセンサ非対称性の影響を組み込むように構成される。したがって、この方法は、オーバーレイのより正確な推定を提供することができる。その上、センサ非対称性の場合、この方法は、本明細書に記載されるようにセンサ非対称性向けの1つ又は複数のパラメータで構成されると、センサ非対称性を取り除くために使用される180度の基板回転からの追加の情報の必要性を回避することができる。これは、連立方程式にセンサ非対称性向けの1つ又は複数のパラメータを有することで、オーバーレイ決定がセンサ非対称性を直接説明できるためである。 [00138] Moreover, simultaneous equations can specifically take into account the effects of structural asymmetry, stack differences and / or sensor asymmetry when calculating overlays. That is, one or more parameters of the simultaneous equations are configured to incorporate the effects of structural asymmetry, stack differences and / or sensor asymmetry in determining overlay values, as described herein. .. Therefore, this method can provide a more accurate estimate of the overlay. Moreover, in the case of sensor asymmetry, this method is used to eliminate sensor asymmetry when configured with one or more parameters for sensor asymmetry as described herein 180. The need for additional information from the degree of board rotation can be avoided. This is because the overlay determination can directly explain the sensor asymmetry by having one or more parameters for the sensor asymmetry in the simultaneous equations.

[00139] 上記のように、本明細書に記載の技法は、メトロロジ装置のデフォルトオーバーレイ算出方法として使用することができる(例えば、方程式(2)又は方程式(4)を使用する代わりに)。スループットの観点からは、この技法の使用は、例えば、波長間の切り替えが十分に速い場合及び/又は連立方程式を解くのが十分に速い場合に可能であり得る。ハードウェアの発展と共に、異なる波長間で切り替えるための高速ハードウェアスイッチを使用することによって多波長での実際の測定が可能である。それに加えて、本明細書に記載の解法は、例えば、オーバーレイ値を得るために、非線形連立方程式を解くという観点から、速度の向上を可能にすることができる。したがって、この技法は、デフォルトオーバーレイ算出方法として有望視される。 [00139] As described above, the techniques described herein can be used as the default overlay calculation method for metrology devices (eg, instead of using equation (2) or equation (4)). From a throughput standpoint, the use of this technique may be possible, for example, if switching between wavelengths is fast enough and / or solving simultaneous equations fast enough. With the development of hardware, it is possible to make actual measurements at multiple wavelengths by using high speed hardware switches to switch between different wavelengths. In addition, the solutions described herein can allow for increased speed, for example, in terms of solving nonlinear simultaneous equations to obtain overlay values. Therefore, this technique is promising as a default overlay calculation method.

[00140] さらに、ターゲットの測定精度及び/又は感度は、ターゲット自体の1つ若しくは複数の属性、及び/又はターゲットに提供される測定放射線の1つ若しくは複数の属性、例えば、放射線の波長、放射線の偏光、及び/又は放射線の強度分布(すなわち角度若しくは空間強度分布)に関して変化し得る。一実施形態では、放射線の波長範囲は、ある範囲から選択される(例えば、約400nm~900nmの範囲から選択される)1つ又は複数の波長に制限される。さらに、放射ビームの異なる偏光の選択を提供することができ、例えば複数の異なるアパーチャを使用して様々な照明形状を提供することができる。 [00140] Further, the measurement accuracy and / or sensitivity of the target is one or more attributes of the target itself and / or one or more attributes of the measured radiation provided to the target, such as the wavelength of radiation, the radiation. Can vary with respect to the polarization and / or radiation intensity distribution (ie, angular or spatial intensity distribution). In one embodiment, the wavelength range of radiation is limited to one or more wavelengths selected from a range (eg, from a range of about 400 nm to 900 nm). In addition, different polarization choices of the radiated beam can be provided, eg, different apertures can be used to provide different illumination shapes.

[00141] したがって、そのような選択及び測定を可能にするために、測定システムを使用する測定の1つ又は複数のパラメータを指定するメトロロジレシピを用いることができる。一実施形態では、「メトロロジレシピ」という用語は、測定自体の1つ若しくは複数のパラメータ、測定されたターゲットのパターンの1つ若しくは複数のパラメータ、又はそれら両方を含む。 [00141] Therefore, to enable such selection and measurement, a metrology recipe can be used that specifies one or more parameters of the measurement using the measurement system. In one embodiment, the term "metrologic recipe" includes one or more parameters of the measurement itself, one or more parameters of the measured target pattern, or both.

[00142] これに関連して、測定されるターゲットのパターン(「ターゲット」又は「ターゲット構造」とも呼ばれる)は、光学的に測定されるパターン、例えばその回折が測定されるパターンでよい。測定されるターゲットのパターンは、測定目的のために特に設計又は選択されたパターンでよい。ターゲットの複数のコピーが基板上の多くの場所に配置されてもよい。 [00142] In this regard, the pattern of the measured target (also referred to as "target" or "target structure") may be an optically measured pattern, eg, a pattern whose diffraction is measured. The target pattern to be measured may be a pattern specifically designed or selected for measurement purposes. Multiple copies of the target may be placed in many places on the board.

[00143] 一実施形態では、メトロロジレシピが測定自体の1つ又は複数のパラメータを含む場合、測定自体の1つ又は複数のパラメータは、測定を行うために使用される測定ビーム及び/又は測定装置に関する1つ又は複数のパラメータを含むことがある。例えば、メトロロジレシピで使用される測定が回折ベースの光学測定である場合、測定自体の1つ又は複数のパラメータは、測定放射線の波長、及び/又は測定放射線の偏光、及び/又は測定放射線強度分布、及び/又は測定放射線の基板に対する照明角度(例えば入射角や方位角など)、及び/又は回折測定放射線の基板上でのパターンに対する相対向き、及び/又はターゲットの測定された点若しくはインスタンスの数、及び/又は基板上で測定されたターゲットのインスタンスの位置を含むことがある。測定自体の1つ又は複数のパラメータは、測定に使用されるメトロロジ装置の1つ又は複数のパラメータを含むことがあり、これは、検出器感度や開口数などを含むことができる。 [00143] In one embodiment, if the metrology recipe comprises one or more parameters of the measurement itself, the one or more parameters of the measurement itself is the measurement beam and / or measurement used to make the measurement. It may contain one or more parameters for the device. For example, if the measurement used in the metrology recipe is a diffraction-based optical measurement, one or more parameters of the measurement itself are the wavelength of the measured radiation and / or the polarization of the measured radiation and / or the measured radiation intensity. Distribution and / or illumination angle of the measured radiation to the substrate (eg incident angle, azimuth angle, etc.), and / or relative orientation of the diffraction measured radiation to the pattern on the substrate, and / or of the measured point or instance of the target. It may include a number and / or the location of an instance of the target as measured on the substrate. One or more parameters of the measurement itself may include one or more parameters of the metrology device used for the measurement, which may include detector sensitivity, numerical aperture, and the like.

[00144] 一実施形態では、メトロロジレシピが、測定されたパターンの1つ又は複数のパラメータを含む場合、測定されたパターンの1つ又は複数のパラメータは、1つ又は複数の幾何学的特徴(パターンの少なくとも一部の形状、及び/又はパターンの少なくとも一部の向き、及び/又はパターンの少なくとも一部のピッチ(例えば、下部周期構造の層よりも上の層における上部周期構造のピッチ、及び/又は下部周期構造のピッチを含む、周期構造のピッチ)、及び/又はパターンの少なくとも一部のサイズ(例えばCD)(例えば、上部周期構造及び/又は下部周期構造のフィーチャのCDを含む、周期構造のフィーチャのCD)、及び/又はパターンのフィーチャのセグメント化(例えば、下位構造への周期構造のフィーチャの分割)、及び/又は周期構造若しくは周期構造のフィーチャの長さ)、並びに/又はパターンの少なくとも一部の材料特性(例えば、屈折率、吸光係数、材料タイプなど)、並びに/又はパターンの識別(例えば、あるパターンと別のパターンとの区別)などを含むことがある。 [00144] In one embodiment, if the metrology recipe contains one or more parameters of the measured pattern, the one or more parameters of the measured pattern will be one or more geometric features. (The shape of at least a portion of the pattern and / or the orientation of at least a portion of the pattern, and / or the pitch of at least a portion of the pattern (eg, the pitch of the upper periodic structure in a layer above the layer of the lower periodic structure). And / or the pitch of the periodic structure, including the pitch of the lower periodic structure), and / or including at least a portion of the size of the pattern (eg, CD) (eg, the CD of the features of the upper periodic structure and / or the lower periodic structure). CD of periodic structure features) and / or segmentation of periodic structure features (eg, division of periodic structure features into substructures) and / or periodic or periodic structure feature lengths), and / or It may include at least some material properties of the pattern (eg, refractive index, absorbance coefficient, material type, etc.) and / or identification of the pattern (eg, distinction between one pattern and another).

[00145] メトロロジレシピは、(r,r,r,…,r;t,t,t,…,t)のような形で表現することができる。ここで、rは、測定の1つ又は複数のパラメータであり、tは、測定される1つ又は複数のパターンの1つ又は複数のパラメータである。理解されるように、n及びmは1でよい。さらに、メトロロジレシピは、測定の1つ又は複数のパラメータと、測定される1つ又は複数のパターンの1つ又は複数のパラメータとの両方を有する必要はない。測定の1つ又は複数のパラメータのみを有することも、測定される1つ又は複数のパターンの1つ又は複数のパラメータのみを有することもできる。 [00145] The metrology recipe can be expressed in the form of (r 1 , r 2 , r 3 , ..., r n ; t 1 , t 2 , t 3 , ..., T m ). Here, r 1 is one or more parameters of the measurement, and t j is one or more parameters of the one or more patterns to be measured. As will be understood, n and m may be 1. Moreover, the metrology recipe need not have both one or more parameters of the measurement and one or more parameters of the one or more patterns to be measured. It may have only one or more parameters of the measurement, or it may have only one or more parameters of the one or more patterns to be measured.

[00146] 2つのメトロロジレシピA及びBを使用してターゲットを測定することができ、メトロロジレシピA及びBは、例えば、ターゲットが測定される段階が異なる(例えば、Aは、ターゲットが潜像構造を備えるときターゲットを測定し、Bは、ターゲットが潜像構造を備えないときにターゲットを測定する)、及び/又はそれらの測定のパラメータが異なる。メトロロジレシピAとBは、少なくとも、測定されるターゲットが異なることがある(例えば、Aは第1のターゲットを測定し、Bは第2の異なるターゲットを測定する)。メトロロジレシピAとBは、それらの測定及びターゲット測定のパラメータが異なることがある。基板測定レシピAとBは、同じ測定技法に基づいていなくてもよい。例えば、レシピAは、回折に基づく測定に基づいてよく、レシピBは、走査電子顕微鏡(SEM)又は原子間力顕微鏡(AFM)測定に基づいてよい。 [00146] Two metrology recipes A and B can be used to measure a target, wherein the metrology recipes A and B are, for example, at different stages in which the target is measured (eg, A has a latent target). The target is measured when the image structure is provided, and B measures the target when the target does not have the latent image structure), and / or the parameters of those measurements are different. Metrology recipes A and B may at least measure different targets (eg, A measures a first target and B measures a second different target). Metrology recipes A and B may have different measurement and target measurement parameters. Substrate measurement recipes A and B do not have to be based on the same measurement technique. For example, Recipe A may be based on diffraction-based measurements and Recipe B may be based on scanning electron microscopy (SEM) or atomic force microscopy (AFM) measurements.

[00147] したがって、本明細書に記載の多波長技法の別の可能な用途は、例えば、大量の又は製造測定前に実行されるメトロロジレシピ選択に対するものである。したがって、技法は、メトロロジレシピ選択に対する参照として正確なオーバーレイを提供するために使用することができる。すなわち、例えば、より正確なプロセスパラメータ測定量を得るため及び/又はプロセス変動性に対してロバストな所望のプロセスパラメータの測定値を生成するために、メトロロジレシピ(ターゲット測定パラメータ組合せ)の望ましい最適な選択に至ることが望ましい。 [00147] Therefore, another possible use of the multi-wavelength technique described herein is, for example, for metrology recipe selection performed in large quantities or prior to production measurements. Therefore, the technique can be used to provide an accurate overlay as a reference to the metrology recipe selection. That is, for example, the desired optimum of a metrology recipe (target measurement parameter combination) to obtain more accurate process parameter measurements and / or to generate measurements of the desired process parameters robust to process variability. It is desirable to reach a good choice.

[00148] それに従って、一実施形態では、所望のプロセスパラメータ(例えば、オーバーレイ)の正確な測定量を生成する及び/又はプロセス変動性に対してロバストな所望のプロセスパラメータの測定値を生成する1つ又は複数のメトロロジレシピを決定するため、上述した多波長技法の結果を使用して、そのような1つ又は複数の正確な及び/又はロバストなメトロロジレシピを識別することができる。 [00148] Accordingly, in one embodiment, an accurate measure of the desired process parameter (eg, overlay) is generated and / or a measurement of the desired process parameter that is robust to process variability is generated 1. To determine one or more metrology recipes, the results of the multi-wavelength technique described above can be used to identify such one or more accurate and / or robust metrology recipes.

[00149] 図15を参照すると、メトロロジレシピ選択の方法の一実施形態が概略的に提示されている。本質的には、方法は、測定放射線によって照明されたメトロロジターゲットからパターニングプロセスパラメータ(オーバーレイなど)の第1の値を決定するために、第1のパターニングプロセスパラメータ決定技法(上述した多波長技法など)を使用することと、メトロロジターゲットに対するパターニングプロセスパラメータ(オーバーレイなど)の複数の第2の値に達するように、第1のパターニングプロセスパラメータ決定技法とは異なる第2のパターニングプロセスパラメータ決定技法(方程式(1)~(4)に関して上述した技法又は例えばメトロロジ装置によって提供される別の技法など)を使用することであって、第2の値の各々が、測定放射線の異なる波長で決定される、使用することと、第1の値及び第2の値に基づいて、メトロロジターゲットの測定のためのメトロロジレシピに対する測定放射線波長を識別することとを伴う。ここでは、第1のパターニングプロセスパラメータ決定技法として上述した多波長技法を使用したこの方法のより詳細な例を図15に関連して説明する。 [00149] With reference to FIG. 15, one embodiment of the method of selecting a metrology recipe is schematically presented. In essence, the method is a first patterning process parameter determination technique (multi-wavelength technique described above) to determine a first value of a patterning process parameter (such as an overlay) from a metrology target illuminated by measured radiation. And so on, and a second patterning process parameter determination technique that is different from the first patterning process parameter determination technique so that multiple second values of the patterning process parameters (such as overlays) for the metrology target are reached. By using the techniques described above for equations (1)-(4) or, for example, another technique provided by a metrology device), each of the second values is determined at different wavelengths of the measured radiation. It involves identifying the measured radiation wavelength for the metrology recipe for the measurement of the metrology target based on the first and second values. Here, a more detailed example of this method using the multi-wavelength technique described above as the first patterning process parameter determination technique will be described in relation to FIG.

[00150] 1450では、任意選択で、測定放射線のより大きな波長セットから測定放射線の複数の波長を選択するために、事前選択が実行される。そのような事前選択の例は以下で説明する。 At [00150] 1450, a preselection is optionally performed to select multiple wavelengths of the measured radiation from a larger wavelength set of the measured radiation. An example of such preselection is described below.

[00151] 1460では、オーバーレイの第1の値に達するように、上述した多波長連立方程式(例えば、第1のオーバーレイ決定技法)と組み合わせて、複数の波長(例えば、1450から事前に選択された波長又は別の方法で提供された複数の波長)が使用される。理解されるように、波長の数は、連立方程式がセットアップされた数と一致すべきである。より多く存在する場合は、連立方程式で必要な数と一致する複数の波長からの最良の波長の選択を選択することができる。一実施形態では、事前選択技法は、最良の波長の選択を可能にするために、各波長に対する測定規準を提供した。或いは、連立方程式で使用されるいくつかの波長の様々な副組合せを選択することができ、オーバーレイの複数の第1の値に達するようにそれらの波長の副組合せに対してオーバーレイの第1の値は算出した(次いで、その値は、別々に使用すること又は統計的に組み合わせること(例えば、相加平均してオーバーレイの第1の値の相加平均を得ること)ができる)。連立方程式で使用される放射線値は、シミュレーションされた値又は物理的に測定された値であり得る。 [00151] In 1460, a plurality of wavelengths (eg, 1450) were preselected in combination with the multi-wavelength simultaneous equations described above (eg, the first overlay determination technique) to reach the first value of the overlay. Wavelengths or multiple wavelengths provided by other means) are used. As will be understood, the number of wavelengths should match the number for which the simultaneous equations were set up. If more are present, the best wavelength selection from multiple wavelengths that match the required number in the simultaneous equations can be selected. In one embodiment, the preselection technique provided measurement criteria for each wavelength to allow selection of the best wavelength. Alternatively, various sub-combinations of several wavelengths used in the simultaneous equations can be selected and the first of the overlays to the sub-combinations of those wavelengths to reach multiple first values of the overlay. The values were calculated (then the values can be used separately or statistically combined (eg, arithmetic mean to obtain the arithmetic mean of the first value of the overlay)). The radiation values used in the simultaneous equations can be simulated values or physically measured values.

[00152] 1470では、例えば、メトロロジ装置がある特定の波長を提供する状況の場合、複数の波長の各々におけるメトロロジターゲットに対するオーバーレイの第2の値を導出するために、方程式(1)~(4)に関して上述したオーバーレイ決定技法又は例えばメトロロジ装置によって提供される別のオーバーレイ決定技法(例えば、第2のオーバーレイ決定技法)が使用される。一実施形態では、それらの波長は、メトロロジレシピが選択されているメトロロジ装置によって提供される波長のすべて又はサブセットである。一実施形態では、それらの波長は、1460で使用される波長のすべて又はサブセットである。第2のオーバーレイ決定技法によって使用される放射線値は、シミュレーションされた値又は物理的に測定された値であり得る。 In 1470, for example, in the situation where the metrology device provides a particular wavelength, equations (1)-(1) to derive a second value of overlay for the metrology target at each of the plurality of wavelengths. With respect to 4), the overlay determination technique described above or another overlay determination technique provided by, for example, a metrology device (eg, a second overlay determination technique) is used. In one embodiment, those wavelengths are all or a subset of the wavelengths provided by the metrology device for which the metrology recipe is selected. In one embodiment, those wavelengths are all or a subset of the wavelengths used in 1460. The radiation value used by the second overlay determination technique can be a simulated value or a physically measured value.

[00153] 次いで、第2の値を用いて、オーバーレイの第2の値がオーバーレイの第1の値に最も近い波長が選ばれる。したがって、一実施形態では、測定レシピ波長を識別することは、第2のオーバーレイ決定技法(例えば、方程式(1)~(4)に関して上述したオーバーレイ決定技法又は例えばメトロロジ装置によって提供される別のオーバーレイ決定技法)を使用して決定された第2の値のうちのどれが、第1のオーバーレイ決定技法(上述した多波長技法など)を使用して決定された第1の値に最も近いかを識別することを含み、メトロロジレシピに対して識別された測定波長は、最も近い第2の値と関連付けられた測定放射線波長である。一実施形態では、複数の波長を識別することができる。 [00153] Then, using the second value, the wavelength at which the second value of the overlay is closest to the first value of the overlay is selected. Therefore, in one embodiment, identifying the measurement recipe wavelength is the overlay determination technique described above with respect to the second overlay determination technique (eg, equations (1)-(4) or another overlay provided by, for example, a metrology device. Which of the second values determined using the determination technique) is closest to the first value determined using the first overlay determination technique (such as the multi-wavelength technique described above). The measured wavelength identified for the metrology recipe, including identifying, is the measured radiation wavelength associated with the closest second value. In one embodiment, multiple wavelengths can be identified.

[00154] 1480では、例えば、メトロロジ装置が広範囲にわたる波長の波長を柔軟に提供する(例えば、連続的な範囲の波長から特定の波長を調節する)ことができる状況の場合、複数の波長の各々におけるメトロロジターゲットに対するオーバーレイの第2の値を導出するために、方程式(1)~(4)に関して上述したオーバーレイ決定技法又は例えばメトロロジ装置によって提供される別のオーバーレイ決定技法(例えば、第2のオーバーレイ決定技法)が使用される。一実施形態では、それらの波長は、メトロロジレシピが選択されているメトロロジ装置によって提供される広範囲の波長にわたる波長のサンプリング(例えば、均一なサンプリング)である。一実施形態では、それらの波長は、1460で使用される波長のすべて又はサブセットである。第2のオーバーレイ決定技法によって使用される放射線値は、シミュレーションされた値又は物理的に測定された値であり得る。 [00154] In 1480, for example, in situations where the metrology device can flexibly provide wavelengths over a wide range of wavelengths (eg, adjust a particular wavelength from a continuous range of wavelengths), each of the plurality of wavelengths. In order to derive a second value of overlay for a metrology target in, the overlay determination technique described above with respect to equations (1)-(4) or another overlay determination technique provided by, for example, a metrology device (eg, a second). Overlay determination technique) is used. In one embodiment, those wavelengths are wavelength sampling (eg, uniform sampling) over a wide range of wavelengths provided by the metrology device for which the metrology recipe is selected. In one embodiment, those wavelengths are all or a subset of the wavelengths used in 1460. The radiation value used by the second overlay determination technique can be a simulated value or a physically measured value.

[00155] 次いで、第2の値を用いて、波長の関数として第2の値が当てはめられる。次いで、オーバーレイの第1の値に等しいか又は最も近い第2の値を有するこのフィットから最適な波長が外挿又は内挿される。したがって、一実施形態では、測定量レシピ波長を識別することは、第2のオーバーレイ決定技法(例えば、方程式(1)~(4)に関して上述したオーバーレイ決定技法又は例えばメトロロジ装置によって提供される別のオーバーレイ決定技法)を使用して決定された第2の値を測定放射線波長の関数として当てはめることと、第1のオーバーレイ決定技法(上述した多波長技法など)を使用して決定された第1の値に最も近いか又は等しいオーバーレイの値を有する、フィッティングから、識別された測定波長を外挿又は内挿することとを含む。一実施形態では、複数の波長を識別することができる。 [00155] Then, using the second value, the second value is fitted as a function of wavelength. The optimum wavelength is then extrapolated or interpolated from this fit having a second value equal to or closest to the first value of the overlay. Therefore, in one embodiment, identifying the measured recipe wavelength is provided by a second overlay determination technique (eg, the overlay determination technique described above with respect to equations (1)-(4) or, for example, a metrology device. The second value determined using the overlay determination technique) is applied as a function of the measured radiation wavelength, and the first overlay determination technique (such as the multi-wavelength technique described above) is used to determine the first value. Includes extrapolating or interpolating the identified measurement wavelength from the fitting, which has an overlay value that is closest to or equal to the value. In one embodiment, multiple wavelengths can be identified.

[00156] 1490では、メトロロジターゲットのメトロロジプロセスで使用するために、1つ又は複数のメトロロジレシピが出力され、1つ又は複数のメトロロジレシピの各々は、1460、1470又は1480から識別された波長を有する。一実施形態では、1つ又は複数のメトロロジレシピは、1470又は1480から識別された波長を有し、第2のオーバーレイ決定技法(例えば、方程式(1)~(4)に関して上述したオーバーレイ決定技法又は例えばメトロロジ装置によって提供される別のオーバーレイ決定技法)を使用してオーバーレイを決定するメトロロジプロセスで使用するためのものである。一実施形態では、複数のメトロロジレシピが提供され、複数のメトロロジレシピは、1460、1470又は1480から識別された波長を有し、第1のオーバーレイ決定技法(例えば、上述した多波長技法)を使用してオーバーレイを決定するメトロロジプロセスで使用するためのものである。 [00156] At 1490, one or more metrology recipes are output for use in the metrology process of the metrology target, and each of the one or more metrology recipes is identified from 1460, 1470 or 1480. Has a recipe. In one embodiment, the metrology recipe has a wavelength identified from 1470 or 1480 and the overlay determination technique described above with respect to the second overlay determination technique (eg, equations (1)-(4)). Or for use in a metrology process that determines overlays using, for example, another overlay determination technique provided by a metrology device). In one embodiment, a plurality of metrology recipes are provided, the plurality of metrology recipes having wavelengths identified from 1460, 1470 or 1480, and a first overlay determination technique (eg, the multi-wavelength technique described above). Is intended for use in the metrology process to determine overlays using.

[00157] 図16は、性能を監視するために並びにメトロロジ、設計及び/又は製造プロセスの制御の基礎としてメトロロジレシピが使用されるプロセスを示す流れ図を示す。ステップD1では、適用可能なメトロロジレシピに従って本明細書で述べるような製品フィーチャ及び1つ又は複数のメトロロジターゲットを生成するために、基板が処理される。ステップD2では、パターニングプロセスパラメータ(例えば、オーバーレイ)値が、適用可能であれば、メトロロジレシピの1つ又は複数の測定パラメータを使用して測定され、図6又は10の方法を使用して算出される。任意選択のステップD3では、測定されたパターニングプロセスパラメータ(例えば、オーバーレイ)値を使用して(利用可能であり得る他の情報と共に)、メトロロジレシピを更新する(例えば、本明細書に記載の方法を使用して波長を変更する)ことができる。更新されたメトロロジレシピは、パターニングプロセスパラメータの再測定のため及び/又は後続の処理基板に対するパターニングプロセスパラメータの測定のために使用される。このようにして、算出されたパターニングプロセスパラメータの精度が向上する。更新プロセスは、必要に応じて自動化することができる。ステップD4では、パターニングプロセスパラメータ値を使用して、リワークのため及び/又はさらなる基板の処理のためのデバイス製造プロセスにおけるリソグラフィパターニングステップ及び/又は他のプロセスステップを制御するレシピを更新する。この場合もやはり、必要に応じて、この更新を自動化することができる。 [00157] FIG. 16 shows a flow chart showing a process in which a metrology recipe is used to monitor performance and as a basis for controlling a metrology, design and / or manufacturing process. In step D1, the substrate is processed to generate product features and one or more metrology targets as described herein according to applicable metrology recipes. In step D2, patterning process parameter (eg, overlay) values are measured using one or more measurement parameters of the metrology recipe, if applicable, and calculated using the method of FIG. 6 or 10. Will be done. In optional step D3, the measured patterning process parameters (eg, overlays) are used (eg, along with other information that may be available) to update the metrology recipe (eg, described herein). The wavelength can be changed using the method). The updated metrology recipe is used for remeasurement of patterning process parameters and / or for measurement of patterning process parameters for subsequent processing boards. In this way, the accuracy of the calculated patterning process parameters is improved. The update process can be automated as needed. In step D4, the patterning process parameter values are used to update the recipe that controls the lithography patterning step and / or other process steps in the device manufacturing process for rework and / or further substrate processing. Again, this update can be automated as needed.

[00158] 上記のように、事前選択を使用して、特定の波長に達することができる。以下では、そのような事前選択に対して様々なステップが順に示されているが、必ずしもその順序で実施される必要はない。さらに、すべてのステップが実施される必要はない。例えば、ステップの1つ又は複数が実施されてもよい。したがって、ステップから選択される任意の組合せを実施することができる。 [00158] As mentioned above, preselection can be used to reach a particular wavelength. In the following, various steps for such preselection are shown in sequence, but they do not necessarily have to be performed in that order. Moreover, not all steps need to be performed. For example, one or more of the steps may be performed. Therefore, any combination selected from the steps can be implemented.

[00159] 事前選択は、複数の異なる波長に対して、メトロロジターゲットのオーバーレイデータの分析をすることができる。データは実験から得ることができ、又はターゲットを使用する製品測定から得ることができる。例えば、考慮下のターゲットの複数のインスタンスを、そのターゲットが使用されるパターニングプロセスを使用して基板にわたって印刷することができ、次いで、各インスタンスを、適用可能なメトロロジ装置を用いて複数の異なる設定(例えば異なる波長)で測定することができる。さらに又は代替的に、ターゲットを測定するためにメトロロジレシピを使用することにより得られるオーバーレイ測定をシミュレートすることができる。シミュレーションでは、測定の1つ又は複数のパラメータは、メトロロジレシピのパラメータr及び/又はtを使用して決定される(例えば、それらによって提供される、又はそれらから決定される)。例えば、メトロロジレシピに対応する放射線とターゲットとの相互作用は、例えばマクスウェルソルバ及び厳密結合波解析(RCWA)を使用することによって、又は他の数学的モデリングによって、メトロロジレシピのそれらのパラメータから決定することができる。したがって、ターゲット及び関連のメトロロジレシピを使用して予想される測定を、上記の相互作用から決定することができる。したがって、特定の状況では、例えば強い信号を生成するターゲットを決定するために、測定プロセスのシミュレータを使用してデータを得ることができる。シミュレータは、メトロロジ装置を使用して、検査装置の測定技法(例えば回折ベースのオーバーレイ測定)に従って、例えば図7の装置などの検出器で測定される強度を算出することによって、特定の特徴の特定のターゲット(例えば、ピッチ、フィーチャ幅、材料の種類などに関して指定されたターゲット)がどのように測定されるかを数学的に導き出すことができる。ロバスト性データを得るために、シミュレータは、ある範囲(例えば、最大10%の変化、最大5%の変化、最大2%の変化、最大1%の変化、又は最大0.5%の変化)内で摂動を導入して、プロセス変動を模倣することができる(これは、基板にわたって拡張することができる)。 [00159] Preselection can analyze overlay data of a metrology target for a plurality of different wavelengths. Data can be obtained from experiments or from product measurements using the target. For example, multiple instances of the target under consideration can be printed across the substrate using the patterning process in which the target is used, and then each instance can be configured with multiple different configurations using an applicable metrology device. It can be measured (eg different wavelengths). Further or alternatives, overlay measurements obtained by using metrology recipes to measure targets can be simulated. In the simulation, one or more parameters of the measurement are determined using the parameters r i and / or t j of the metrology recipe (eg, provided by or determined from them). For example, the radiation-target interactions corresponding to the metrology recipe can be obtained from those parameters of the metrology recipe, for example by using Maxwell solver and tightly coupled wave analysis (RCWA), or by other mathematical modeling. Can be decided. Therefore, the expected measurements using the target and associated metrology recipes can be determined from the above interactions. Thus, in certain situations, data can be obtained using a simulator of the measurement process, for example to determine a target that produces a strong signal. The simulator uses a metrology device to identify specific features by calculating the intensity measured by a detector, such as the device of FIG. 7, according to the measurement technique of the inspection device (eg, diffraction-based overlay measurement). It is possible to mathematically derive how the target of (eg, the target specified in terms of pitch, feature width, material type, etc.) is measured. To obtain robustness data, the simulator may run within a range (eg, up to 10% change, up to 5% change, up to 2% change, up to 1% change, or up to 0.5% change). Perturbations can be introduced in to mimic process variability (which can be extended across the substrate).

[00160] したがって、実験法又はシミュレーションは、例えば上述した式を使用して、OVやKなどの特定のパラメータ又は指標に関して値を生成することができる。 [00160] Thus, an experimental method or simulation can generate values for a particular parameter or index, such as OV or K, using, for example, the equations described above.

[00161] 1つのそのような指標は、スタック感度(SS)である(信号コントラストとも考えられる)。スタック感度は、ターゲット(例えば格子)層間の回折により、オーバーレイが変化するにつれて信号の強度がどれだけ変化するかの尺度として理解することができる。すなわち、オーバーレイの文脈では、スタック感度は、オーバーレイターゲットの上下の周期構造間のコントラストを検出し、したがって上下の周期構造間の回折効率のバランスを表す。したがって、スタック感度は、測定量の感度の例示的な尺度である。一実施形態では、スタック感度は、強度非対称性と相加平均強度との比である。一実施形態では、スタック感度は、SS=KL/Iとして定式化することができ、ここで、Lはユーザ定義定数(例えば、一実施形態では、値Lは20nm及び/又はバイアスdの値)であり、Iは、ターゲットによって回折された測定ビームの平均強度である。一実施形態では、メトロロジレシピに関するスタック感度を最大にすべきである。しかし、最大スタック感度でのメトロロジレシピの使用は最良でないことがあることが明らかになっている。例えば、スタック感度が最大である測定ビーム波長は、低いオーバーレイ感度及び低いプロセスロバスト性に対応することがある。 [00161] One such indicator is stack sensitivity (SS) (also considered signal contrast). Stack sensitivity can be understood as a measure of how much the signal strength changes as the overlay changes due to diffraction between target (eg, grid) layers. That is, in the context of overlay, stack sensitivity detects the contrast between the upper and lower periodic structures of the overlay target and thus represents the balance of diffraction efficiency between the upper and lower periodic structures. Therefore, stack sensitivity is an exemplary measure of the sensitivity of a measure. In one embodiment, stack sensitivity is the ratio of intensity asymmetry to arithmetic mean intensity. In one embodiment, the stack sensitivity can be formulated as SS = KL / IM, where L is a user-defined constant (eg, in one embodiment, the value L is 20 nm and / or the value of bias d). ), And IM is the average intensity of the measured beam diffracted by the target. In one embodiment, the stack sensitivity for metrology recipes should be maximized. However, it has become clear that the use of metrology recipes at maximum stack sensitivity may not be the best. For example, the measured beam wavelength with maximum stack sensitivity may correspond to low overlay sensitivity and low process robustness.

[00162] メトロロジレシピデータの例を図17及び図18に示す。データは、1つ又は複数のメトロロジレシピパラメータ、特に測定ビームの波長など測定自体の1つ又は複数のパラメータの関数として測定データの依存性を表すことができる。一実施形態では、データは、測定放射線波長の関数として測定データの振動依存性(例えば(像面での)フィールドデータとして又は(瞳面での)瞳孔データとして得られる強度)を表すことができる。図17は、単一の偏光(この場合は直線X偏光)に関する様々な波長での測定におけるターゲットに関するデータの例示的なグラフである。データに曲線が当てはめられており、したがって、この表現はスイング曲線と呼ぶことができる。理解されるように、データのみを処理することができるので、グラフを生成する必要はない。図18は、別の単一の偏光(この場合は直線Y偏光)に関する様々な波長での測定におけるターゲットに関するデータのグラフである。図17と図18どちらにおいても、スタック感度及びオーバーレイ感度が、様々な測定ビーム波長についてグラフ化されている。さらに、ここでの偏光は直線X及びY偏光であるが、異なる偏光又は追加の偏光(左楕円偏光放射線や右楕円偏光放射線など)でもよい。 [00162] Examples of metrology recipe data are shown in FIGS. 17 and 18. The data can represent the dependence of the measurement data as a function of one or more metrology recipe parameters, in particular one or more parameters of the measurement itself, such as the wavelength of the measurement beam. In one embodiment, the data can represent the vibration dependence of the measured data as a function of the measured radiation wavelength (eg, the intensity obtained as field data (in the image plane) or pupil data (in the pupil plane)). .. FIG. 17 is an exemplary graph of data for a target in measurements at various wavelengths for a single polarization (in this case, linear X polarization). A curve is fitted to the data, so this representation can be called a swing curve. As you can see, you don't need to generate a graph as you can only process the data. FIG. 18 is a graph of data for a target in measurements at various wavelengths for another single polarization (in this case, linear Y polarization). In both FIGS. 17 and 18, stack sensitivity and overlay sensitivity are graphed for various measured beam wavelengths. Further, the polarization here is linear X and Y polarization, but may be different polarization or additional polarization (such as left elliptically polarized radiation or right elliptically polarized radiation).

[00163] このデータを使用して、1つ又は複数の特定のメトロロジレシピ(例えば波長)が検討から除外されて、さらなる可能な検討のための1セットのメトロロジレシピが選択される。この場合、メトロロジレシピは、同じターゲットを共有するが、測定放射線波長に関しては異なる。 [00163] Using this data, one or more specific metrology recipes (eg, wavelengths) are excluded from the study and a set of metrology recipes for further possible study is selected. In this case, the metrology recipes share the same target, but differ in terms of measured radiation wavelength.

[00164] ここで、その特定のターゲットに関するピッチ/波長限度を超えているという理由で、特定の波長を除去することができる。すなわち、ターゲットフィーチャのピッチ及び測定放射線波長は、この組合せでの測定が有効でなくなるようなものである。これら1つ又は複数のメトロロジレシピは、領域1500において除外される。 [00164] Here, a particular wavelength can be removed because it exceeds the pitch / wavelength limit for that particular target. That is, the pitch of the target feature and the measured radiation wavelength are such that the measurement with this combination becomes ineffective. These one or more metrology recipes are excluded in region 1500.

[00165] この選択の可能な態様は、閾値を満たす又は超える(すなわち、スタック感度値の特定の範囲内にある)スタック感度(例えば、基板にわたるターゲットの複数のインスタンスから得られる平均スタック感度(次いでこれを複数の基板に関して決定することができる))を有する1つ又は複数のメトロロジレシピを選択することである。一実施形態では、スタック感度を最大にすべきである(しかし、上で論じたように、他の指標又はパラメータを犠牲にせずに行う。プロセス変動に対するロバスト性に影響を及ぼし得るスタック感度に対する上限があり得る)。例えば、さらなる検討のために、0.05以上のスタック感度の絶対値を有する1つ又は複数のメトロロジレシピを選択することができる。当然、0.05を用いる必要はない。この場合、数がより大きい場合に、より多くの測定レシピが除外される。したがって、この場合のスタック感度の数は比較的低い。したがって、選択のこの態様によって除外された1つ又は複数のメトロロジレシピは、領域1510として記されている(この領域は、この状況での検査装置によって利用可能な波長にほぼ対応する。連続波長範囲が利用可能であり、検査装置がその範囲内の任意の波長に正確に且つ安定して同調することができる場合、図17及び図18での曲線に適用される分析はより正確である)。 [00165] A possible embodiment of this option is stack sensitivity that meets or exceeds a threshold (ie, is within a certain range of stack sensitivity values) (eg, average stack sensitivity obtained from multiple instances of the target across the substrate (and then). This can be determined for multiple substrates)) to select one or more metrology recipes. In one embodiment, stack sensitivity should be maximized (but as discussed above, without sacrificing other indicators or parameters; upper bound on stack sensitivity that can affect robustness to process variation. There can be). For example, for further study, one or more metrology recipes with an absolute value of stack sensitivity of 0.05 or greater can be selected. Of course, it is not necessary to use 0.05. In this case, the larger the number, the more measurement recipes are excluded. Therefore, the number of stack sensitivities in this case is relatively low. Therefore, one or more metrology recipes excluded by this aspect of selection are described as region 1510 (this region roughly corresponds to the wavelengths available by the inspection device in this situation. The analysis applied to the curves in FIGS. 17 and 18 is more accurate if a range is available and the inspection device can accurately and stably tune to any wavelength within that range). ..

[00166] この選択の可能な態様は、ターゲットシグマの考慮である。ターゲットシグマ(TS)は、ターゲットにわたる複数の測定された画素に関する測定されたパラメータ(例えばオーバーレイ)の統計的ばらつきとして理解することができる。理論上は、検出器によって、特定のターゲットに関して同じパラメータ値を読み取るように各画素が測定されるはずである。しかし、実際には、画素間にばらつきがあり得る。一実施形態では、ターゲットシグマは、標準偏差の形態又は分散の形態である。したがって、ターゲットシグマの低い値は、ターゲットにわたる測定されるパラメータの望ましい小さいばらつきを意味する。ターゲットシグマ(TS)の高い値は、ターゲットの印刷の問題(例えば、歪んだ格子線)、汚染の問題(例えば、ターゲット上の著しい粒子)、測定ビームスポットの位置決めの問題、及び/又はターゲットにわたる測定ビーム強度ばらつきの問題を通知することができる。 [00166] A possible embodiment of this option is the consideration of target sigma. Target sigma (TS) can be understood as statistical variation in measured parameters (eg, overlays) for multiple measured pixels across the target. In theory, the detector should measure each pixel to read the same parameter value for a particular target. However, in reality, there may be variations between pixels. In one embodiment, the target sigma is in the form of standard deviation or dispersion. Therefore, a low value of target sigma means the desired small variability of the measured parameters across the target. High values of target sigma (TS) span target printing problems (eg, distorted grid lines), contamination problems (eg, significant particles on the target), measurement beam spot positioning problems, and / or targets. It is possible to notify the problem of measurement beam intensity variation.

[00167] したがって、この選択のさらなる態様は、閾値を満たす又は超える(すなわち、ターゲットシグマ値の特定の範囲内にある)ターゲットシグマ(例えば、基板にわたるターゲットの複数のインスタンスから得られる平均ターゲットシグマ(次いでこれを複数の基板に関して決定することができる))を有する1つ又は複数のメトロロジレシピを選択することでよい。一実施形態では、ターゲットシグマを最小にすべきである。例えば、さらなる検討のために、10nm以下のターゲットシグマを有する1つ又は複数のメトロロジレシピを選択することができる。当然、10nmを用いる必要はない。この場合、数がより小さい場合に、より多くのメトロロジレシピが除外される。したがって、この場合のターゲットシグマの数は比較的高い。したがって、選択のこの態様によって除外される1つ又は複数のメトロロジレシピは、領域1515として記されている(この領域は、この状況において検査装置によって利用可能な波長にほぼ対応する)。 [00167] Therefore, a further aspect of this selection is a target sigma that meets or exceeds the threshold (ie, is within a certain range of target sigma values) (eg, an average target sigma obtained from multiple instances of the target across the substrate). This may then be determined for multiple substrates)) by selecting one or more metrology recipes. In one embodiment, the target sigma should be minimized. For example, one or more metrology recipes with a target sigma of 10 nm or less can be selected for further study. Of course, it is not necessary to use 10 nm. In this case, smaller numbers exclude more metrology recipes. Therefore, the number of target sigma in this case is relatively high. Therefore, one or more metrology recipes excluded by this aspect of selection are described as region 1515 (this region roughly corresponds to the wavelengths available by the inspection device in this situation).

[00168] 例えば、オーバーレイの測定誤差を低減するために、1セットの測定条件(例えば、ターゲット選択、測定ビーム波長、測定ビーム偏光など)を大きなオーバーレイ感度Kで選択すべきである。この選択の可能な態様は、閾値を満たす又は超える(すなわち、オーバーレイ感度値の特定の範囲内にある)オーバーレイ感度(例えば、基板にわたるターゲットの複数のインスタンスから得られる平均オーバーレイ感度(次いでこれを複数の基板に関して決定することができる))を有する1つ又は複数のメトロロジレシピを選択することである。一実施形態では、メトロロジレシピに関して、オーバーレイ感度を最大にすべきである。例えば、さらなる検討のために、最高オーバーレイ感度の絶対値の範囲にあるオーバーレイ感度の絶対値を有する1つ又は複数のメトロロジレシピを選択することができる。例えば、この範囲は、最高オーバーレイ感度値の35%以内、30%以内、25%以内、20%以内、15%以内、又は10%以内でよい。例えば、オーバーレイ感度値の極小値又は極大値からある範囲内の1つ又は複数のメトロロジレシピを選択することができる。例えば、この範囲は、極小値又は極大値の35%以内、30%以内、25%以内、20%以内、15%以内、又は10%以内でよい。当然、異なる範囲を使用することもできる。範囲が広いほど、より多くのメトロロジレシピが保持される。したがって、選択のこの態様によって除外される1つ又は複数のメトロロジレシピは、領域1520として記されている(この領域は、この状況において検査装置によって利用可能な波長にほぼ対応する)。 [00168] For example, in order to reduce overlay measurement error, a set of measurement conditions (eg, target selection, measurement beam wavelength, measurement beam polarization, etc.) should be selected with a large overlay sensitivity K. A possible aspect of this choice is overlay sensitivity that meets or exceeds the threshold (ie, is within a certain range of overlay sensitivity values) (eg, average overlay sensitivity obtained from multiple instances of the target across the substrate (and thus multiple). It is possible to select one or more metrology recipes having))). In one embodiment, overlay sensitivity should be maximized for metrology recipes. For example, for further study, one or more metrology recipes with an absolute value of overlay sensitivity within the absolute value range of the highest overlay sensitivity can be selected. For example, this range may be within 35%, within 30%, within 25%, within 20%, within 15%, or within 10% of the maximum overlay sensitivity value. For example, one or more metrology recipes within a range from the local minimum or maximum overlay sensitivity can be selected. For example, this range may be within 35%, within 30%, within 25%, within 20%, within 15%, or within 10% of the local minimum or maximum value. Of course, different ranges can be used. The wider the range, the more metrology recipes will be retained. Therefore, one or more metrology recipes excluded by this aspect of selection are described as region 1520 (this region roughly corresponds to the wavelengths available by the inspection device in this situation).

[00169] この選択の可能な側面は、閾値に対してスタック差パラメータを考慮することである。一実施形態では、スタック差パラメータは、格子不均衡(GI)を含む。したがって、例えば、格子不均衡(GI)(例えば、基板にわたるターゲットの複数のインスタンスから得られる(次いでこれを複数の基板に関して決定することができる)、平均格子不均衡又は格子不均衡のばらつき(例えば、分散、標準偏差など))を閾値に対して評価することによって、1つ又は複数のメトロロジレシピのサブセットを選択することができる。例えば、さらなる検討のために、0.05又は5%以下の格子不均衡を有する1つ又は複数のメトロロジレシピを選択することができる。当然、0.05又は5%を用いる必要はない。一実施形態では、スタック差パラメータが最小にされる。 [00169] A possible aspect of this choice is to consider the stack difference parameter with respect to the threshold. In one embodiment, the stack difference parameter comprises lattice imbalance (GI). Thus, for example, a grid imbalance (GI) (eg, obtained from multiple instances of the target across the substrate (which can then be determined for multiple substrates)), an average grid imbalance or a variation in the grid imbalance (eg,). , Variance, standard deviation, etc.)) can be evaluated against a threshold to select one or more subsets of metrology recipes. For example, for further study, one or more metrology recipes with a lattice imbalance of 0.05 or 5% or less can be selected. Of course, it is not necessary to use 0.05 or 5%. In one embodiment, the stack difference parameter is minimized.

[00170] この選択の可能な態様は、自己参照指標(基板にわたるターゲットの複数のインスタンスから得られる(次いでこれを複数の基板に関して決定することができる))を閾値に対して評価することである。一実施形態では、自己参照指標は、全体を参照により本明細書に援用する国際公開第2015/018625号に記載されているA対Aの分析を使用して得られる自己参照性能パラメータ(例えばオーバーレイ)であるか、又はそれを含む。 [00170] A possible embodiment of this option is to evaluate a self-reference index, which is obtained from multiple instances of the target across the substrate (which can then be determined for multiple substrates) against the threshold. .. In one embodiment, the self-reference index is a self-reference performance parameter obtained using the A + vs. A - analysis described in WO 2015/018625, which is incorporated herein by reference in its entirety. (Eg overlay), or includes it.

[00171] この文脈におけるA対Aの分析は、正のバイアス(A)を有する周期構造及び負のバイアス(A)を有する周期構造を備えるターゲットの複数のインスタンスに関してメトロロジレシピを評価することを意味する。したがって、性能パラメータとしてのオーバーレイに関して、各メトロロジレシピに関して、及びターゲットの各インスタンスに関してA及びAが決定され、決定されたAの値が、決定されたA-の値に対して評価されて、そのようなデータを通るフィッティングを生成し、そのフィッティングに関連する値が、ターゲットのインスタンスに関する実際のオーバーレイのより正確な値に対応する。これが、ターゲットの各インスタンスに関して繰り返されて、自己参照性能パラメータの複数の値を生成する。一実施形態では、それら複数の値を平均して、基板にわたる実際のオーバーレイの相加平均(例えば、平均)のより正確な値を生成する(ここで、ターゲットの各インスタンスが同じオーバーレイを有するものと仮定する)。 [00171] The A + vs. A - analysis in this context provides a metrology recipe for multiple instances of a target with a periodic structure with a positive bias (A + ) and a periodic structure with a negative bias (A- ) . Means to evaluate. Therefore, for overlays as performance parameters, for each metrology recipe, and for each instance of the target, A + and A - are determined and the determined A + value is evaluated against the determined A-value. Then, a fitting is generated through such data, and the value associated with that fitting corresponds to the more accurate value of the actual overlay for the target instance. This is repeated for each instance of the target, producing multiple values for the self-referencing performance parameter. In one embodiment, the plurality of values are averaged to produce a more accurate value of the arithmetic mean (eg, average) of the actual overlay across the substrate (where each instance of the target has the same overlay). Suppose).

[00172] 図19は、存在する唯一の非対称性がバイアス及びオーバーレイによる非対称性であるように、フィーチャ非対称性を有さないオーバーレイ格子に関するA対A-の例示的なプロットであり、フィッティングを示す。この場合、AとA-の関係は、原点を通る直線上にある(フィーチャ非対称性は仮定されていないため)。全てのメトロロジレシピに関する対応するA対Aデータ点がこの線上にある。この線の傾き(フィッティング)は、実際のオーバーレイのより正確な値に関連する。図19は、OV=0と表された点線(ゼロオーバーレイを示し、-1の傾きを有する線)と、OV∞と表された点線(+1の傾きを有し、無限大に近づくオーバーレイを示す線)と、OV<0と表された実線(-1未満の傾きを有し、0未満のオーバーレイを示す線)と、OV>0と表された実線(-1よりも大きい傾きを有し、ゼロよりも大きいオーバーレイを示す線)とを示す。さらに、+dに等しいオーバーレイ(ここで、dは格子バイアス)が、y軸に沿ってプロットされた線をもたらし、-dに等しいオーバーレイが、x軸に沿ってプロットされた線をもたらすことが分かる。 [00172] FIG. 19 is an exemplary plot of A + vs. A- for overlay grids without feature asymmetry, just as the only asymmetry that exists is bias and overlay asymmetry. show. In this case, the relationship between A + and A- is on a straight line through the origin (because feature asymmetry is not assumed). The corresponding A + vs. A - data points for all metrology recipes are on this line. The slope of this line (fitting) is related to the more accurate value of the actual overlay. FIG. 19 shows a dotted line representing OV = 0 (a line showing a zero overlay with a slope of -1) and a dotted line representing OV∞ (a line with a slope of +1 and a slope approaching infinity). Line), a solid line represented by OV <0 (a line with a slope less than -1 and showing an overlay less than 0), and a solid line represented by OV> 0 (with a slope greater than -1). , A line indicating an overlay greater than zero). Further, it can be seen that an overlay equal to + d (where d is the grid bias) results in lines plotted along the y-axis, and an overlay equal to -d results in lines plotted along the x-axis. ..

[00173] したがって、A対A-の回帰は、データセットを通るフィッティング線の傾きを決定することによって、フィーチャ非対称性に起因する寄与がないかのようなオーバーレイのより正確な値をもたらすことができる。この線は、必ずしも、原点を通って当てはめられるわけではない。任意選択で、フィーチャ非対称性は、原点からのフィッティング線のオフセット(例えば切片項)によって決定することができる。 [00173] Therefore, A + vs. A-regression provides a more accurate value of the overlay as if there were no contributions due to feature asymmetry by determining the slope of the fitting line through the dataset. Can be done. This line does not always fit through the origin. Optionally, feature asymmetry can be determined by the offset of the fitting line from the origin (eg, the intercept term).

[00174] さらに、オーバーレイの実際の測定値は、ターゲットの各インスタンスに関して、及び各メトロロジレシピに関して(ターゲットの各インスタンスが同じオーバーレイを有するものと仮定した場合)決定することができる。これらの値を統計的に処理して、特定のメトロロジレシピに関するオーバーレイの相加平均値及び統計的ばらつき(例えば標準偏差)を生成することができる。 [00174] Further, the actual measurement of the overlay can be determined for each instance of the target and for each metrology recipe (assuming each instance of the target has the same overlay). These values can be processed statistically to generate the arithmetic mean and statistical variability (eg, standard deviation) of the overlay for a particular metrology recipe.

[00175] 次いで、自己参照指標は、特定のメトロロジレシピに対する、オーバーレイの決定されたより正確な値とオーバーレイの測定された値との比較であり得る。一実施形態では、自己参照指標は、実際のオーバーレイの決定された相加平均のより正確な値と、オーバーレイの相加平均測定値と標準偏差の3倍との和との差であり、これを閾値に対して評価することができる(例えば、この場合の自己参照指標が3nm以下である場合にメトロロジレシピが選択される。ただし、3nmとは異なる値を用いることもできる)。したがって、この自己参照指標は、実際上、基板にわたる残留フィンガープリントである。一実施形態では、自己参照指標は最小にすべきである。 [00175] The self-reference indicator can then be a comparison of the determined more accurate value of the overlay with the measured value of the overlay for a particular metrology recipe. In one embodiment, the self-reference index is the difference between the more accurate value of the determined arithmetic mean of the actual overlay and the sum of the arithmetic mean measurement of the overlay and three times the standard deviation. Can be evaluated against a threshold (eg, a metrology recipe is selected if the self-reference index in this case is 3 nm or less, although values different from 3 nm can be used). Therefore, this self-reference indicator is practically a residual fingerprint across the substrate. In one embodiment, the self-reference index should be minimized.

[00176] したがって、実際上、この技法は、基板にわたっていくつかの異なるメトロロジレシピを使用して検出された周期構造(例えば、バイアスオーバーレイ格子)の非対称性を当てはめて、プロセスパラメータ(例えば、オーバーレイ)のより正確な値の自己参照フィンガープリントを生成することを伴う。次いで、自己参照のより正確なプロセスパラメータ値(例えば、オーバーレイ)が、1つ又は複数のメトロロジレシピのプロセスパラメータ(例えば、オーバーレイ)の測定値と比較され、どの1つ又は複数のメトロロジレシピが自己参照フィンガープリントに近い結果を生成するかを識別し、それらの1つ又は複数のメトロロジレシピを使用して測定の精度を保証する助けとなる。 [00176] Therefore, in practice, this technique applies process parameters (eg, overlays) to the asymmetry of periodic structures (eg, bias overlay grids) detected using several different metrology recipes across the substrate. ) Accompanied by generating a self-referenced fingerprint with a more accurate value. A more accurate self-referenced process parameter value (eg, overlay) is then compared to the measured value of the process parameter (eg, overlay) of one or more metrology recipes, which one or more metrology recipes. Helps identify whether to produce results close to self-reference fingerprints and use one or more of those metrology recipes to ensure the accuracy of the measurements.

[00177] その結果、前述の1つ又は複数の評価の後には、1つ又は複数のメトロロジレシピ(例えば、測定波長)が残るはずである(当然、メトロロジレシピが残らない場合には、1つ又は複数の他のメトロロジレシピパラメータ(例えば、ターゲット自体の1つ又は複数のパラメータ)を修正する必要があり得る)。この時点で、事前選択のために1つ又は複数の選択されたメトロロジレシピを出力し、ステップ1460において使用することができる。 As a result, one or more metrology recipes (eg, measurement wavelengths) should remain after the one or more evaluations described above (of course, if no metrology recipes remain). One or more other metrology recipe parameters (eg, one or more parameters of the target itself) may need to be modified). At this point, one or more selected metrology recipes for preselection can be output and used in step 1460.

[00178] したがって、一実施形態では、例えば、上述した多波長技法を使用して、オーバーレイを正確に算出するための方法が提供され、その結果、その正確なオーバーレイを使用して、そのメトロロジレシピを使用して測定されたオーバーレイがより正確であるか又は最も正確であるように、最適なメトロロジレシピの選択が導かれる。したがって、レシピ選択アルゴリズムが近似又は発見的ステップに基づいて正確なオーバーレイに対する「最適な」メトロロジレシピに達するのではなく、本明細書に記載の方法は、上述した多波長技法をレシピ選択に適用し、したがって、より解析に基づく形式を使用したメトロロジレシピ選択を提供する。 [00178] Accordingly, in one embodiment, for example, using the multi-wavelength technique described above, a method for accurately calculating the overlay is provided, and as a result, the metrology is used using the exact overlay. The selection of the optimal metrology recipe is guided so that the overlay measured using the recipe is more accurate or most accurate. Therefore, rather than the recipe selection algorithm reaching the "optimal" metrology recipe for accurate overlays based on approximate or heuristic steps, the methods described herein apply the multi-wavelength technique described above to recipe selection. And therefore, it provides a metrology recipe selection using a more analytically based format.

[00179] さらなる留意点として、非最適波長を用いて作業するという制約に拘束されるとしても、ほとんどの場合、スタック感度が低過ぎない(すなわち、入力における雑音が多過ぎない)限り、上述した多波長技法は、依然として、正確なオーバーレイを決定することができる(メトロロジレシピ選択のため又は大量の若しくは製造測定のためになど、任意の用途のために)。非最適波長を用いた作業に対するペナルティは、入力における雑音が多過ぎる(例えば、低いスタック感度)状況、及び/又は、波長が互いに離れ過ぎており、メトロロジターゲットの材料が波長に大きく依存する状況における精度の低いオーバーレイであり得る。しかし、大抵の場合、これらの状況は、適切に設計されたメトロロジターゲットを有する適切に設計されたメトロロジプロセスでは起こりそうにない。 [00179] As a further note, in most cases, as long as the stack sensitivity is not too low (ie, not too noisy at the input), even if constrained by working with non-optimal wavelengths, it is mentioned above. Multi-wavelength techniques can still determine the exact overlay (for any application, such as for metrology recipe selection or for high volume or manufacturing measurements). Penalties for working with non-optimal wavelengths are situations where the inputs are too noisy (eg, low stack sensitivity) and / or the wavelengths are too far apart from each other and the material of the metrological target is highly wavelength dependent. It can be an inaccurate overlay in. However, in most cases, these situations are unlikely to occur in a well-designed metrology process with a well-designed metrology target.

[00180] 一実施形態では、メトロロジターゲットからパターニングプロセスパラメータを決定する方法であって、メトロロジターゲットから回折放射線の複数の値を得ることであって、複数の値の各値が、ターゲットに対する照明放射線の複数の波長のうちの異なる波長に対応する、得ることと、ターゲットに対するパターニングプロセスパラメータの同じ値を決定するために値の組合せを使用することとを含む、方法が提供される。 [00180] In one embodiment, a method of determining patterning process parameters from a metrology target, obtaining multiple values of diffracted radiation from the metrology target, where each value of the plurality of values is relative to the target. Methods are provided that correspond to, obtain, and use a combination of values to determine the same value of the patterning process parameter for the target, corresponding to different wavelengths of the illumination radiation.

[00181] 一実施形態では、回折放射線の値は、複数の波長のうちの少なくとも4つの波長の各々に対して得られる。一実施形態では、ターゲットは、少なくとも2つのサブターゲットを含み、各サブターゲットは、異なるバイアスを有し、各値は、特定のサブターゲットからの回折放射線に対応する。一実施形態では、値は、回折放射線の特定の回折次数の正値の放射線と、回折放射線の特定の回折次数の負値の放射線とに別々に対応する。一実施形態では、パターニングプロセスパラメータの同じ値を決定するために値の組合せを使用することは、連立方程式の少なくとも1つの方程式の変数として複数の波長の各々を含む連立方程式を使用することを含む。一実施形態では、連立方程式は、少なくとも16個の方程式を含む。一実施形態では、連立方程式は、最大で16個の未知数を含む。一実施形態では、ターゲットは、上部周期構造及び下部周期構造を含み、連立方程式の各方程式は、ターゲットの下部周期構造からの放射線の振幅を表す変数及びターゲットからの放射線の位相を表す変数の関数である1つ又は複数の項を含み、回折放射線の特定の回折次数の正値に対する放射線の少なくとも振幅変数は、回折放射線の特定の回折次数の負値に対する放射線の振幅変数とは異なり、回折放射線の特定の回折次数の正値に対する放射線の少なくとも位相変数は、回折放射線の特定の回折次数の負値に対する放射線の位相変数とは異なる。一実施形態では、ターゲットは、周期構造の正のバイアスを有するターゲットのサブターゲットと、周期構造の負のバイアスを有するターゲットのサブターゲットとを含み、連立方程式の各方程式は、ターゲットからの放射線の振幅を表す変数及びターゲットからの放射線の位相を表す変数の関数である1つ又は複数の項を含み、正のバイアスを有するサブターゲットに対する放射線の少なくとも振幅変数は、負のバイアスを有するサブターゲットに対する放射線の振幅変数とは異なり、正のバイアスを有するサブターゲットに対する放射線の少なくとも位相変数は、負のバイアスを有するサブターゲットに対する放射線の位相変数とは異なる。一実施形態では、連立方程式の各方程式は、センサ非対称性誤差を表す変数の関数である1つ又は複数の項を含む。一実施形態では、回折放射線の特定の回折次数の正値に対する放射線の少なくともセンサ非対称性誤差変数は、回折放射線の特定の回折次数の負値に対する放射線のセンサ非対称性誤差変数とは異なる。一実施形態では、連立方程式を使用することは、パターニングプロセスパラメータの値に達するように非線形連立方程式を解くことを含む。一実施形態では、パターニングプロセスパラメータはオーバーレイである。一実施形態では、回折放射線の値は、パターニングプロセスを使用して処理された基板上のメトロロジターゲットの測定から得られた回折値である。一実施形態では、回折放射線の値は、メトロロジターゲットの測定のシミュレーションから得られた回折値である。 [00181] In one embodiment, the value of diffracted radiation is obtained for each of at least four wavelengths of the plurality of wavelengths. In one embodiment, the target comprises at least two sub-targets, each sub-target has a different bias, and each value corresponds to diffracted radiation from a particular sub-target. In one embodiment, the values correspond separately to positive radiation of a particular diffraction order of diffracted radiation and negative radiation of a particular diffraction order of diffracted radiation. In one embodiment, using a combination of values to determine the same value for a patterning process parameter involves using a system of equations containing each of a plurality of wavelengths as a variable in at least one of the system of equations. .. In one embodiment, the simultaneous equations include at least 16 equations. In one embodiment, the simultaneous equations contain up to 16 unknowns. In one embodiment, the target comprises an upper periodic structure and a lower periodic structure, and each equation of the simultaneous equation is a function of a variable representing the amplitude of radiation from the lower periodic structure of the target and a variable representing the phase of radiation from the target. The at least amplitude variable of radiation for a positive value of a particular diffraction order of diffracted radiation is different from the amplitude variable of radiation for a negative value of a particular diffractive order of diffracted radiation. At least the phase variable of radiation for a positive value of a particular diffraction order of is different from the phase variable of radiation for a negative value of a particular diffraction order of diffracted radiation. In one embodiment, the target comprises a subtarget of the target having a positive bias of the periodic structure and a subtarget of the target having a negative bias of the periodic structure, and each equation of the simultaneous equation is of radiation from the target. At least the amplitude variable of radiation for a subtarget with a positive bias contains one or more terms that are a function of the variable representing the amplitude and the variable representing the phase of radiation from the target, with respect to the subtarget with a negative bias. Unlike the amplitude variable of radiation, at least the phase variable of radiation for a subtarget with a positive bias is different from the phase variable of radiation for a subtarget with a negative bias. In one embodiment, each equation of simultaneous equations comprises one or more terms that are a function of variables representing the sensor asymmetry error. In one embodiment, at least the sensor asymmetry error variable of radiation for a positive value of a particular diffraction order of diffracted radiation is different from the sensor asymmetry error variable of radiation for a negative value of a particular diffraction order of diffracted radiation. In one embodiment, using simultaneous equations involves solving a non-linear simultaneous equation to reach the values of the patterning process parameters. In one embodiment, the patterning process parameter is an overlay. In one embodiment, the diffracted radiation value is the diffraction value obtained from the measurement of the metrologi target on the substrate processed using the patterning process. In one embodiment, the diffracted radiation value is a diffracted value obtained from a simulation of the measurement of a metrology target.

[00182] 一実施形態では、測定放射線によって照明されたメトロロジターゲットからパターニングプロセスパラメータの第1の値を決定するために、第1のパターニングプロセスパラメータ決定技法を使用することと、メトロロジターゲットに対するパターニングプロセスパラメータの複数の第2の値に達するように、第1のパターニングプロセスパラメータ決定技法とは異なる第2のパターニングプロセスパラメータ決定技法を使用することであって、第2の値の各々が、測定放射線の異なる波長で決定される、使用することと、第1の値及び第2の値に基づいて、メトロロジターゲットの測定のためのメトロロジレシピに対する測定放射線波長を識別することとを含む方法が提供される。 [00182] In one embodiment, the first patterning process parameter determination technique is used to determine the first value of the patterning process parameter from the metrology target illuminated by the measured radiation, and to the metrology target. By using a second patterning process parameter determination technique that is different from the first patterning process parameter determination technique so that a plurality of second values of the patterning process parameter are reached, each of the second values is Includes the use, which is determined by different wavelengths of the measured radiation, and the identification of the measured radiation wavelength for the metrology recipe for the measurement of the metrology target based on the first and second values. The method is provided.

[00183] 一実施形態では、識別することは、第2の値のうちのどれが第1の値に最も近いかを識別することを含み、識別された測定波長は、最も近い第2の値と関連付けられた測定放射線波長である。一実施形態では、識別することは、第2の値を測定放射線波長の関数として当てはめることと、第1の値に最も近いか又は等しいパターニングプロセスパラメータの値を有する、フィッティングから、識別された測定波長を外挿又は内挿することとを含む。一実施形態では、第1のパターニングプロセスパラメータ決定技法は、メトロロジターゲットから回折放射線の複数の値を得ることであって、複数の値の各値が、ターゲットに対する測定放射線の複数の波長のうちの異なる波長に対応する、得ることと、ターゲットに対するパターニングプロセスパラメータの同じ値を決定するために値の組合せを使用することとを含む。一実施形態では、方法は、測定規準に基づいてより大きな波長セットから複数の波長の事前選択を実行することをさらに含む。一実施形態では、測定規準は、特定の閾値以下であるスタック感度を含む。一実施形態では、回折放射線の値は、複数の波長のうちの少なくとも4つの波長の各々に対して得られる。一実施形態では、ターゲットは、少なくとも2つのサブターゲットを含み、各サブターゲットは、異なるバイアスを有し、各値は、特定のサブターゲットからの回折放射線に対応する。一実施形態では、値は、回折放射線の特定の回折次数の正値の放射線と、回折放射線の特定の回折次数の負値の放射線とに別々に対応する。一実施形態では、パターニングプロセスパラメータの同じ値を決定するために値の組合せを使用することは、連立方程式の少なくとも1つの方程式の変数として複数の波長の各々を含む連立方程式を使用することを含む。一実施形態では、連立方程式は、少なくとも16個の方程式を含む。一実施形態では、連立方程式は、最大で16個の未知数を含む。一実施形態では、連立方程式を使用することは、パターニングプロセスパラメータの値に達するように非線形連立方程式を解くことを含む。一実施形態では、パターニングプロセスパラメータはオーバーレイである。一実施形態では、値は、パターニングプロセスを使用して処理された基板上のメトロロジターゲットの測定から得られる。一実施形態では、値は、メトロロジターゲットの測定のシミュレーションから得られる。 [00183] In one embodiment, identifying includes identifying which of the second values is closest to the first value, and the identified measurement wavelength is the closest second value. The measured radiation wavelength associated with. In one embodiment, the identification is a measurement identified from the fitting, which fits the second value as a function of the measured radiation wavelength and has a patterning process parameter value closest to or equal to the first value. Includes extrapolating or interpolating wavelengths. In one embodiment, the first patterning process parameter determination technique is to obtain a plurality of values of diffracted radiation from a metrology target, where each value of the plurality of values is among the plurality of wavelengths of measured radiation relative to the target. Corresponding to different wavelengths of, and using a combination of values to determine the same value of patterning process parameters for the target. In one embodiment, the method further comprises performing preselection of multiple wavelengths from a larger wavelength set based on measurement criteria. In one embodiment, the metric includes stack sensitivity that is below a certain threshold. In one embodiment, the value of diffracted radiation is obtained for each of at least four wavelengths of the plurality of wavelengths. In one embodiment, the target comprises at least two sub-targets, each sub-target has a different bias, and each value corresponds to diffracted radiation from a particular sub-target. In one embodiment, the values correspond separately to positive radiation of a particular diffraction order of diffracted radiation and negative radiation of a particular diffraction order of diffracted radiation. In one embodiment, using a combination of values to determine the same value for a patterning process parameter involves using a system of equations containing each of a plurality of wavelengths as a variable in at least one of the system of equations. .. In one embodiment, the simultaneous equations include at least 16 equations. In one embodiment, the simultaneous equations contain up to 16 unknowns. In one embodiment, using simultaneous equations involves solving a non-linear simultaneous equation to reach the values of the patterning process parameters. In one embodiment, the patterning process parameter is an overlay. In one embodiment, the values are obtained from measurements of metrological targets on the substrate processed using a patterning process. In one embodiment, the values are obtained from a simulation of the measurement of a metrology target.

[00184] 上述した実施形態は、フィールド面における回折ベースのオーバーレイ測定(例えば、図7Aに示される装置の第2の測定ブランチを使用して行われる測定)に関して述べたが、原理的には、同じモデルを瞳孔に基づくオーバーレイ測定(例えば、図7Aに示される装置の第1の測定ブランチを使用して行われる測定)に使用することができる。したがって、本明細書で述べる概念は、フィールド面及び瞳孔面における回折ベースのオーバーレイ測定にも同様に適用可能であることを理解されたい。 [00184] The embodiments described above have been described with respect to diffraction-based overlay measurements on the field surface (eg, measurements made using the second measurement branch of the device shown in FIG. 7A), but in principle. The same model can be used for pupil-based overlay measurements (eg, measurements made using the first measurement branch of the device shown in FIG. 7A). Therefore, it should be understood that the concepts described herein are similarly applicable to diffraction-based overlay measurements on field and pupillary surfaces.

[00185] 本明細書で述べるメトロロジターゲット及びプロセスパラメータの実施形態は、大抵は、オーバーレイを測定するために使用されるオーバーレイターゲットに関して述べたが、本明細書で述べるメトロロジターゲットの実施形態を使用して、1つ又は複数の追加又は代替のパターニングプロセスパラメータを測定することもできる。例えば、メトロロジターゲットを使用して、露光量変動の測定、露光焦点/焦点ずれの測定、端設置測定エラー、CDの測定などを行うことができる。さらに、本明細書における記載は、適宜修正を伴って、アライメントマークを使用するリソグラフィ装置での基板及び/又はパターニングデバイスなどのアライメントに適用することもできる。同様に、アライメント測定のための適切なレシピを決定することができる。 [00185] Embodiments of the metrology targets and process parameters described herein have often been described with respect to overlay targets used to measure overlays, but embodiments of the metrology targets described herein are described. It can also be used to measure one or more additional or alternative patterning process parameters. For example, a metrology target can be used to measure exposure fluctuations, exposure focus / defocus, edge-mounted measurement errors, CD measurements, and the like. Further, the description in the present specification can be applied to alignment of a substrate and / or a patterning device in a lithography apparatus using an alignment mark, with appropriate modifications. Similarly, an appropriate recipe for alignment measurements can be determined.

[00186] したがって、対象の性能パラメータはオーバーレイであるが、パターニングプロセスの性能の他のパラメータ(例えば、ドーズ量、焦点、CDなど)を、例えば、多波長方程式に適切な修正を加えて、本明細書で述べる方法を使用して決定することもできる。性能パラメータ(例えば、オーバーレイ、CD、焦点、ドーズ量など)は、パターニングプロセスの改良、ターゲットの改良のためにフィードバック(又はフィードフォワード)することができ、及び/又は本明細書で述べるモデリング、測定及び算出プロセスを改良するために使用することができる。 [00186] Therefore, the performance parameter of interest is an overlay, but other parameters of the performance of the patterning process (eg, dose amount, focus, CD, etc.), eg, with appropriate modifications to the multi-wavelength equation, are booked. It can also be determined using the method described herein. Performance parameters (eg, overlay, CD, focus, dose amount, etc.) can be fed back (or feedforward) to improve the patterning process, target, and / or the modeling, measurement described herein. And can be used to improve the calculation process.

[00187] 上述したターゲット構造は、測定目的のために特別に設計されて形成されたメトロロジターゲットであるが、他の実施形態では、基板に形成されたデバイスの機能部分であるターゲットに関して特性を測定することができる。多くのデバイスは、格子に似た規則的な周期構造を有する。本明細書で使用される「ターゲット」、「格子」、又はターゲットの「周期構造」という用語は、適用可能な構造が、実施される測定のために特別に提供されていることを必要としない。さらに、メトロロジターゲットのピッチPは、測定ツールの光学系の解像限界に近いが、ターゲット部分Cにパターニングプロセスによって形成される典型的な製品フィーチャの寸法よりもはるかに大きくてよい。実際には、周期構造のフィーチャ及び/又は空間は、製品フィーチャと寸法が同様のより小さい構造を含むように形成されてもよい。 [00187] The target structure described above is a metrology target specifically designed and formed for measurement purposes, but in other embodiments it is characterized with respect to the target, which is a functional part of the device formed on the substrate. Can be measured. Many devices have a regular periodic structure that resembles a grid. As used herein, the term "target", "grid", or "periodic structure" of a target does not require that the applicable structure be provided specifically for the measurements performed. .. In addition, the pitch P of the metrology target may be close to the resolution limit of the measurement tool's optical system, but much larger than the dimensions of typical product features formed in the target portion C by the patterning process. In practice, the features and / or spaces of the periodic structure may be formed to include smaller structures similar in size to the product features.

[00188] 基板及びパターニングデバイスで実現されるようなターゲットの物理的構造に関連して、一実施形態は、機械可読命令及び/又は機能データの1つ又は複数のシーケンスを含むコンピュータプログラムを含むことがあり、これらの機械可読命令及び/又は機能データは、ターゲット設計を記述し、基板に関するターゲットを設計する方法を記述し、基板にターゲットを生成する方法を記述し、基板上のターゲットを測定する方法を記述し、及び/又はパターニングプロセスに関する情報を得るために測定量を分析する方法を記述する。このコンピュータプログラムは、例えば図7の装置におけるユニットPU及び/又は図2の制御ユニットLACU内で実行することができる。そのようなコンピュータプログラムを記憶したデータ記憶媒体(例えば、半導体メモリや、磁気又は光ディスク)も提供することができる。例えば図7に示されるタイプの既存の検査装置が既に製造中及び/又は使用中である場合、実施形態は、本明細書で述べる方法の1つ又は複数をプロセッサに実施させるための更新されたコンピュータプログラム製品の提供によって実施することができる。プログラムは、光学系や基板支持体などを制御して、適切な複数のターゲットに対してパターニングプロセスのパラメータを測定する方法を実施するように任意選択で構成することができる。プログラムは、さらなる基板の測定のためにリソグラフィ及び/又はメトロロジレシピを更新することができる。プログラムは、さらなる基板のパターン形成及び処理のためにリソグラフィ装置を(直接的又は間接的に)制御するように構成することができる。 [00188] In connection with the physical structure of the target as realized by the substrate and patterning device, one embodiment comprises a computer program containing one or more sequences of machine-readable instructions and / or functional data. These machine-readable instructions and / or functional data describe the target design, describe how to design the target for the board, describe how to generate the target on the board, and measure the target on the board. Describe the method and / or describe how to analyze the measurements to obtain information about the patterning process. This computer program can be executed, for example, in the unit PU in the apparatus of FIG. 7 and / or in the control unit LACU of FIG. A data storage medium (eg, a semiconductor memory or a magnetic or optical disk) that stores such a computer program can also be provided. For example, if an existing inspection device of the type shown in FIG. 7 is already in production and / or in use, embodiments have been updated to allow the processor to perform one or more of the methods described herein. It can be carried out by providing a computer program product. The program can optionally be configured to control the optical system, substrate support, etc. to implement a method of measuring parameters of the patterning process for a plurality of suitable targets. The program can update the lithography and / or metrology recipes for further substrate measurements. The program can be configured to control the lithography equipment (directly or indirectly) for further substrate patterning and processing.

[00189] さらに、本明細書では、例えば回折次数からの強度から重なり合う周期構造の相対位置を測定する回折ベースのメトロロジ法に関して実施形態を述べてきた。しかし、本明細書における実施形態は、必要であれば適宜修正を伴って、像ベースのメトロロジにも適用することができ、これは、例えば、ターゲットの高品質像を使用して層1のターゲット1から層2のターゲット2までの相対位置を測定する。通常、これらのターゲットは、周期構造又は「ボックス」(Box-in-Box(BiB))である。 [00189] Further, in the present specification, an embodiment has been described with respect to a diffraction-based metrology method for measuring the relative position of overlapping periodic structures, for example, from the intensity from the diffraction order. However, embodiments herein can also be applied to image-based metrology, with appropriate modifications if necessary, for example, using a high quality image of the target to target Layer 1. The relative position from 1 to the target 2 of the layer 2 is measured. Usually, these targets are periodic structures or "boxes" (Box-in-Box (BiB)).

[00190] 本明細書で使用される「最適化する」及び「最適化」という用語は、パターニングプロセスの装置及び/又はプロセスを調節することを表し又は意味し、これは、リソグラフィプロセス若しくは装置を調節すること、又はメトロロジプロセス若しくは装置(例えばターゲットや測定ツールなど)を調節することを含むことがあり、それにより、性能指数が、より望ましい値、例えば測定量を有し、パターン形成及び/又はデバイス製造結果及び/又はプロセスが、1つ又は複数の望ましい特徴を有し、例えば、基板上への設計レイアウトの投影がより正確になり、プロセス窓がより広くなる。したがって、「最適化する」及び「最適化」は、設計変数の値の初期セットと比較した、性能指数の改良、例えば局所最適をもたらす1つ又は複数の設計変数に関する1つ又は複数の値を識別するプロセスを表す又は意味する。「最適な」及び他の関連の用語は、それに従って解釈すべきである。一実施形態では、最適化ステップを反復して適用して、1つ又は複数の性能指数をさらに改良することができる。 [00190] As used herein, the terms "optimize" and "optimize" mean or mean to regulate an apparatus and / or process of a patterning process, which refers to a lithography process or apparatus. It may include adjusting, or adjusting a metrology process or device (eg, target, measuring tool, etc.), whereby the performance index has a more desirable value, such as a measure, pattern formation and /. Or the device manufacturing result and / or the process has one or more desirable features, for example, the projection of the design layout onto the substrate is more accurate and the process window is wider. Therefore, "optimize" and "optimize" are one or more values for one or more design variables that result in an improvement in figure of merit, eg, local optimization, compared to an initial set of values for the design variables. Represents or means the process of identification. "Optimal" and other related terms should be interpreted accordingly. In one embodiment, the optimization steps can be iteratively applied to further improve one or more figure of merit.

[00191] 本発明の一実施形態は、本明細書に開示されている方法を記述する機械可読命令の1つ又は複数のシーケンスを含むコンピュータプログラム、又はそのようなコンピュータプログラムが記憶されたデータ記憶媒体(例えば半導体メモリ、磁気又は光ディスク)の形態を取ることができる。さらに、機械可読命令は、2つ以上のコンピュータプログラムで具現化することができる。2つ以上のコンピュータプログラムは、1つ又は複数の異なるメモリ及び/又はデータ記憶媒体に記憶されてもよい。 [00191] An embodiment of the invention is a computer program comprising one or more sequences of machine-readable instructions describing the methods disclosed herein, or data storage in which such computer programs are stored. It can take the form of a medium (eg, semiconductor memory, magnetic or optical disk). Further, machine-readable instructions can be embodied in two or more computer programs. The two or more computer programs may be stored in one or more different memories and / or data storage media.

[00192] 本明細書に開示されている1つ又は複数の態様は、制御システム内に実装することができる。本明細書で述べる任意の制御システムは、それぞれ又は組み合わせて、装置の少なくとも1つの構成要素内に位置された1つ又は複数のコンピュータプロセッサによって1つ又は複数のコンピュータプログラムが読み取られるときに動作可能であり得る。制御システムは、それぞれ又は組み合わせて、信号を受信、処理、及び送信するための任意の適切な構成を有することができる。1つ又は複数のプロセッサは、制御システムの少なくとも1つと通信するように構成される。例えば、各制御システムは、上述した方法のための機械可読命令を含むコンピュータプログラムを実行するための1つ又は複数のプロセッサを含むことができる。制御システムは、そのようなコンピュータプログラムを記憶するためのデータ記憶媒体、及び/又はそのような媒体を受け取るためのハードウェアを含むことができる。したがって、制御システムは、1つ又は複数のコンピュータプログラムの機械可読命令に従って動作することができる。 [00192] One or more aspects disclosed herein can be implemented within a control system. Any control system described herein, individually or in combination, may operate when one or more computer programs are read by one or more computer processors located within at least one component of the device. Can be. The control system, individually or in combination, can have any suitable configuration for receiving, processing, and transmitting signals. One or more processors are configured to communicate with at least one of the control systems. For example, each control system may include one or more processors for executing computer programs including machine-readable instructions for the methods described above. The control system can include a data storage medium for storing such computer programs and / or hardware for receiving such media. Therefore, the control system can operate according to machine-readable instructions of one or more computer programs.

[00193] 光リソグラフィとの関連において、実施形態の使用について上記に特定の言及を行うことができたが、当然のことながら、本発明の実施形態は、他の用途、例えば、インプリントリソグラフィで使用することができ、状況が可能にする場合、光リソグラフィに限定されない。インプリントリソグラフィでは、パターニングデバイスのトポグラフィが、基板に形成されるパターンを画定する。パターニングデバイスのトポグラフィは、基板に供給されたレジスト層に押し付けることができ、レジストは、電磁放射、熱、圧力、又はそれらの組合せを加えることで硬化する。パターニングデバイスは、レジストが硬化した後、レジスト内にパターンを残したままレジストから引き離される。 [00193] Although specific references could be made above to the use of embodiments in the context of optical lithography, of course, embodiments of the present invention are used in other applications such as imprint lithography. It is not limited to optical lithography if it can be used and the situation allows. In imprint lithography, the topography of the patterning device defines the pattern formed on the substrate. The topography of the patterning device can be pressed against the resist layer supplied to the substrate, and the resist is cured by applying electromagnetic radiation, heat, pressure, or a combination thereof. After the resist has hardened, the patterning device is pulled away from the resist, leaving a pattern in the resist.

[00194] 本明細書で使用する「放射」及び「ビーム」という用語は、(例えば、365、355、248、193、157、又は126nmの波長、或いはそれらの近辺の波長を有する)紫外(UV)線及び(例えば、5~20nmの範囲の波長を有する)極端紫外(EUV)線、さらには、イオンビーム又は電子ビームなどの粒子ビームを含むすべてのタイプの電磁放射線を包含する。 [00194] The terms "radiation" and "beam" as used herein are ultraviolet (UV) (eg, having wavelengths of 365, 355, 248, 193, 157, or 126 nm, or near them). ) Lines and extreme ultraviolet (EUV) rays (eg, having wavelengths in the range of 5-20 nm), as well as all types of electromagnetic radiation including particle beams such as ion beams or electron beams.

[00195] 「レンズ」という用語は、状況が可能にする場合、屈折式、反射式、磁気式、電磁気式、及び静電式光学コンポーネントを含む様々なタイプの光学コンポーネントの任意の一つ、又はそれらの組合せを指すことができる。 [00195] The term "lens" is used, where the situation allows, any one of various types of optical components, including refracting, reflective, magnetic, electromagnetic, and electrostatic optical components, or It can refer to a combination of them.

[00196] 特定の実施形態の前述の説明は、本発明の実施形態の一般的な性質を明らかにするので、他者は、当業者の技能の範囲内の知識を適用することで、過度の実験を行うことなく、本発明の一般概念から逸脱することなく、そのような特定の実施形態を容易に修正し、及び/又はそのような特定の実施形態を様々な用途に適合させることができる。したがって、そのような適合及び修正は、本明細書に提示した教示及びガイダンスに基づいて、開示した実施形態の等価物の趣旨及び範囲内であることを意図されている。当然のことながら、本明細書における専門語又は用語は、例を用いて説明するためのものであり、限定するものではなく、本明細書の用語又は専門語は、教示及びガイダンスに照らして、同業者によって解釈されるべきである。 [00196] The aforementioned description of a particular embodiment reveals the general nature of the embodiments of the present invention, so that others may apply knowledge within the skill of one of ordinary skill in the art to excessive. Such particular embodiments can be easily modified and / or adapted to a variety of applications without experimentation and without departing from the general concepts of the invention. .. Accordingly, such conformances and modifications are intended to be within the spirit and scope of the disclosed embodiments equivalent, based on the teachings and guidance presented herein. As a matter of course, the terminology or terminology herein is for illustration purposes and is not intended to be limiting, and the terminology or terminology herein is in the light of teaching and guidance. Should be interpreted by peers.

[00197] 本発明によるさらなる実施形態は、以下の番号付き条項で説明される。
1. メトロロジターゲットからパターニングプロセスパラメータを決定する方法であって、
メトロロジターゲットから回折放射線の複数の値を得ることであって、複数の値の各値が、ターゲットに対する照明放射線の複数の照明条件のうちの異なる照明条件に対応することと、
ターゲットに対するパターニングプロセスパラメータの同じ値を決定するために値の組合せを使用することと、
を含む、方法。
2. 回折放射線の値が、複数の照明条件のうちの少なくとも4つの照明条件の各々に対して得られる、条項1に記載の方法。
3. ターゲットが、少なくとも2つのサブターゲットを含み、各サブターゲットが、異なるバイアスを有し、各値が、特定のサブターゲットからの回折放射線に対応する、条項1又は2に記載の方法。
4. 値が、回折放射線の特定の回折次数の正値の放射線と、回折放射線の特定の回折次数の負値の放射線と、に別々に対応する、条項1~3の何れか一項に記載の方法。
5. パターニングプロセスパラメータの同じ値を決定するために値の組合せを使用することが、連立方程式の少なくとも1つの方程式の変数として複数の照明条件の各々を含む連立方程式を使用することを含む、条項1~4の何れか一項に記載の方法。
6. 連立方程式が、少なくとも16個の方程式を含む、条項5に記載の方法。
7. 連立方程式が、最大で16個の未知数を含む、条項5又は6に記載の方法。
8. ターゲットが、上部周期構造及び下部周期構造を含み、連立方程式の各方程式が、ターゲットの下部周期構造からの放射線の振幅を表す変数及びターゲットからの放射線の位相を表す変数の関数である1つ又は複数の項を含み、回折放射線の特定の回折次数の正値に対する放射線の少なくとも振幅変数が、回折放射線の特定の回折次数の負値に対する放射線の振幅変数とは異なり、回折放射線の特定の回折次数の正値に対する放射線の少なくとも位相変数が、回折放射線の特定の回折次数の負値に対する放射線の位相変数とは異なる、条項5~7の何れか一項に記載の方法。
9. ターゲットが、周期構造の正のバイアスを有するターゲットのサブターゲットと、周期構造の負のバイアスを有するターゲットのサブターゲットと、を含み、連立方程式の各方程式が、ターゲットからの放射線の振幅を表す変数及びターゲットからの放射線の位相を表す変数の関数である1つ又は複数の項を含み、正のバイアスを有するサブターゲットに対する放射線の少なくとも振幅変数が、負のバイアスを有するサブターゲットに対する放射線の振幅変数とは異なり、正のバイアスを有するサブターゲットに対する放射線の少なくとも位相変数が、負のバイアスを有するサブターゲットに対する放射線の位相変数とは異なる、条項5~8の何れか一項に記載の方法。
10. 連立方程式の各方程式が、センサ非対称性誤差を表す変数の関数である1つ又は複数の項を含む、条項5~9の何れか一項に記載の方法。
11. 回折放射線の特定の回折次数の正値に対する放射線の少なくともセンサ非対称性誤差変数が、回折放射線の特定の回折次数の負値に対する放射線のセンサ非対称性誤差変数とは異なる、条項10に記載の方法。
12. 連立方程式を使用することが、パターニングプロセスパラメータの値に達するように非線形連立方程式を解くことを含む、条項5~11の何れか一項に記載の方法。
13. パターニングプロセスパラメータがオーバーレイである、条項1~12の何れか一項に記載の方法。
14. 回折放射線の値が、パターニングプロセスを使用して処理された基板上のメトロロジターゲットの測定から得られた回折値である、条項1~13の何れか一項に記載の方法。
15. 回折放射線の値が、メトロロジターゲットの測定のシミュレーションから得られた回折値である、条項1~14の何れか一項に記載の方法。
16. 照明条件が、波長及び/又は偏光を含む、条項1~15の何れか一項に記載の方法。
17. 照明条件が、ターゲットに対する入射角を含む、条項1~15の何れか一項に記載の方法。
18. 回折放射線の複数の値が、各々が対応する像に関連する値を含み、上記像の各々が、照明放射線の異なる非重複照明プロファイルに対応する、条項17に記載の方法。
19. 上記像が、導出像を含み、上記導出像の各々が、上記像の別のものに関連する取得照明プロファイルと重複する取得照明プロファイルの部分に関連する情報を除去するために、2つ以上の取得像の線形結合から得られ、上記取得照明プロファイルが、像の取得に使用された実際の照明プロファイルを含む、条項18に記載の方法。
20. 測定放射線によって照明されたメトロロジターゲットからパターニングプロセスパラメータの第1の値を決定するために、第1のパターニングプロセスパラメータ決定技法を使用することと、
メトロロジターゲットに対するパターニングプロセスパラメータの複数の第2の値に達するように、第1のパターニングプロセスパラメータ決定技法とは異なる第2のパターニングプロセスパラメータ決定技法を使用することであって、第2の値の各々が、測定放射線の異なる照明条件で決定されることと、
第1の値及び第2の値に基づいて、メトロロジターゲットの測定のためのメトロロジレシピに対する測定放射線の照明条件を識別することと、
を含む、方法。
21. 識別することが、第2の値のうちのどれが第1の値に最も近いかを識別することを含み、識別された測定照明条件が、最も近い第2の値と関連付けられた測定放射線の照明条件である、条項20に記載の方法。
22. 識別することが、第2の値を測定放射線の照明条件の関数として当てはめることと、第1の値に最も近いか又は等しいパターニングプロセスパラメータの値を有する、フィッティングから、識別された測定照明条件を外挿又は内挿することと、を含む、条項20に記載の方法。
23. 第1のパターニングプロセスパラメータ決定技法が、
メトロロジターゲットから回折放射線の複数の値を得ることであって、複数の値の各値が、ターゲットに対する測定放射線の複数の照明条件のうちの異なる照明条件に対応することと、
ターゲットに対するパターニングプロセスパラメータの同じ値を決定するために値の組合せを使用することと、
を含む、条項20~22の何れか一項に記載の方法。
24. 測定規準に基づいてより大きな照明条件セットから複数の照明条件の事前選択を実行することをさらに含む、条項23に記載の方法。
25. 測定規準が、特定の閾値以下であるスタック感度を含む、条項24に記載の方法。
26. 回折放射線の値が、複数の照明条件のうちの少なくとも4つの照明条件の各々に対して得られる、条項23~25の何れか一項に記載の方法。
27. ターゲットが、少なくとも2つのサブターゲットを含み、各サブターゲットが、異なるバイアスを有し、各値が、特定のサブターゲットからの回折放射線に対応する、条項23~26の何れか一項に記載の方法。
28. 値が、回折放射線の特定の回折次数の正値の放射線と、回折放射線の特定の回折次数の負値の放射線とに別々に対応する、条項23~27の何れか一項に記載の方法。
29. パターニングプロセスパラメータの同じ値を決定するために値の組合せを使用することが、連立方程式の少なくとも1つの方程式の変数として複数の照明条件の各々を含む連立方程式を使用することを含む、条項23~28の何れか一項に記載の方法。
30. 連立方程式が、少なくとも20個の方程式を含む、条項29に記載の方法。
31. 連立方程式が、最大で20個の未知数を含む、条項29又は30に記載の方法。
32. 連立方程式を使用することが、パターニングプロセスパラメータの値に達するように非線形連立方程式を解くことを含む、条項29~31の何れか一項に記載の方法。
33. パターニングプロセスパラメータがオーバーレイである、条項20~32の何れか一項に記載の方法。
34. 値が、パターニングプロセスを使用して処理された基板上のメトロロジターゲットの測定から得られる、条項20~33の何れか一項に記載の方法。
35. 値が、メトロロジターゲットの測定のシミュレーションから得られる、条項20~34の何れか一項に記載の方法。
36. 照明条件が、波長及び/又は偏光を含む、条項1~35の何れか一項に記載の方法。
37. 照明条件が、ターゲットに対する入射角を含む、条項1~35の何れか一項に記載の方法。
38. 条項20~37の何れか一項に記載のメトロロジレシピに従って基板上のメトロロジターゲットを測定することを含む測定方法。
39. リソグラフィプロセスのパラメータを測定するためのメトロロジ装置であって、条項1~38の何れか一項に記載の方法を実行するように動作可能である、メトロロジ装置。
40. 条項1~38の何れか一項に記載の方法をプロセッサに実行させるための機械可読命令を含む、非一時的なコンピュータプログラム製品。
41. 基板上のメトロロジターゲットに放射ビームを提供し、ターゲットによって回析された放射線を検出するように構成された検査装置と、
条項40に記載の非一時的なコンピュータプログラム製品と、
を備える、システム。
42. 放射ビームを変調するためにパターニングデバイスを保持するように構成された支持構造と、変調された放射ビームを放射線感受性基板上に投影するように配置された投影光学系と、を備えるリソグラフィ装置をさらに備える、条項41に記載のシステム。
[00198] 本発明の広さ及び範囲は、上記の例示的な実施形態の何れかによって限定されるのではなくて、添付の特許請求の範囲及びその等価物によってのみ規定されるべきである。
[00197] Further embodiments of the present invention are described in the following numbered clauses.
1. 1. A method of determining patterning process parameters from a metrology target,
Obtaining multiple values of diffracted radiation from a metrology target, where each value of the multiple values corresponds to a different illumination condition of the multiple illumination conditions of the illumination radiation to the target.
Using a combination of values to determine the same value for the patterning process parameters for the target,
Including, how.
2. 2. The method of clause 1, wherein the value of diffracted radiation is obtained for each of at least four lighting conditions out of a plurality of lighting conditions.
3. 3. The method of clause 1 or 2, wherein the target comprises at least two sub-targets, each sub-target has a different bias, and each value corresponds to diffracted radiation from a particular sub-target.
4. The method according to any one of Articles 1 to 3, wherein the value separately corresponds to a positive value radiation of a specific diffraction order of diffracted radiation and a negative value radiation of a specific diffraction order of diffracted radiation. ..
5. Using a combination of values to determine the same value for a patterning process parameter comprises using a simultaneous equation containing each of multiple lighting conditions as a variable in at least one of the simultaneous equations, clauses 1-. The method according to any one of 4.
6. The method of clause 5, wherein the system of equations comprises at least 16 equations.
7. The method of clause 5 or 6, wherein the simultaneous equations contain up to 16 unknowns.
8. The target contains an upper periodic structure and a lower periodic structure, and each equation of the simultaneous equations is one that is a function of a variable representing the amplitude of radiation from the lower periodic structure of the target and a variable representing the phase of radiation from the target. The specific diffraction order of the diffracted radiation is different from the amplitude variable of the radiation for the negative value of the specific diffractive order of the diffracted radiation, which contains multiple terms and the at least amplitude variable of the radiation for the positive value of the specific diffractive order of the diffracted radiation. 5. The method of any one of clauses 5-7, wherein at least the phase variable of the radiation with respect to the positive value of is different from the phase variable of the radiation with respect to the negative value of the particular diffraction order of the diffracted radiation.
9. The target includes a sub-target of the target having a positive bias of the periodic structure and a sub-target of the target having a negative bias of the periodic structure, and each of the simultaneous equations is a variable representing the amplitude of radiation from the target. And at least the amplitude variable of radiation to a subtarget with a positive bias, including one or more terms that are functions of variables representing the phase of radiation from the target, the amplitude variable of radiation to a subtarget with a negative bias. The method of any one of clauses 5-8, wherein at least the phase variable of radiation to a subtarget having a positive bias is different from the phase variable of radiation to a subtarget having a negative bias.
10. The method of any one of clauses 5-9, wherein each equation of the system of equations comprises one or more terms that are a function of a variable representing the sensor asymmetry error.
11. The method of clause 10, wherein at least the sensor asymmetry error variable of radiation for a positive value of a particular diffraction order of diffracted radiation is different from the sensor asymmetry error variable of radiation for a negative value of a particular diffraction order of diffracted radiation.
12. The method of any one of clauses 5-11, wherein using the simultaneous equations comprises solving the nonlinear simultaneous equations to reach the values of the patterning process parameters.
13. The method according to any one of clauses 1 to 12, wherein the patterning process parameter is an overlay.
14. The method according to any one of clauses 1 to 13, wherein the value of diffracted radiation is a diffraction value obtained from a measurement of a metrology target on a substrate treated using a patterning process.
15. The method according to any one of Articles 1 to 14, wherein the value of diffracted radiation is a diffraction value obtained from a simulation of measurement of a metrology target.
16. The method according to any one of Articles 1 to 15, wherein the lighting conditions include wavelength and / or polarization.
17. The method according to any one of clauses 1 to 15, wherein the illumination conditions include an angle of incidence with respect to the target.
18. 17. The method of clause 17, wherein the plurality of values of diffracted radiation include values associated with each corresponding image, each of which corresponds to a different non-overlapping illumination profile of the illumination radiation.
19. The image includes a derived image, and each of the derived images has two or more to remove information related to a portion of the acquired lighting profile that overlaps with the acquired lighting profile associated with another of the images. 28. The method of clause 18, wherein the acquired lighting profile, obtained from a linear combination of the acquired images, comprises the actual lighting profile used to acquire the image.
20. Using the first patterning process parameter determination technique to determine the first value of the patterning process parameter from the metrology target illuminated by the measured radiation,
The second value is to use a second patterning process parameter determination technique that is different from the first patterning process parameter determination technique so that the plurality of second values of the patterning process parameter for the metrology target are reached. Each of them is determined by different lighting conditions of the measured radiation,
Identifying the lighting conditions of the measured radiation for the metrology recipe for the measurement of the metrology target based on the first and second values.
Including, how.
21. Identification involves identifying which of the second values is closest to the first value, and the identified measurement lighting conditions are of the measured radiation associated with the closest second value. The method described in Article 20, which is a lighting condition.
22. Identifying the measured illumination conditions identified from the fitting, which fits the second value as a function of the illumination conditions of the measured radiation and has the values of the patterning process parameters closest to or equal to the first value. The method of clause 20, including extrapolation or interpolation.
23. The first patterning process parameter determination technique
Obtaining multiple values of diffracted radiation from a metrology target, where each value of the multiple values corresponds to a different lighting condition among the multiple lighting conditions of the measured radiation for the target.
Using a combination of values to determine the same value for the patterning process parameters for the target,
The method according to any one of Articles 20 to 22, including.
24. 23. The method of clause 23, further comprising performing preselection of multiple lighting conditions from a larger set of lighting conditions based on metric.
25. 24. The method of clause 24, wherein the metric comprises a stack sensitivity that is less than or equal to a particular threshold.
26. The method according to any one of Articles 23 to 25, wherein the value of diffracted radiation is obtained for each of at least four lighting conditions among a plurality of lighting conditions.
27. 13. Method.
28. The method according to any one of Articles 23 to 27, wherein the value separately corresponds to a positive value radiation of a specific diffraction order of diffracted radiation and a negative value radiation of a specific diffraction order of diffracted radiation.
29. Using a combination of values to determine the same value for a patterning process parameter comprises using a system of equations containing each of multiple lighting conditions as a variable in at least one of the system of equations, clause 23-. The method according to any one of 28.
30. 29. The method of clause 29, wherein the system of equations comprises at least 20 equations.
31. The method of clause 29 or 30, wherein the simultaneous equations contain up to 20 unknowns.
32. The method of any one of clauses 29-31, wherein using the simultaneous equations comprises solving a non-linear simultaneous equations such that the values of the patterning process parameters are reached.
33. The method of any one of clauses 20-32, wherein the patterning process parameter is an overlay.
34. The method of any one of clauses 20-33, wherein the values are obtained from measurements of a metrological target on a substrate processed using a patterning process.
35. The method of any one of clauses 20-34, wherein the value is obtained from a simulation of the measurement of a metrology target.
36. The method of any one of clauses 1-35, wherein the illumination conditions include wavelength and / or polarization.
37. The method according to any one of clauses 1 to 35, wherein the illumination condition includes an angle of incidence with respect to the target.
38. A measurement method comprising measuring a metrology target on a substrate according to the metrology recipe according to any one of clauses 20-37.
39. A metrology device for measuring parameters of a lithography process, which is capable of operating to perform the method according to any one of clauses 1-38.
40. A non-temporary computer program product comprising a machine-readable instruction for causing a processor to perform the method according to any one of clauses 1-38.
41. An inspection device configured to provide a radiation beam to the metrology target on the substrate and detect the radiation diffracted by the target.
With the non-temporary computer program products described in Clause 40,
The system.
42. Further lithographic equipment comprising a support structure configured to hold a patterning device to modulate the radiated beam and a projected optical system arranged to project the modulated radiated beam onto a radiation sensitive substrate. The system according to clause 41.
[00198] The breadth and scope of the invention should not be limited by any of the above exemplary embodiments, but should be defined only by the appended claims and their equivalents.

Claims (14)

メトロロジターゲットからパターニングプロセスパラメータを決定する方法であって、
前記メトロロジターゲットから回折放射線の複数の値を得ることであって、前記複数の値の各値が、前記メトロロジターゲットに対する照明放射線の複数の照明条件のうちの異なる照明条件に対応することと、
前記メトロロジターゲットに対する前記パターニングプロセスパラメータの同じ値を決定するために前記異なる照明条件に対応した前記複数の値の組合せを使用することと、
を含み、
前記パターニングプロセスパラメータの前記同じ値を決定するために前記複数の値の組合せを使用することが、連立方程式の少なくとも1つの方程式の変数として前記複数の照明条件の各々に対する複数の未知数を含む前記連立方程式を使用することを含み、
前記複数の未知数は、オーバレイ、前記回折放射線の振幅、前記照明放射線間で生じる位相差、センサ非対称性誤差係数、及び照明測定放射線強度係数を含む、方法。
A method of determining patterning process parameters from a metrology target,
Obtaining a plurality of values of diffracted radiation from the metrology target, wherein each value of the plurality of values corresponds to a different lighting condition among the plurality of lighting conditions of the illumination radiation for the metrology target. ,
Using the combination of the plurality of values corresponding to the different lighting conditions to determine the same value of the patterning process parameter for the metrology target, and
Including
Using the combination of the plurality of values to determine the same value of the patterning process parameter may include the plurality of unknowns for each of the plurality of lighting conditions as variables in at least one of the simultaneous equations. Including the use of equations
The method comprising said that the plurality of unknowns includes an overlay, the amplitude of the diffracted radiation, the phase difference between the illuminated radiation, the sensor asymmetry error coefficient, and the illumination measured radiation intensity coefficient.
前記回折放射線の値が、前記複数の照明条件のうちの少なくとも4つの照明条件の各々に対して得られる、請求項1に記載の方法。 The method according to claim 1, wherein the value of the diffracted radiation is obtained for each of at least four lighting conditions among the plurality of lighting conditions. 前記メトロロジターゲットが、少なくとも2つのサブターゲットを含み、
各サブターゲットが、異なるオーバレイバイアスを有し、
前記各値が、特定のサブターゲットからの回折放射線に対応する、請求項1又は2に記載の方法。
The metrology target comprises at least two sub-targets.
Each subtarget has a different overlay bias and
The method of claim 1 or 2, wherein each of the above values corresponds to diffracted radiation from a particular subtarget.
前記値が、前記回折放射線の特定の回折次数の正値の放射線と、前記回折放射線の前記特定の回折次数の負値の放射線と、に別々に対応する、請求項1~3の何れか一項に記載の方法。 Any one of claims 1 to 3, wherein the value separately corresponds to a positive value radiation of a specific diffraction order of the diffracted radiation and a negative value radiation of the specific diffraction order of the diffracted radiation. The method described in the section. 前記連立方程式が、少なくとも16個の方程式を含む、請求項1~4の何れか一項に記載の方法。 The method according to any one of claims 1 to 4, wherein the simultaneous equations include at least 16 equations. 前記連立方程式が、最大で当該連立方程式に含まれる方程式の数に対応する未知数を含む、請求項1~5の何れか一項に記載の方法。 The method according to any one of claims 1 to 5, wherein the simultaneous equations include an unknown number corresponding to the number of equations included in the simultaneous equations at a maximum. 前記メトロロジターゲットが、上部周期構造及び下部周期構造を含み、
前記連立方程式の各方程式が、1つ又は複数の項で構成される関数を含み、
前記関数を構成する項のいずれか1つには、前記メトロロジターゲットの前記下部周期構造からの放射線の振幅を表す変数及び前記メトロロジターゲットからの放射線の位相を表す変数が含まれ、
前記回折放射線の特定の回折次数の正値に対する放射線の少なくとも振幅変数が、前記回折放射線の前記特定の回折次数の負値に対する放射線の振幅変数とは異なり、
前記回折放射線の前記特定の回折次数の前記正値に対する放射線の少なくとも位相変数が、前記回折放射線の前記特定の回折次数の前記負値に対する放射線の位相変数とは異なる、請求項1~6の何れか一項に記載の方法。
The metrology target comprises an upper periodic structure and a lower periodic structure.
Each of the simultaneous equations contains a function consisting of one or more terms.
One of the terms constituting the function includes a variable representing the amplitude of radiation from the lower periodic structure of the metrology target and a variable representing the phase of radiation from the metrology target .
The at least amplitude variable of the radiation with respect to the positive value of the particular diffraction order of the diffracted radiation is different from the amplitude variable of the radiation with respect to the negative value of the particular diffraction order of the diffracted radiation.
6. The method described in item 1.
前記メトロロジターゲットが、周期構造の正のオーバレイバイアスを有する前記メトロロジターゲットのサブターゲットと、周期構造の負のオーバレイバイアスを有する前記メトロロジターゲットのサブターゲットと、を含み、
前記連立方程式の各方程式が、1つ又は複数の項で構成される関数を含み、
前記関数を構成する項のいずれか1つには、前記メトロロジターゲットからの放射線の振幅を表す変数及び前記メトロロジターゲットからの放射線の位相を表す変数が含まれ、
前記正のオーバレイバイアスを有する前記サブターゲットに対する放射線の少なくとも振幅変数が、前記負のオーバレイバイアスを有する前記サブターゲットに対する放射線の振幅変数とは異なり、
前記正のオーバレイバイアスを有する前記サブターゲットに対する放射線の少なくとも位相変数が、前記負のオーバレイバイアスを有する前記サブターゲットに対する放射線の位相変数とは異なる、請求項1~7の何れか一項に記載の方法。
The metrology target comprises a subtarget of the metrology target having a positive overlay bias of the periodic structure and a subtarget of the metrology target having a negative overlay bias of the periodic structure.
Each of the simultaneous equations contains a function consisting of one or more terms.
One of the terms constituting the function includes a variable representing the amplitude of radiation from the metrology target and a variable representing the phase of radiation from the metrology target .
The at least amplitude variable of radiation to the sub-target with the positive overlay bias is different from the amplitude variable of radiation to the sub-target with the negative overlay bias.
The invention according to any one of claims 1 to 7, wherein at least the phase variable of the radiation to the sub-target having the positive overlay bias is different from the phase variable of the radiation to the sub-target having the negative overlay bias. Method.
前記連立方程式の各方程式が、1つ又は複数の項で構成される関数を含み、
前記関数を構成する項のいずれか1つには、センサ非対称性誤差を表す変数が含まれる、請求項1~8の何れか一項に記載の方法。
Each of the simultaneous equations contains a function consisting of one or more terms.
The method according to any one of claims 1 to 8, wherein any one of the terms constituting the function includes a variable representing a sensor asymmetry error.
前記回折放射線の特定の回折次数の正値に対する放射線の少なくともセンサ非対称性誤差変数が、前記回折放射線の前記特定の回折次数の負値に対する放射線のセンサ非対称性誤差変数とは異なる、請求項9に記載の方法。 9. Claim 9 where at least the sensor asymmetry error variable of the radiation with respect to the positive value of the particular diffraction order of the diffracted radiation is different from the sensor asymmetry error variable of the radiation with respect to the negative value of the particular diffraction order of the diffracted radiation. The method described. 前記連立方程式を使用することが、前記パターニングプロセスパラメータの前記値に達するように非線形連立方程式を解くことを含む、請求項1~10の何れか一項に記載の方法。 The method of any one of claims 1-10, wherein using the simultaneous equations comprises solving the nonlinear simultaneous equations such that the values of the patterning process parameters are reached. リソグラフィプロセスのパラメータを測定するためのメトロロジ装置であって、
請求項1~11の何れか一項に記載の方法を実行するように動作可能である、メトロロジ装置。
A metrology device for measuring the parameters of the lithography process.
A metrology device capable of operating to perform the method according to any one of claims 1-11.
請求項1~11の何れか一項に記載の方法をプロセッサに実行させるための機械可読命令を含む、コンピュータプログラム。 A computer program comprising a machine-readable instruction for causing a processor to execute the method according to any one of claims 1 to 11. 基板上のメトロロジターゲットに放射ビームを提供し、前記メトロロジターゲットによって回析された放射線を検出するように構成された検査装置と、
請求項13に記載のコンピュータプログラムと、
を備える、システム。
An inspection device configured to provide a radiation beam to the metrology target on the substrate and detect the radiation diffracted by the metrology target.
The computer program according to claim 13 and
The system.
JP2019557563A 2017-05-03 2018-04-10 Metrology parameter determination and metrology recipe selection Active JP7000454B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762501047P 2017-05-03 2017-05-03
US62/501,047 2017-05-03
EP18152479 2018-01-19
EP18152479.4 2018-01-19
PCT/EP2018/059183 WO2018202388A1 (en) 2017-05-03 2018-04-10 Metrology parameter determination and metrology recipe selection

Publications (2)

Publication Number Publication Date
JP2020518848A JP2020518848A (en) 2020-06-25
JP7000454B2 true JP7000454B2 (en) 2022-02-04

Family

ID=61899316

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019557563A Active JP7000454B2 (en) 2017-05-03 2018-04-10 Metrology parameter determination and metrology recipe selection

Country Status (5)

Country Link
JP (1) JP7000454B2 (en)
KR (1) KR102326192B1 (en)
CN (1) CN110603490B (en)
IL (1) IL270315B2 (en)
TW (2) TWI799893B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11333982B2 (en) * 2019-01-28 2022-05-17 Kla Corporation Scaling metric for quantifying metrology sensitivity to process variation
NL2025260A (en) * 2019-05-03 2020-11-18 Asml Netherlands Bv Method for determining an alignment model based on an oblique fitting technique
WO2021151754A1 (en) * 2020-01-29 2021-08-05 Asml Netherlands B.V. Metrology method and device for measuring a periodic structure on a substrate
IL303221A (en) * 2020-12-08 2023-07-01 Asml Netherlands Bv Method of metrology and associated apparatuses
CN117516882A (en) * 2023-11-08 2024-02-06 上海市第一人民医院 Portable visual optical prism degree detection device and method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006060214A (en) 2004-08-16 2006-03-02 Asml Netherlands Bv Method and apparatus of angular-resolved spectroscopic lithography characterization
JP2008047900A (en) 2006-08-15 2008-02-28 Asml Netherlands Bv Method and device for angle-resolved spectroscopic lithography characterization
US20150185626A1 (en) 2013-12-30 2015-07-02 Asml Netherlands B.V. Method and apparatus for design of a metrology target
WO2016169901A1 (en) 2015-04-21 2016-10-27 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
JP2017072861A (en) 2012-07-05 2017-04-13 エーエスエムエル ネザーランズ ビー.ブイ. Metrology for lithography

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US7279258B2 (en) * 2004-03-12 2007-10-09 Infineon Technologies Richmond, Lp Method and arrangement for controlling focus parameters of an exposure tool
US9223227B2 (en) * 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2010717A (en) * 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006060214A (en) 2004-08-16 2006-03-02 Asml Netherlands Bv Method and apparatus of angular-resolved spectroscopic lithography characterization
JP2009204621A (en) 2004-08-16 2009-09-10 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization
JP2008047900A (en) 2006-08-15 2008-02-28 Asml Netherlands Bv Method and device for angle-resolved spectroscopic lithography characterization
JP2017072861A (en) 2012-07-05 2017-04-13 エーエスエムエル ネザーランズ ビー.ブイ. Metrology for lithography
US20150185626A1 (en) 2013-12-30 2015-07-02 Asml Netherlands B.V. Method and apparatus for design of a metrology target
WO2016169901A1 (en) 2015-04-21 2016-10-27 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system

Also Published As

Publication number Publication date
CN110603490A (en) 2019-12-20
TW201843534A (en) 2018-12-16
TWI799893B (en) 2023-04-21
CN110603490B (en) 2022-12-30
IL270315B1 (en) 2023-08-01
IL270315A (en) 2019-12-31
JP2020518848A (en) 2020-06-25
KR102326192B1 (en) 2021-11-15
IL270315B2 (en) 2023-12-01
TWI756417B (en) 2022-03-01
KR20190142390A (en) 2019-12-26
TW202212987A (en) 2022-04-01

Similar Documents

Publication Publication Date Title
JP6855565B2 (en) Metrology recipe selection
JP6880184B2 (en) Design and correction using stack difference
JP6839720B2 (en) Determining stack difference and correction using stack difference
US11448974B2 (en) Metrology parameter determination and metrology recipe selection
JP6934541B2 (en) Metrology parameter determination and metrology recipe selection
JP2019164364A (en) Metrology method and device, computer program, and lithograph system
JP7000454B2 (en) Metrology parameter determination and metrology recipe selection
KR102416276B1 (en) How to determine patterning process parameters
JP7110327B2 (en) Metrology method and apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210407

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210715

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211102

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20211102

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20211110

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20211111

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211207

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211223

R150 Certificate of patent or registration of utility model

Ref document number: 7000454

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150