JP2010152356A - リソグラフィプロセスウィンドウ最大化光近接効果補正のための方法及びシステム - Google Patents

リソグラフィプロセスウィンドウ最大化光近接効果補正のための方法及びシステム Download PDF

Info

Publication number
JP2010152356A
JP2010152356A JP2009281092A JP2009281092A JP2010152356A JP 2010152356 A JP2010152356 A JP 2010152356A JP 2009281092 A JP2009281092 A JP 2009281092A JP 2009281092 A JP2009281092 A JP 2009281092A JP 2010152356 A JP2010152356 A JP 2010152356A
Authority
JP
Japan
Prior art keywords
target
value
resist
image
resist image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009281092A
Other languages
English (en)
Other versions
JP5596969B2 (ja
Inventor
Jun Ye
イェ,ジュン
Yu Cao
カオ,ユ
Hanying Feng
フェン,ハニング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brion Technologies Inc
Original Assignee
Brion Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brion Technologies Inc filed Critical Brion Technologies Inc
Publication of JP2010152356A publication Critical patent/JP2010152356A/ja
Application granted granted Critical
Publication of JP5596969B2 publication Critical patent/JP5596969B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

【課題】複数のフィーチャを有するターゲット設計を結像するために使用されるリソグラフィプロセスの結像性能を増す効率的なOPC方法を提供する。
【解決手段】この方法は、シミュレート像を生成するための、リソグラフィプロセスに関連するプロセス変動を説明する関数を決定するステップと、この関数に基づいて各OPC反復において各評価点に関するターゲットグレーレベルを最適化するステップとを含む。所与の一実施形態では、この関数は、輪郭に関するT+Vεというしきい値を有する焦点及び露光の多項式関数、すなわちR(ε,f)=P0+f2・Pbとして近似値が求められ、式中、P0が公称焦点における像強度、fが公称焦点に対するデフォーカス値、εが露光変化、Vが露光変化のスケーリング、パラメータ「Pb」が2次派生像を表す。所与の他の実施形態では、焦点及び露光変動の確率分布がガウス分布になると仮定して、最良焦点のために分析的最適グレーレベルが与えられる。
【選択図】図1

Description

[0002] 本発明は、一般に、リソグラフィプロセスに関連する結像結果の光近接効果補正並びにシミュレーションを実行するための方法及びプログラムプロダクトに関し、より具体的には、プロセスウィンドウにおけるパラメータ変動を説明するリソグラフィ装置の結像性能を最適化する計算上効率的な光近接効果補正(OPC)方法に関する。
[0003] 例えば、集積回路(IC)の製造などにリソグラフィ装置を使用することができる。その場合、マスクは、ICの個々のレイヤに対応する回路パターンを含むことができ、このパターンを放射感応性材料(レジスト)のレイヤでコーティングされた基板(シリコンウェーハ)上のターゲット部分(例えば、1つ又は複数のダイを含む)上に結像することができる。一般に、1つのウェーハは、投影システムを介して一度に1つずつ連続的に照射される隣接するターゲット部分のネットワーク全体を含む。あるタイプのリソグラフィ投影装置では、マスクパターン全体をターゲット部分上に一度に露光することで各ターゲット部分が照射される。上記装置は、一般にウェーハステッパと呼ばれる。ステップアンドスキャン装置と一般に呼ばれる別の装置では、投影ビームが当たったマスクパターンを所与の基準方向(「スキャン」方向)に漸進的にスキャンしながら、これに同期してこの方向に平行又は逆平行に基板テーブルをスキャンすることで各ターゲット部分が照射される。一般に、投影システムは、倍率係数M(一般に、<1)を有するので、基板テーブルがスキャンされる速度Vは、係数Mにマスクテーブルのスキャン回数を乗じた値になる。本明細書に記載するリソグラフィデバイスに関する詳細情報は、例えば、本明細書に参照により組み込むものとする米国特許第6,046,792号から入手することができる。
[0004] リソグラフィ投影装置を用いた製造プロセスでは、放射感応性材料(レジスト)のレイヤで少なくとも部分的に覆われた基板上にマスクパターンが結像される。この結像ステップに先立って、プライミング、レジストコーティング、及びソフトベークなどの種々の手順を基板に対して行うことができる。露光後に、基板に対して、結像されたフィーチャの露光後ベーク(PEB)、現像、ハードベーク及び測定/検査などの他の手順を実行することができる。この一連の手順は、デバイス、例えば、ICの個々のレイヤにパターン形成する基礎として使用される。そのようなパターン形成されたレイヤについて、次に、個々のレイヤを完成させるためのエッチング、イオン注入(ドーピング)、金属化、酸化、化学的機械的研磨などの種々のプロセスを行うことができる。幾つかのレイヤが必要な場合、手順全体、又はその変形手順を新しいレイヤごとに繰り返す必要がある。最後に、デバイスのアレイが基板(ウェーハ)上に形成される。これらのデバイスは、次に、ダイシング又はのこ引きなどの技術によって互いに分離され、それによって個々のデバイスをピンなどに接続されたキャリア上に実現することができる。
[0005] 話を分かりやすくするため、以下、投影システムを「レンズ」と呼ぶことがある。しかし、この用語は、例えば、屈折光学系、反射光学系、及び反射屈折光学系を含む各種投影システムを含むものと広義に解釈すべきである。放射システムも、放射投影ビームを誘導し、整形し、又は制御する任意のこれらの設計タイプに従って動作するコンポーネントを含むことができ、そのようなコンポーネントも、以下に集合的又は単独で「レンズ」と呼ぶことがある。さらに、リソグラフィ装置は、2つ以上の基板テーブル(及び/又は2つ以上のマスクテーブル)を有するタイプであってもよい。そのような「マルチステージ」デバイスでは、追加のテーブルを平行して使用するか、又は1つ又は複数の他のテーブル上で準備ステップを実行しながら1つ又は複数の他のテーブルを露光に使用することができる。例えば、本明細書に参照により組み込むものとする米国特許第5,969,441号には、ツインステージリソグラフィ装置が記載されている。
[0006] 上記フォトリソグラフィマスクは、シリコンウェーハ上に集積する回路コンポーネントに対応する幾何学パターンを含む。そのようなマスクを作成するためのパターンは、このプロセスが多くの場合EDA(電子設計オートメーション)と呼ばれるCAD(コンピュータ支援設計)プログラムを用いて生成される。大半のCADプログラムは、機能マスクを作成するために一組の所定のデザインルールに従う。これらのルールは、処理及び設計の制限によって設定される。例えば、デザインルールは、回路デバイス(ゲート、コンデンサなど)又は相互接続線間の空間許容範囲を定義して、回路デバイス又は線が好ましくない形で相互動作しないようにする。デザインルールの限界は、「クリティカルディメンション」(CD:Critical Dimension)とも呼ばれる。回路のクリティカルディメンションは、線若しくは穴の最小幅又は2本の線若しくは2つの穴の間の最小空間として定義することができる。それ故、CDは、設計された回路の全体のサイズと密度とを決定する。集積回路の製作の目標の1つが元の回路設計をウェーハ上に(マスクを介して)忠実に再現することであるのは当然である。
[0007] 上記の通り、マイクロリソグラフィは半導体集積回路の製造の中心的ステップであり、半導体ウェーハ基板上に形成されたパターンによってマイクロプロセッサ、メモリチップなどの半導体デバイスの機能要素が画定される。フラットパネルディスプレイ、MEMS(micro-electro mechanical system)、その他のデバイスの形成にも同様のリソグラフィ技術が使用される。
[0008] 半導体製造プロセスが進歩し続けるにつれて、回路素子の寸法は絶え間なく縮小され、デバイスあたりのトランジスタなどの機能要素の数量は、「ムーアの法則」と一般に呼ばれるトレンドに従って、数十年間にわたり着実に増え続けてきた。現在の技術状態では、深紫外線レーザ光源からの照明を使用して基板上にマスクイメージを投影し、100nmを十分下回る寸法、すなわち、投影光の波長の半分未満の寸法を有する個々の回路フィーチャを作成するスキャナとして知られる光リソグラフィ投影システムを使用して最先端デバイスのクリティカルレイヤが製造される。
[0009] 光学投影システムの伝統的な解像限界より小さい寸法のフィーチャがプリントされるこのプロセスは、解像式CD=k1×λ/NAにより、一般にLow-k1リソグラフィとして知られており、式中、λは使用する放射線の波長(現在、大半のケースでは248nm又は193nm)であり、NAは投影光学系の開口数であり、CDは一般に最小プリントフィーチャサイズである「クリティカルディメンション」であり、k1は実験的解像因子である。一般に、特定の電気的機能性及び性能を達成するために回路設計者が計画した形状及び寸法に似ているパターンをウェーハ上に再現することは、k1が小さいほど困難になる。このような困難を克服するために、投影システム並びにマスク設計に精巧な微調整ステップが適用される。このステップとしては、例えば、NA及び光コヒーレンスの設定の最適化、カスタマイズした照明方式、位相シフトマスクの使用、マスクレイアウトの光近接効果補正、又は一般に「超解像技術」(RET:Resolution Enhancement Techniques)として定義されるその他の方法を含むが、これらに限定されない。
[0010] 重要な例の1つとして、光近接効果補正(OPC:Optical Proximity Correction、時には「光学及びプロセス補正(optical and process correction)」とも呼ばれる)は、ウェーハ上にプリントされたフィーチャの最終的なサイズ及び配置が単にマスク上の対応するフィーチャのサイズ及び配置の関数になるわけではないことに対処するものである。本明細書では「マスク」と「レチクル」という用語が区別なく使用されることは注目に値する。典型的な回路設計上に小さいフィーチャサイズ及び高いフィーチャ密度が存在する場合、所与のフィーチャの特定のエッジの位置は、他の隣接するフィーチャの有無によってある程度影響を受ける。このような近接効果はフィーチャ間で結合されるわずかな量の光から発生する。同様に、一般にリソグラフィ露光に続く露光後ベーク(PEB)、レジスト現像、及びエッチング中の拡散及びその他の化学効果から近接効果が発生する場合もある。
[0011] 所与のターゲット回路設計の要件に応じて半導体基板上にフィーチャが生成されることを保証するために、精巧な数値モデルを使用して近接効果を予測する必要があり、ハイエンドデバイスの正常な製造が可能になる前にマスクの設計に補正又は予歪を適用する必要がある。"Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005)という論文には、現在の「モデルベース」光近接効果補正プロセスの概要が示されている。典型的なハイエンド設計では、ターゲット設計に十分匹敵するプリントパターンを達成するために、ほとんどすべてのフィーチャエッジで何らかの変更が必要である。このような変更としては、エッジ位置又は線幅のシフト又はバイアス並びにそれ自体をプリントするためのものではない「アシスト」フィーチャの適用を含むことができるが、関連の主要フィーチャの特性に影響するであろう。
[0012] 典型的にチップ設計内に数百万個のフィーチャが存在する場合、ターゲット設計にモデルベースのOPCを適用するには、良好なプロセスモデルと相当な計算資源が必要である。しかし、OPCの適用は、一般に、「精密科学(exact science)」ではないが、レイアウト上で発生する可能性のあるすべての弱点を必ず解決するわけではない実験的な反復過程である。従って、OPC後の設計、すなわち、OPC及び任意の他のRETによるすべてのパターン変更の適用後のマスクレイアウトは、設計欠陥がマスクセットの製造に組み込まれる可能性を最小限にするために、設計検査、すなわち、較正された数値プロセスモデルを使用する徹底的なフルチップシミュレーションによって検証する必要がある。これは、数百万ドルの範囲で行われるハイエンドマスクセット作成に要する膨大なコスト並びに製造された後で実際のマスクを再加工又は修理することによるターンアラウンドタイムへの影響によって余儀なくされる。
[0013] OPC及びフルチップRET検証はいずれも、例えば、米国特許出願第10/815,573号及び"Optimized Hardware and Software For Fast, Full Chip Simulation", by Y. Cao et al., Proc. SPIE, Vol. 5754, 405 (2005)という論文に記載されている数値モデリングシステム及び方法に基づくことができる。
[0014] リソグラフィパターニングプロセスのフルチップ数値シミュレーションは、典型的に、最良焦点及び最良露光ドーズ又は最良「公称」条件という単一のプロセス条件で実証されているが、ある設計の製造性には、実際の製造中に避けがたいプロセス条件の小さい変動に対して十分なパターン忠実度の許容範囲が必要であることは周知のことである。この許容範囲は、一般に、CD又はエッジ配置変動が定義済みマージン(すなわち、誤差許容範囲)内、例えば、公称線幅の±10%以内になる露光−デフォーカス空間内の幅と高さ(又は「寛容度(latitude)」)として定義されるプロセスウィンドウとして表される。実際には、実際のマージン要件は、その機能及びクリティカリティ次第で異なるフィーチャタイプごとにそれぞれ異なる可能性がある。さらに、このプロセスウィンドウの概念は、露光ドーズ及びデフォーカスに加えて又はそれらの他に、他の基本パラメータに拡張することができる。
[0015] 所与の設計の製造性は一般に、単一レイヤ内のすべてのフィーチャの共通プロセスウィンドウによって決まる。最新式のOPC適用及び設計検査方法は公称条件で設計を最適化し検証することができるが、最近では、減少し続ける許容範囲とCD要件のために今後のプロセスノードで製造性を保証するためには、プロセスウィンドウ認識OPCモデルが必要になることが確認されている。
[0016] 現在、十分な正確さと適用範囲を有する所与の設計のプロセスウィンドウを精密に計画するために、N個のパラメータ設定(例えば、デフォーカス及び露光ドーズ)におけるシミュレーションが必要であり、Nはおよそ12又はそれ以上にすることができる。その結果、典型的にフルチップリソグラフィシミュレーションを複数回繰り返すことを伴う、このような種々の設定における反復シミュレーションをOPC適用及び検証フローのフレームワークに直接取り入れる場合、N倍の計算時間が必要である。しかし、所与のターゲット回路の妥当性検査及び/又は設計を試みるときに、このような計算時間の増加は法外なものになる。
[0017] このため、OPC及びRET検証に使用することができ、既知の従来技術のシステムによって現在実行されている種々の条件における反復シミュレーションのこのような「総当たり(brute force)」手法より計算上効率的なプロセスウィンドウ内の変動を説明するシミュレーション方法及びシステムが必要である。
[0018] 米国特許出願第60/992,546号は、高密度プロセスウィンドウシミュレーションのための効率的な方法について記載しており、プロセスウィンドウ認識RET検証の必要性に対処するものである。依然として望ましいことは、フルチップ設計用のプロセスウィンドウを最大限にするために効率的な高密度プロセスウィンドウシミュレーションに基づくOPCのための方法を入手することである。
[0019] 従って、本発明は、シミュレーションプロセスで使用するためのプロセスウィンドウを最大限にし、従来技術の技法の上記の欠陥を克服する、計算上効率的なOPC方法に関する。
[0020] より具体的には、本発明は、複数のフィーチャを有するターゲット設計を結像するために使用されるリソグラフィプロセスの性能を増す効率的なOPC方法に関する。この方法は、シミュレートされた像を生成するための、リソグラフィプロセスに関連するプロセス変動を説明する関数を決定するステップと、この関数に基づいて各OPC反復において各評価点に関するターゲットグレーレベルを最適化するステップとを含む。所与の一実施形態では、この関数は焦点及び露光の多項式関数として近似される。所与の他の実施形態では、焦点及び露光変動の確率分布がガウス分布になると仮定して、最良焦点のために分析的最適グレーレベルが与えられる。
[0021] 本発明は従来技術の方法を上回る重大な利点を提供する。最も重要なことに、本発明は、プロセスウィンドウを最大限にする(例えば、焦点変動及び露光ドーズ変動に関する最大許容範囲を達成する)計算上効率的なOPC手法を提供し、既知の従来技術の方法によって現在実施されているように種々の条件で反復シミュレーションを行う「総当たり」手法を実行する必要性を除去する。確かに、以下にさらに注目するように、最適化するためのN個のプロセスウィンドウ条件を有するプロセスウィンドウ認識OPCの場合、本発明の方法の計算時間は約2Tであるが、従来技術の方法は約NTを要すると思われ、その場合、Tは1つのプロセスウィンドウ条件におけるOPCに必要な計算時間を示す。
[0022] また、本発明の方法は、モデル較正、リソグラフィ設計検査、共通プロセスウィンドウの評価に基づく歩留まり推定、プロセスウィンドウ認識OPCを使用することによるホットスポット(又は問題スポット)の識別及びこのようなホットスポットの補正、モデルベースのプロセス制御補正(例えば、リソグラフィプロセスにおいて所与のリソグラフィレイヤに関する共通プロセスウィンドウをセンタリングすること)などであって、これらに限定されない他の適用例に容易に適用される。
[0023] 本発明の一態様では、所与のリソグラフィプロセスに関連するプロセスウィンドウを最大限にする方法が開示される。この方法は、ターゲットパターン内の複数の評価点のそれぞれについてプロセスウィンドウにわたってレジスト像値を近似するプロセス条件パラメータ解析関数を計算するステップと、プロセスウィンドウが最大限になるように解析関数に基づいて各評価点に関する公称条件でレジスト像値の目標値を決定するステップと、光近接効果補正反復において各評価点に関する最適化ターゲットとしてその目標値を使用するステップとを含む。
[0024] 本発明の他の態様では、所与のリソグラフィプロセスに関連するプロセスウィンドウを最大限にするための方法が開示される。この方法は、ターゲットパターン内の複数の評価点に関する固定しきい値の付近でレジスト像値の許容変動を決定するステップと、レジスト像値がその許容変動内に保持されるという条件に従ってプロセスパラメータ変動範囲が最大になるように、公称プロセスウィンドウ条件に関する各評価点についてレジスト像値の許容変動内の最適目標値を計算するステップと、各評価点における近似レジスト像値が最適目標値に収束するまで光近接効果補正プロセスにおいて反復式にエッジ移動プロセスを実行するステップとを含む。
[0025] 本発明の他の態様では、所与のリソグラフィプロセスに関連するプロセスウィンドウを最大限にするための方法が開示される。この方法は、プロセスウィンドウを最大限にするために解析関数に基づいてターゲットパターン内の複数の評価点のそれぞれについてレジスト像値の最適ターゲットグレーレベルを決定するステップと、光近接効果補正反復において各評価点についてレジスト像値の最適化ターゲットとしてターゲットグレーレベル値を使用するステップと、結果のレジスト像値がターゲットグレーレベルと等しくなるように、光近接効果補正反復の最良エッジ移動量を決定するステップとを含む。
[0026] 本発明の他の態様では、ターゲットパターンに関するリソグラフィプロセスウィンドウをコンピュータに最大限にさせるためのコンピュータで実行可能な命令を有するコンピュータプログラムプロダクトであって、その命令がコンピュータにある命令を実行させるコンピュータプログラムプロダクトが開示される。この方法は、ターゲットパターン内の複数の評価点のそれぞれについてプロセスウィンドウにわたってレジスト像値を近似するプロセスパラメータ解析関数を計算するステップと、プロセスウィンドウが最大限になるように解析関数に基づいて各評価点に関する公称プロセス条件でレジスト像値の目標値を決定するステップと、光近接効果補正反復において各評価点に関する最適化ターゲットとしてその目標値を使用するステップとを含む。
[0027] 本発明の他の態様では、ターゲットパターンに関するリソグラフィプロセスウィンドウを最大限にするための方法により製造されたデバイスが開示される。この方法は、ターゲットパターン内の複数の評価点のそれぞれについてプロセスウィンドウにわたってレジスト像値を近似するプロセスパラメータ解析関数を計算するステップと、プロセスウィンドウが最大限になるように解析関数に基づいて各評価点に関する公称プロセス条件でレジスト像値の目標値を決定するステップと、光近接効果補正反復において各評価点に関する最適化ターゲットとしてその目標値を使用するステップと、リソグラフィ装置を使用して1回又は複数回の光近接効果補正反復の後、ターゲットパターンを結像するステップとを含む。
[0028] 本発明の他の態様では、所与のリソグラフィプロセスに関連するプロセスウィンドウを最大限にするための方法が開示される。この方法は、所与の公称条件についてプロセスウィンドウを最大限にするために解析関数に基づいてターゲットパターン内の複数の評価点のそれぞれについて公称プロセス条件でレジスト像値の最適ターゲットグレーレベルを決定するステップと、各評価点における近似レジスト像値が公称プロセス条件で最適ターゲットレベル値に収束するまで光近接効果補正プロセスにおいて反復式にエッジ移動プロセスを実行するステップと、プロセスウィンドウを最大限にするために光近接効果補正による結果のレジスト像に関する最適公称条件を決定するステップと、代替的に、最適ターゲットパターンに収束するまで、最適ターゲットグレーレベルの決定、光近接効果補正、及び最適公称条件の決定を再実行するステップとを含む。
[0029] 本稿では、ICの製造における本発明の使用への特定の言及がなされているかもしれないが、本発明は、多数の他の可能な用途を有することを明確に理解されたい。例えば、磁気ドメインメモリ、液晶表示パネル、薄膜磁気ヘッド用の集積光学系、案内及び検出パターンの製造に採用することができる。当業者であれば、このような別の用途の場合、本明細書で用いる「レチクル」、「ウェーハ」、又は「ダイ」という用語のいかなる使用もより一般的な用語である「マスク」、「基板」及び「ターゲット部分」という用語にそれぞれ置き換えることができることを理解することができるだろう。
[0030] 本明細書では、「放射」及び「ビーム」という用語は、紫外線(例えば、365、248、193、157又は126nmの波長を有する)及びEUV(極端紫外線、例えば、波長が5〜20nmの範囲)を含むすべてのタイプの電磁放射を含むために使用される。
[0031] 本稿で使用するマスクという用語は、基板のターゲット部分に作成されるパターンに対応するパターン付き断面を入射放射ビームに与えるために使用できる汎用パターニング手段を指すものと広義に解釈することができ、これに関連して「ライトバルブ」という用語も使用することができる。典型的なマスク(透過又は反射;バイナリ、位相シフト、ハイブリッドなど)に加えて、他のこのようなパターニング手段の例としては以下のものを含む。
・プログラマブルミラーアレイ。このようなデバイスの一例は、粘弾性制御レイヤと反射面を有するマトリクスアドレッサブル表面である。このような装置の基本原理は、(例えば)反射面のアドレスエリアが回折光として入射光を反射し、非アドレスエリアが非回折光として入射光を反射することである。適切なフィルタを使用すると、反射ビームから前記非回折光をフィルタで除去し、回折光のみを残すことができ、このように、ビームはマトリクスアドレッサブル表面のアドレッシングパターンに応じてパターン付きになる。適切な電子手段を使用して、必要なマトリクスアドレッシングを実行することができる。このようなミラーアレイに関する詳細情報は、例えば、本明細書に参照により組み込むものとする米国特許第5,296,891号及び第5,523,193号から入手することができる。
・プログラマブルLCDアレイ。このような構造の一例は、本明細書に参照により組み込むものとする米国特許第5,229,872号に示されている。
[0032] 以下の詳細な説明及び添付概略図面を参照することにより、本発明そのものとともに追加の目的及び利点をさらに理解することができる。
[0033]典型的なリソグラフィ投影システムを示す模範的なブロック図である。 [0034]リソグラフィシミュレーションモデルの機能モジュールを示す模範的なブロック図である。 [0035]本発明の第1の実施形態の模範的なフローチャートである。 [0036]本発明の第2の実施形態の模範的なフローチャートである。 [0037]本発明の第3の実施形態の模範的なフローチャートである。 [0038]本発明の一態様によりτ≦1(この例ではτ=0.75)の場合に最適P0を識別するためのオブジェクト関数のグラフ例である。 [0039]本発明の一態様によりτ>1(この例ではτ=2)の場合に最適P0を識別するためのオブジェクト関数のグラフ例である。 [0040]本発明の一態様によりτ≦1(この例ではτ=0.75)の場合の最適P0に関するグラフ解釈である。 [0041]本発明の一態様によりτ>1(この例ではτ=2)の場合の最適P0に関するグラフ解釈である。 [0042]本発明の一態様によりP0≧T1=Kであるときの(f(P0),ε(P0))に関するグラフ解釈である。 [0043]P0≦T2=Kであるときの(f(P0),ε(P0))に関するグラフ解釈である。この例では、本発明の一態様により(式49)に対する3つの実根が存在することに留意されたい。 [0044]本発明の一態様により各評価点について最適P0を求めるための二分法の模範的なフローダイアグラムである。 [0045]本発明の一態様によるPWM−OPCの模範的な高レベルフローダイアグラムである。 [0046]本発明の一態様による公称条件最適化と結合されたOPCの高レベルフローダイアグラムである。 [0047]本発明のシミュレーション方法の実現を支援可能なコンピュータシステムを示すブロック図である。 [0048]本発明の方法による使用に適したリソグラフィ投影装置を概略的に描写する図である。
[0049] 次に、当業者が本発明を実施できるように本発明の図示例として提供される図面に関連して本発明について説明する。注目すべきことに、以下の図及び例は本発明の範囲を単一実施形態に限定するためのものではなく、説明又は例示された要素の一部又は全部を交換することにより他の実施形態が可能になる。その上、本発明の特定の要素が既知のコンポーネントを使用して部分的に又は完全に実現することができる場合、このような既知のコンポーネントのうち本発明の理解に必要な部分についてのみ説明し、本発明を曖昧にしないためにこのような既知のコンポーネントの他の部分に関する詳細な説明は省略する。ソフトウェアで実現されるものとして記載されている諸実施形態は、それに限定するべきではなく、本明細書に別段の指定がない限り、当業者にとって明らかになるように、ハードウェア又はソフトウェアとハードウェアの組合せで実現される諸実施形態を含むことができ、逆もまた同様である。本明細書では、単数形のコンポーネントを示す一実施形態は限定的であると見なすべきではなく、むしろ本発明は、本明細書に別段の明確な表明がない限り、複数の同じコンポーネントを含む他の諸実施形態を包含するものであり、逆もまた同様である。その上、本出願人は、このような明確な規定がない限り、本明細書又は特許請求の範囲内の任意の用語に珍しい意味又は特殊な意味を割り当てないものとする。さらに、本発明は、例示により本明細書で参照される既知のコンポーネントと同等で現在及び将来の既知のものを包含する。
[0050] 本発明について考察する前に、全体的なシミュレーション及び結像プロセスに関する簡単な考察を行う。図1は、模範的なリソグラフィ投影システム10を示している。主要コンポーネントは、深紫外線エキシマレーザ源にすることができる光源12と、部分コヒーレンス(シグマとして表示)を画定し、特定の光源整形光学系14、16a及び16bを含むことができる照明光学系と、マスク又はレチクル18と、ウェーハ面22上にレチクルパターンのイメージを生成する投影光学系16cである。瞳面のアジャスタブルフィルタ又はアパーチャ20は、最大可能角が投影光学系の開口数NA=sin(λmax)を画定するウェーハ面22に衝突するビーム角の範囲を制限することができる。
[0051] リソグラフィシミュレーションシステムでは、例えば、図2に示されているように個別の機能モジュールでこれらの主要システムコンポーネントを記述することができる。図2を参照すると、機能モジュールとしては、ターゲット設計を画定する設計レイアウトモジュール26と、結像プロセスで使用するマスクを画定するマスクレイアウトモジュール28と、シミュレーションプロセス中に使用するマスクレイアウトのモデルを画定するマスクモデルモジュール30と、リソグラフィシステムの光学コンポーネントの性能を画定する光学モデルモジュール32と、所与のプロセスで使用するレジストの性能を画定するレジストモデルモジュール34とを含む。既知の通り、シミュレーションプロセスの結果は、例えば、結果モジュール36内に予測輪郭及びCDを生成する。
[0052] より具体的には、NA−シグマ(σ)設定並びに任意の特定の照明源形状を含むが、これらに限定されない照明及び投影光学系の特性が光学モデル32で捕捉されることは注目に値する。基板上にコーティングされたフォトレジストレイヤの光学特性、すなわち、屈折率、膜厚、伝搬及び偏光効果も光学モデル32の一部として捕捉することができる。マスクモデル30は、レチクルの設計上の特徴を捕捉し、例えば、米国特許出願第60/719,837号に記載されているように、マスクの詳細な物理的性質の表現も含むことができる。最後に、レジストモデル34は、例えば、基板ウェーハ上に形成されたレジストフィーチャの輪郭を予測するために、レジスト露光、PEB及び現像中に行われる化学プロセスの効果を記述する。シミュレーションの目的は、例えば、ターゲット設計と比較可能なエッジ配置及びCDを正確に予測することである。ターゲット設計は、一般に、プレOPCマスクレイアウトと定義され、GDSII又はOASISなどの標準化されたデジタルファイルフォーマットで提供される。
[0053] 一般に、光学モデルとレジストモデルとの接続はレジストレイヤ内のシミュレートされた空間像であり、これは基板上への光の投影、レジスト境界面での屈折、並びにレジストフィルムスタック内の複数の反射から発生する。光強度分布(空間像)は、光子の吸収により潜在する「レジスト像(resist image)」になり、これは拡散プロセス及び種々のローディング効果によってさらに変更される。フルチップ適用に十分な高速である効率的なシミュレーション方法は、2次元空間(及びレジスト)像によってレジストスタック内の現実的な3次元強度分布を近似する。リソグラフィモデルの効率的な実現例の1つは以下の形式主義を使用して可能であり、その場合、イメージ(この場合はスカラ形式であり、偏光ベクトル効果を含むように展開することができる)は瞳面内の信号振幅におけるフーリエ合計として表される。標準的なホプキンス理論(Hopkins theory)によれば、空間像は以下の式で定義することができる。
但し、I(x)は像平面内の点xにおける空間像強度であり(表記の簡略化のために、単一変数で表される2次元座標を使用する)、kはソース面上の点を表し、A(k)は点kからのソース振幅であり、k’及びk”は瞳面上の点であり、Mはマスクイメージのフーリエ変換であり、Pは瞳関数であり、
である。上記の導出の重要な態様は、加法順序の変化(kにおける合計を内側に移動する)及び指数の変化(k’をk+k’で置換し、k”をk+k”で置換する)であり、その結果、式中の3行目の角括弧の内側の項によって定義される透過クロス係数(TCC:Transmission Cross Coefficients)が分離される。これらの係数は、マスクパターンとは無関係であり、従って、光学素子又は構成(例えば、NA及びσ又は詳細なイルミネータプロファイル)のみの知識を使用して事前計算することができる。さらに、所与の例では(式1)はスカラ結像モデルから導出されるが、この形式主義はベクトル結像モデルに展開することもでき、TE及びTM偏光コンポーネントが別々に合計されることは注目に値する。
[0054] さらに、近似空間像は、TCC行列を対角化し、その最大固有値に対応する項を保持することによって決定できる、限られた数のドミナントTCC項のみを使用することによって計算することができ、すなわち、以下の式が得られる。
但し、λi(i=1,...,N)はN個の最大固有値を示し、φi(・)はTCC行列の対応する固有ベクトルを示す。(式2)はまさにすべての項が固有級数展開に保持される場合であり、すなわち、NがTCC行列のランクに等しい場合であることは注目に値する。しかし、実際の適用例では、計算プロセスの速度を上げるためにより小さいNを選択することによりこの級数を切り捨てることが典型的である。
[0055] それ故、(式1)は以下のように書き直すことができる。
但し、
であり、
は複素数の大きさを示す。
[0056] 十分に大きい数のTCC項と適切なモデル較正方法を使用することにより、光学投影プロセスの正確な記述を可能にし、光学系及びレジストモデル又は各部分へのリソグラフィシミュレーションモデルの「分離性(separability)」を提供する。理想的な分離可能モデルでは、NA、シグマ、デフォーカス、収差などのすべての光学効果は光学モデルモジュールで正確に捕捉され、レジスト効果のみがレジストモデルによってシミュレートされる。しかし、実際には、(一般に遅すぎるので、フルチップシミュレーションに実用的なものになるために必要な調整可能パラメータが多すぎる第1原理モデルとは対照的に)すべての「効率的な」リソグラフィシミュレーションモデルは、ある程度は実験的であり、限られた一組のパラメータを使用することになる。場合によっては、光学特性とレジスト特性の両方の特定の結合ネット効果を説明する「集中(lumped)」パラメータが存在する可能性がある。例えば、レジストのPEB中の拡散プロセスは、レジスト内に形成された像をぼかすガウスフィルタによってモデリングすることができ、同様のフィルタは投影システムの迷光の効果、ステージ振動、又は高次収差の結合効果を記述することもできる。集中パラメータは、フィッティングさせた較正点に近いプロセス挙動を再現することができるが、分離可能モデルと比較して予測能力が劣っている。分離性は、典型的に、十分に詳細なモデル形式を必要とし、例えば、上記の例では、光学ぼけ及びレジスト拡散について2つの独立フィルタを使用するとともに、レジスト効果からの光学効果の分離を保証する適切な較正方法を必要とする。
[0057] 分離可能モデルは一般に大半の適用例には好ましいものである可能性があるが、以下に示す本発明の方法に関連するスループロセスウィンドウ(through-process window)「PW」空間像変動の記述は厳密なモデル分離性を必要としないことは注目に値する。本発明の方法に併せて、スルーPW変動を正確に捕捉するために一般的なレジストモデルをフィットさせる方法についても以下に詳述する。
[0058] 本発明は、プロセスウィンドウ全体にわたるパラメータ変動(例えば、露光ドーズ及びデフォーカス及び/又はその他のプロセスパラメータの変動)をカバーするリソグラフィパターニング性能の効率的なシミュレーションを可能にする。本明細書の残りの部分では、露光ドーズ及びデフォーカスのみについて特定の言及がなされる場合もあるが、これは単に説明を明確にするためにすぎず、その他のプロセスパラメータを使用することもできる。要約するために、イメージベースの手法を使用して、この方法は、一般化したプロセスウィンドウ(PW)の焦点(はずれ)、露光ドーズ、及び/又はその他の追加座標などのプロセスパラメータ変動の関数として、空間像の特性(空間像強度など)又はレジスト像の特性に関する多項式級数展開を提供する。これらの式は、TCC及び派生TCC行列に関連する像及び派生像を含む。これらの式の1次結合により、任意のプロセスウィンドウ(PW)点で生成された像の非常に効率的な評価が可能になる。加えて、プロセスウィンドウ(PW)全体にわたるエッジ配置シフト又はクリティカルディメンション(CD)変動も限られた一組のシミュレート像の単純な1次結合として分析形式で表される。この一組の像は、N通りの個別PW条件で像を計算することによるNxではなく、NC(Nominal Condition公称条件)で単一像を計算するための計算時間の2倍程度の計算時間内に生成することができる。この一組の像が既知であると、設計上のすべての単一エッジ又はCDの完全なスルーPW挙動を直ちに決定することができる。
[0059] 本発明の方法は、モデル較正、リソグラフィ設計検査、共通PWの評価に基づく歩留まり推定、ホットスポットの識別、PW認識OPCによるホットスポットの変更及び修理、例えば、リソレイヤの共通PWをセンタリングするためのモデルベースのプロセス制御補正に併せて使用できることは注目に値する。
[0060] この方法の基本的な手法は、汎用レジスト線のレジスト線幅(又はエッジ配置)のスルーフォーカス(through-focus)変化を考慮することにより理解することができる。レジスト線のCDは典型的に最良焦点で最大値又は最小値を有するが、CDはいずれかの方向のデフォーカスとともに滑らかに変化することは周知のことである。従って、特定のフィーチャのスルーフォーカスCD変動は、CD対デフォーカス(CD vs. defocus)の多項式フィット、例えば、十分に小さいデフォーカス範囲に関する2次フィットによって近似されることができる。しかし、CDの変化の方向及び大きさは、レジストしきい値(クリアするためのドーズ)、特定の露光ドーズ、フィーチャタイプ、及び近接効果に強く依存する。それ故、露光ドーズ及びスルーフォーカスCD変化は、PW空間全体にわたるCD又はエッジ配置変化の直接的かつ一般的なパラメータ表示を防止するように非線形に強く結合される。
[0061] しかし、空間像は、焦点を通る連続変動を示すものと予想される。すべてのマスクポイントは、投影システムの点広がり関数(point spread function)によって特徴付けられる像平面内の有限サイズのスポットに結像することができる。このスポットは、最良焦点で最小サイズになるが、ポジティブとネガティブ両方のデフォーカスとともにより広い分布になるように連続的にぼける。従って、以下のように露光フィールド内の個々の像点に関する2次多項式として焦点を通る像強度の変動の近似値を求めることが可能である。
但し、f0は公称又は最良焦点位置を示し、fはその像Iが計算される実際の焦点レベルである。この2次近似は、十分小さいデフォーカス範囲について十分に適用されるものと予想されるが、その近似の正確さは、必要な場合により高次の項(例えば、3次及び/又は4次の項)を含めることにより容易に改善することができる。実際に、(式4)は、以下のように公称最良焦点面付近の空間像のテイラー級数展開の先頭項として識別することもできる。
これは、原則として、追加のより高次の項をさらに含むように空間像の実際のスルーフォーカス挙動を任意に十分に表現したものに拡張することができる。多項式基本関数の選択は焦点を通る空間像の級数展開を表すための1つの可能性にすぎず、本発明の方法はこの実施形態に制限されず、例えば、基本関数はベッセル関数(Bessel Function)、ルジャンドル関数(Legendre Function)、チェビシェフ関数(Chebyshev Function)、三角関数などの特殊関数にすることができることは注目に値する。加えて、プロセスウィンドウの項はデフォーカス及び露光ドーズに関するスパン変動(spanning variation)として最も一般的に理解されているが、プロセスウィンドウの概念は、NA及びシグマなどの変動などの追加又は代替のパラメータ変動をカバーするように一般化し拡張することができる。
[0062] (式4)と(式5)の比較により、パラメータ「a」及び「b」の物理的意味が1次派生像及び2次派生像として明らかになる。これらは、原則として、すべての像点について有限差分法によって導関数として直接決定し、(式4)及び(式5)に入力して像変動を補間することができる。代替的に、より広い範囲における実際のスルーフォーカス変動と補間との全体的な一致を改善するために、それに関する空間像が{I1,I2,...,IL}として明確に計算される、幾つかの焦点位置{f1,f2,...,fL}において(式4)の最小2乗フィットからパラメータa及びbを求めることができる。次に、最小2乗の意味で以下の連立方程式に対する解としてパラメータ「a」及び「b」を求める(ここで、L>3と想定し、その場合、この連立方程式は過剰決定(over-determined)である)。
[0063] 普遍性を失わずに、表記を簡単にするためにf0=0と想定する。次に、固定像点について、以下の式が得られる。
但し、I0は公称条件(NC)における空間像であり、すなわち、f=f0である。上記の一組の方程式に対する解は、以下の2乗差分の和(sum of squared differences)を最小限にし、指数lはL通りの焦点条件を指す。
但し、Wlはデフォーカスfl(l=1,2,...,L)に対するユーザ割り当ての重みである。{W1,W2,...,WL}により、異なる焦点に異なる重みを割り当てることは可能である。例えば、2次多項式近似によりNCにより近いPW点でより良好なマッチングを得るために、NCに近いより大きい重みとNCから離れるより小さい重みを割り当てることは可能であり、すべての焦点が等しい重要性を持つことが望ましい場合、単純に等しい重みを割り当てることができ、すなわち、W1=W2=...=WL=1になる。公称条件に対する焦点及びドーズの偏差が大きい場合、多くのパターンはプリント時に不安定になり、CDの測定値は信頼できないものになり、このような場合、このようなプロセスウィンドウ条件に対して小さい重みを割り当てることが望ましい可能性がある。
[0064] (式7)を解くために、最良フィットが以下の条件を満たすことは注目に値する。
(式8)は分析的に解くことができ、その結果、以下に示すように{Il}の1次結合又は加重和として「a」及び「b」に関する即時式(immediate expression)が得られる。この1次結合の係数は、ピクセル座標又はパターンに依存せず、{fl}及び{Wl}の値のみに依存する。このため、これらの係数は、fという空間における補間のための線形フィルタを形成するものとして理解することができ、基本関数として特定の多項式を選択することにより、マスクパターンとは無関係に係数の特定の値を誘発する。より具体的には、特定の光学露光設定を把握していないか又は実際に空間像シミュレーションを実行しなくても、{fl}及び{Wl}の値が決定されると、これらの係数の計算が実行される。
[0065] (式8)を解くことに関して、(式7)を以下のように書き直すことができる。
但し、l=1,2,K,Lの場合にΔIl=Il−I0である。
その結果、(式8)を以下のように展開することができる。
したがって、
ここで、
但し、以下に留意されたい。
以下に明らかにする通り、この特性はレジストモデルの項で有用になる。上記の一組の方程式は、より高次の多項式フィッティングに対処するように容易に一般化することができる。
[0066] 派生像「a」及び「b」を取り入れることの利点は、(式4)を使用すると、PW分析に必要な各特定のデフォーカス設定でフルイメージシミュレーション(すなわち、マスクパターンとTCCとの畳み込み)を実行するのではなく、デフォーカスオフセット及び単純加算によりa及びbの像の簡単なスケーリングによって、プロセスウィンドウの任意の点で空間像を予測できることである。加えて、係数(1+ε)だけ像強度を単純に拡大又は縮小することにより、露光ドーズの変化を以下のように表すことができる。
但し、I(x,f)は公称露光ドーズにおける空間像であり、εはドーズの相対的変化である。
これを(式4)と結合すると、以下の一般的結果が得られる。
但し、ΔIは典型的に妥当な範囲のPWパラメータ変動内の小さい摂動になる。
[0067] 上記の方法は、異なるデフォーカス条件で空間像から輪郭、CD、又はエッジ配置誤差(EPE:Edge Placement Errors)が抽出される、図3のフローダイアグラムによって例示される。図3を参照すると、このプロセスの第1のステップ(ステップ40)は、シミュレートすべきターゲットパターン又はマスクパターンと、使用すべきプロセス条件を識別することである。次のステップ(ステップ42)は、上記の(式3)により公称像I0とM個のデフォーカス像{Il}を生成することである。その後、(式9)を使用して派生像「a」及び「b」を生成する(ステップ43)。次のステップ(ステップ44)は、(式4)、すなわち、I0とa(fでスケーリング済み)及びb(f2でスケーリング済み)との合成を使用してデフォーカス像を生成することを伴う。次に、シミュレートされた像から輪郭を抽出し、CD又はフィーチャEPEを決定する(ステップ46)。次にプロセスはステップ48に移行し、十分な適用範囲があるかどうか(例えば、プロセスウィンドウの境界を決定することが可能であるかどうか)を判断し、答えがnoである場合、プロセスはステップ44に戻り、上記のプロセスを繰り返す。十分な適用範囲がある場合、プロセスは完了する。
[0068] プロセスウィンドウの十分な適用範囲がN個のプロセスウィンドウ点での評価を必要とし、派生像a及びbのフィッティングにL<N個の像を使用する場合、所定の像I0、a及びbのスケーリングに必要な計算時間は、それぞれの新しいパラメータ設定で投影像について行う独立再計算より著しく少ないので、計算時間の短縮がL/Nに近くなることは注目に値する。上記の方法は一般に、空間像シミュレーションの特定の詳細とは無関係に適用可能である。さらに、空間像並びにシミュレートされたレジスト輪郭が抽出されるレジスト像にも適用可能である。
[0069] また、上記の方法は、変化するデフォーカスで一組の空間像{I1,I2,...,IL}をシミュレートするために使用される特定のモデル又は実現例に依存しない。しかし、上記の方法は、考慮中のマスクレイアウトごとに幾つかの(L>2)個別の像をシミュレートする必要がある。本発明の方法の第2の実施形態では、(式1)に取り入れられたTCC形式主義により、さらに効率的な解法が可能になる。
[0070] (式1)により、焦点fl(l=0,1,...,L)における各空間像を以下のように定義することができる。
但し、TCClは焦点flにおけるTCCであり、TCCl,k’,k”はTCClの行列要素であり、M(・)は焦点とは無関係のマスクイメージを表す。
[0071] これを(式9)と結合し、加法次数を交換すると、以下のようになる。
それ故、2つの新しいTCCが以下のようにTCCl(l=0,1,...,L)の1次結合として定義される場合、
「a」及び「b」はA及びBから直接計算できる「空間像」であり、すなわち、
但し、
及び
はそれぞれA及びBの行列要素である。
[0072] これは、異なる平面の空間像の1次結合がこれらの平面に対応するTCCの単一1次結合を使用して計算できることを意味する。
[0073] L個のスルーフォーカス像の代わりにTCC0、A、及びBを使用する重大な利点は、照明及び投影パラメータが既知である場合に、実際のマスクパターンとは無関係に、TCC0、A、及びBを事前計算することができ、それにより、以下に詳述するように(マスクパターンごとにL回のスルーフォーカスシミュレーションから)計算時間をさらに短縮する可能性がもたらされることである。A及びBいずれの生成も異なるデフォーカス条件で一組の空間像の計算を必要とせず、この一組の空間像からの較正も必要としないことは注目に値する。TCC0、A、及びBが計算されると、一般にこれらの項を適用し、(式15)及び(式4)を使用して任意の特定のマスク設計についてスルーフォーカス結像性能を予測することができる。スルーフォーカス変動の他に、上記の(式11)及び(式12)によって記述されているものと同じ線形スケーリングにより、公称条件付近の露光ドーズの変動をTCCの項に適用することができる。
[0074] TCC A及びBから派生像a及びbを計算すると、(式2)に関連する考察のように、A及びBのドミナント項のみを使用することにより、計算時間をさらに短縮することができる。より具体的には、TCC0、A、及びBの対角化が以下のようになると想定する。
式中、λ0,i(i=1,...,N0)はN0個の最大固有値を示し、φ0,i(・)はTCC行列TCC0の対応する固有ベクトルを示し、λA,i(i=1,...,NA)はNA個の最大固有値を示し、φA,i(・)はTCC行列Aの対応する固有ベクトルを示し、λB,i(i=1,...,NB)はNB個の最大固有値を示し、φB,i(・)はTCC行列Bの対応する固有ベクトルを示す。
[0075] 次に、(式3)から、マスクイメージM(・)の場合、以下のようになる。
但し、I0は公称空間像であり、
及び
である。
[0076] より多くのTCC項を使用すると、一般に、光学モデルの正確さ並びに光学モデル成分及びレジストモデル成分の分離性が改善される。しかし、像又はTCC導関数はPW内の比較的軽微な像変動、典型的におよそ10%のCD変動に関連するので、A及びB項については公称条件TCC0の場合より少ない項で十分である可能性がある。例えば、TCC0について64個の項を考慮する場合(すなわち、N0=64)、十分なCD予測精度を達成するために、典型的にA及びB項のそれぞれについて32個の項のみが必要になり、すなわち、NA=NB=32である。この場合、公称条件I0と比較して、派生像a及びbを生成するために、ほぼ同じ量の計算時間が必要になる。元のTCC行列とは異なり、A又はBなどの係数TCC行列は一般に非負定値(non-negative-definite)ではなく、派生TCC行列について正及び負両方の固有値が存在することを意味することは注目に値する。従って、固有級数展開及び切り捨てからの主要項(leading term)は、正負両方の最大絶対値を有するすべての固有値を含むはずである。
[0077] (式5)と同様に、(式14)は代替的に級数展開から導出することができる。より具体的には、公称又は最良焦点f0付近のTCC行列要素の変形は以下のように級数展開として表すこともできる。
[0078] それ故、級数展開の係数は、数値有限差分法により直接評価するか、又は前の項で述べた空間像のスルーフォーカスフィッティングと同様に一組の焦点位置に対応する幾つかの個別に計算されたTCC項に対する最小2乗フィッティングにより評価することができる。このフィッティング手法は、より広範囲の妥当性を提供し、PWの特定の部分に大なり小なり重きを置くための重み因子を取り入れる。この手法は、一組のテストイメージIlを式内のそれぞれの対応するTCCで置き換えた後、(式6)〜(式9)に従う。その結果、同じくIlをTCClで形式的に置き換えた後、上記と同じ1次結合により最良フィット派生行列A及びBが得られ、すなわち、以下のようになる。
但し、hal及びhblはこの場合も(式9)を使用して計算される。hal及びhblは、パターン又はTCClに依存しない定数であることは注目に値する。それ故、A及びBは単純に公称条件TCC0と種々のデフォーカス条件(TCC1〜TCCL)における一組のTCCとの1次結合になる。
[0079] (式19)は(式14)と同じであり、それ故、これら2つの代替手法によって同じ最終公式に至ることに留意されたい。同様に、(式4)も(式15)、(式18)、及び(式19)から導出することができる。
[0080] 第2の実施形態の方法は、異なるデフォーカス条件で空間像から輪郭、CD、又はエッジ配置誤差(EPE)が抽出される、図4のフローダイアグラムによって例示される。このプロセスの第1のステップ(ステップ50)は、所望のプロセスに関連するプロセス固有光学条件を識別することである。次のステップ(ステップ52)は、公称条件TCC0とL個のデフォーカス{TCCl}を生成することである。その後、(式14)を使用して派生TCC、A及びBを生成する(ステップ54)。次のステップ(ステップ58)は、(式17)を使用してマスクイメージとTCC0、A及びBとの畳み込みにより像I0、a、bを生成する。次に、それぞれのマスク設計について(ステップ56)、(式4)を使用してデフォーカス像を合成し(ステップ60)、それにより、シミュレートされた像を生成する。次に、シミュレートされた像から輪郭を抽出し、CD又はフィーチャEPEを決定する(ステップ62)。次にプロセスはステップ64に移行し、十分な適用範囲があるかどうかを判断してプロセスウィンドウの境界を決定し、答えがnoである場合、プロセスはステップ58に戻り、上記のプロセスを繰り返す。十分な適用範囲がある場合、プロセスはステップ66に移行し、マスク設計によって生成された像が許容誤差の許容範囲内であるかどうかを判断し、範囲内である場合、プロセスは完了する。範囲内ではない場合、プロセスは、マスクの調整及び再設計を可能にするためにステップ56に戻る。この最後のステップがプロセス内で任意選択のステップであることは注目に値する。
[0081] 図4のフローチャートでは、同図は、特に初期マスク設計のインタラクティブPWアウェアOPCモディフィケイション(interactive PW aware OPC modifications)に必要である可能性のある「マスクバリエーションループ(mask variation loop)」内に組み込まれるPW分析を示している。この状況では、スルーPWイメージアセスメント(through-PW image assessment)に関する計算速度の改善は特に有利になる。
[0082] 光学システムの物理的現象に関する演繹的知識又は他の適切な仮定によって計算時間をさらに短縮することができる。例えば、強力な収差がない場合、空間像強度のスルーフォーカス変動がデフォーカスの偶(すなわち、対称)関数になると予想することができる。従って、1次導関数「A」及び「a」はこれらの条件下で取るに足らないものになると予想することができる。
[0083] この単純化は、公称焦点がf0=0にある場合にデフォーカスの効果が瞳関数に位相ファクタを掛けたものp=p0exp[ja(f−f02]に対応することに留意することによってさらに正当化することができる。デフォーカスが小さい場合、位相シフトは、1次の項を含まないテイラー展開、すなわち、p=p0.[1+ja(f−f02]によって近似値を求めることができる。
[0084] 上記の方法はいずれも、露光ドーズ及びデフォーカスに加えて、異なるか又は追加の基本パラメータによって設定可能な一般化したプロセスウィンドウ定義に拡張することもできる。これらは、レジストレイヤのNA、シグマ、収差、偏光、又は光学定数などの光学設定を含むことができるが、これらに限定されない(結像プロセスに対するその効果は光学モデル、すなわち、TCCに含まれる)。公称条件付近のNAの変動を含む一例として、以下のように空間像を表すことができる。
但し、I、I0、a、・・・、eは、それぞれ、2次元像及び像導関数である。追加のパラメータ「c」、「d」、及び「e」は、f及びNAについて変化するパラメータ値で一組のシミュレートされた像又は一組のシミュレートされたTCCに対する最小2乗フィットによって決定することができ、(式11)及び(式12)のように露光ドーズによるスケーリングは依然として適用される。(式9)と同様に、これらのパラメータ(a、b、c、d、及び交差項係数e)はこの場合も空間像{Il}の1次結合である。この1次結合の係数は、ピクセル座標又はパターンに依存せず、{fl}、{NAl}、及び/又はユーザ割り当ての重み{Wl}の値のみに依存する。
[0085] この一般化したPWモデルの場合、物理的洞察に基づく単純化も可能である。例えば、NA変動の場合、これらは像変動に対してかなり単調な1次効果を有することになり、その場合、(式20)は、おそらくデフォーカスの1次の項に加えて、NA内の高次の「d」及び「e」項を落とすことによって単純化できると予想することができる。また、いずれの一般化したPW定義の場合でも、公称条件でI0を計算するために使用されるTCC項の数は、TCC導関数A、B、・・・から像変動を計算するために使用される項の数と同じである必要はない。公称条件付近のパラメータ変動が小さいことによる軽微な像変動を十分に正確に記述することは、全体的な計算時間を短縮するために、I0に関するより多くの項と、導関数に関する著しく小さい数によって達成することができる。
[0086] 簡潔にするために、以下の考察はデフォーカス及び露光ドーズに基づくものになる。しかし、本明細書の開示内容はいずれも、(式20)に示されている通り、レジストレイヤのNA、シグマ、収差、偏光、又は光学定数などの他のパラメータとともに一般化したPWに拡張できることに留意されたい。
[0087] 上記の諸実施形態では、PWパラメータの範囲に関する最良焦点の付近で空間像に関する分析式が開発された。以下の記述では、PW全域でシミュレートされたレジスト輪郭を抽出するための基礎を形成するレジスト像を計算するための同様の式及び方法を導出する。
分離可能線形レジストモデル(Separable, Linear Resist Model)
[0088] 投影された空間像による照明に対するフォトレジストの応答は、しきい値化挙動を有し、強力に非線形になる可能性があるが、PEB中の拡散など、レジストレイヤ内で行われる多くのプロセスは、しきい値を適用する前に1つ又は複数の線形フィルタにより空間像を畳み込むことによってモデル化することができる。このようなモデルは一般に「線形」レジストモデルと呼ばれ、このようなモデルの潜在するレジスト像は以下のように概略的に表すことができる。
この場合、P{}は線形フィルタを適用する関数アクション(すなわち、一般に畳み込み)を示し、Rbは空間像とは無関係なマスクローディングバイアス(mask loading bias)である。レジストしきい値は、レジスト輪郭がR(x)=0である位置に対応するようなRbに含まれるものと理解されている。
[0089] 上記で導出された一般的なスケーリング済み補間空間像、すなわち、(普遍性を失わずにf0=0と想定した式12)にこのモデルを適用すると、以下の式になる。
但し、R0は公称条件(NC)におけるレジスト像である。露光ドーズ及び焦点(又はその他のPWパラメータ)の変化によるすべての補正は、NCにおける像I0に対するものと同じフィルタを派生像a、bに適用することと、補正項の単純なスケーリング及び加法によって導出することができる。
[0090] その上、空間領域内のフィルタとの畳み込みは周波数領域内のフィルタのフーリエ級数成分による乗算と同等であるので、線形フィルタの効果は結像TCC形式主義に含めることができる。空間像式(式1)から始めて、以下のようになる。
k’、k”におけるTCC行列要素がTCCk’,k”M(k’)M*(k”)という量だけI(x)の(k’−k”)周波数成分に寄与することが示されている。従って、レジスト像は以下の式で定義される。
但し、g(x)はフーリエ変換がG(k)になる空間フィルタであり、上記のレジスト像は以下のように表すことができ、
この場合新しいTCC行列は、
として定義される。
[0091] この手順により、線形フィルタは双線形TCC行列に取り入れられ、従って、純粋に光学的な空間像に適用可能なすべての計算手順を線形フィルタリング済み空間像に適用することができる。完全なレジスト像は(式1)の単一評価によって生成することができ、唯一の変更はフィルタPのフーリエ係数に対応する重み因子を加えることであるので、この特性によって全体的な計算時間の大幅な短縮が可能になる。任意の所与のマスク設計入力の場合、この公式化により、1回のパスで、事前計算しフィルタ調整したTCC0、A、及びB行列から像P{I0}、P{a}、P{b}を直接生成できるであろう。次に、(式22)は、これら3つの像の1次結合として任意のPW点に関する実際のレジスト像を定義する。
非分離可能線形レジストモデル(Non-separable, linear resist model)
[0092] 前の考察では、レジストモデルを確立する線形フィルタのすべてのパラメータがプロセスウィンドウパラメータの変動全域で一定であることが暗黙のうちに想定されていた。これは、レジストモデルパラメータが光学モデルパラメータとは無関係であるという分離可能リソグラフィモデル全体に関する1つの条件に相当する。分離性に関する実用テストは、モデルを正確に較正し、PWの範囲全域でテストデータをフィットさせる能力である。実際には、フルチップリソグラフィシミュレーションに適したモデルの半経験的性質は、完全な分離性を妨げる可能性があり、レジストモデルパラメータがデフォーカス、NA、又はシグマ設定などのPWパラメータにつれて変化できるようにする必要がある場合もある。物理的に動機付けされたモデルの場合、PW変数の変動を受けてモデルパラメータが滑らかに変化することが予想される(又は制約として要求される)はずである。この場合、レジスト像の級数展開はレジストモデルパラメータの派生項を含むことができる。
[0093] 例証のため、デフォーカスを唯一のPWパラメータと見なす。線形レジストモデルが1つの線形フィルタ(又は多数の線形フィルタ)との畳み込みと同等である場合、分離可能モデルは以下の式で記述することができる。
これに対して、非分離可能モデルは以下のようにフィルタの明示的なf依存を必要とする可能性がある。
[0094] 次に、以下のように1次まで本明細書で例示するために、スルー焦点変化を考慮して、プロフォルマ(pro-forma)級数展開を(式24)に適用することができる。
但し、
[0095] レジストモデルパラメータがPW空間全域で連続的に変化すると判明した場合、AI及びTCCについて上記で紹介した同様の級数展開及びフィッティングはモデル較正中にレジストモデルパラメータに適用することができる。この場合、線形派生フィルタapを計算して、(式25)で使用することができ、その式はより高次の項を含むように簡単に拡張することができる。この状況では、レジストモデルパラメータ並びに空間像変動は完全なPW領域全域で円滑に補間される。P及びapはいずれも、テスト又はゲージパターンからの実験ウェーハデータに基づいてスルーPWモデル較正ステップで決定することができる。
[0096] しかし、レジストモデルパラメータがPW全域で非単調に変化するように見える場合でも、較正点間の区分的補間により任意のPW点について「最良推量(best-guess)」レジストモデルパラメータが得られる可能性がある。
一般レジストモデル(General Resist Model)
[0097] 空間像又はレジスト像の切り捨てなどの非線形動作を含むことができる一般レジストモデルの場合、(式22)に示されているように、公称条件及び派生項への簡単な分離はもはや有効ではない。しかし、非線形動作を処理するための代替方法が3通りある。
i)関連線形フィルタ(Associated Linear Filter)
[0098] まず、線形フィルタP{}はもはやNC(標準条件)でレジストモデルを正確に記述しないという再解釈により、PWによるレジスト像の一般的変動は(式22)の2行目によって形式的に近似値を求めることができるものと想定する。その代わりに、線形フィルタP{}は、NCに対するディファレンシャルレジスト像変化(differential resist image change)の最良表現を再現するように選択される。非線形モデルはNCにおいて最も正確なモデルフィッティングを保証することができるが、線形モデルより著しく長い計算時間を必要とする可能性がある。ディファレンシャルスルーPW挙動をエミュレートするためにこのような関連線形フィルタに依存することにより、R0(x)を生成するために非線形モデルの単一評価のみが必要になり、多数のPW条件におけるPW分析はP{I0}、P{a}、P{b}のより効率的な評価に基づいて行うことができる。
[0099] 公称条件レジストモデル並びに関連フィルタの係数は、米国特許出願第60/719,837号に記載されている方法の拡張として、パターン変動及びプロセスウィンドウ変動をカバーする較正テストパターン及びウェーハゲージデータに基づく統一モデル較正手順(unified model calibration procedure)から決定することができる。
[00100] さらに、有効な統一PWモデル(FEM)が米国特許出願第60/719,837号に示されている方法で生成され較正されると、そのモデルはレジスト像のスルーPW変化の最良予測を可能にする。最適関連フィルタのパラメータは、追加の実験較正データの必要性なしに、関連フィルタを使用する簡易モデルと完全な較正済みモデルとの全体的な差(RMS(2乗平均平方根))を最小限にすることによって決定することができる。
[00101] フルモデルを使用すると、例えば、1D(線/空間)及び2D(ラインエンドなど)パターンを含む、任意の適切な数及び範囲のテスト構造の場合、任意の数のPW点について「正確な」レジスト像及び輪郭をシミュレートすることができる。加えて、派生像a及びbの値は、レジスト輪郭の付近で計算することができる。それぞれのパターンごとに、R(x)スルーPWの変化がパターン固有ゲージ点、例えば、ラインエンドテストパターン用のラインの先端で、あるいはNCレジスト輪郭の任意の線に沿って計算される。これらの評価点xiのそれぞれで、以下のようになる。
iはレジスト輪郭上にあるものと想定され、式中、R(xi,ε=0,f=f0)=0である。ΔR(xi,ε,f)は以下の式によって適切に近似値を求めなければならない。
[00102] 従って、最適関連フィルタは、(式27)と(式28)との差の平方和を最小限にし、種々の既知の最適化アルゴリズムによって決定することができる。関連フィルタフィッティング中の(式27)及び(式28)の評価をレジスト輪郭で実行しなければならず、従って、その結果のフィルタはエッジ位置に近い変化を最も厳密に再現することは注目に値する。エッジ位置から離れたところで、レジスト像レベルの変化を正確に予測することに関する関連フィルタの性能は、一般に必要ではない。このフィッティングルーチン後、レジスト像のフルPW挙動はもう一度、以下のように記述される。
但し、フィルタリングしたディファレンシャルイメージはTCC形式主義内で効率的に計算することができ、ΔRは比較的小さい摂動を構成し、任意のPW点におけるレジスト像は4つの像R0、P{I0}、P{a}、及びP{b}の単純な1次結合から予測することができる。
ii)埋め込み線形化(Embedded Linearization)
[00103] 上記の手法は、すべてのパターン固有ゲージ点に関するか又はNC(公称条件)レジスト輪郭の任意の点に沿った(RMS)差を最小限にする単一線形フィルタであるという点で最適な線形化フィルタ(すなわち、関連フィルタ)を提供するものである。次に、派生レジスト像の計算にレジストモデル線形化を取り入れる代替手法について考察する。
[00104] より具体的には、(式2)でa及びbを求めた後、目標は、R0、Ra、及びRbを識別することになり、その結果、以下のようなその1次結合(普遍性を失わずにf0=0と想定する)が最良フィットになる。
その条件は以下の通りである。
その対象範囲は、おそらく一組の重み{W1,W2,...,WL}を有する幾つかの焦点位置fl={f1,f2,...,fL}であり、上記式中、R0はNCにおけるレジスト像である。(式31)は本質的に(式2)に表された空間像にレジストモデルR{・}を適用している。レジストモデルR{・}は非線形にすることができ、それ故、Ra及びRbは必ずしもP{a}及びP{b}又はR{a}及びR{b}ではない。
[00105] このため、以下のようになる。
但し、hal及びhblは(式9)で定義された係数である。この係数は、{f1,f2,...,fL}及びおそらく{W1,W2,...,WL}のみに依存し、R(x,fl)又はI(x,fl)とは無関係である。
[00106] 一般に、レジストモデルR{・}は以下のように分離することができる。
但し、Rbは空間像I(x)又は焦点とは無関係のマスクローディングバイアスであり、P{}は線形フィルタ動作であり、PNL{}は何らかの非線形動作である。
[00107] (式32)と(式33)を結合すると、以下のようになる。
[00108] 前述の通り、P{}は線形動作であるので、以下のようになる。
[00109] 予想通り、上記の(式9)及び(式10)を用いて以下の結果を導出することは可能である。
それ故、Ra及びRbは以下の式から計算することができる。
[00110] この手法の利点は、単一線形フィルタを使用してすべてのゲージ点についてディファレンシャルスルーPW挙動を捕捉しようと試みないことである。むしろ、この手法は、各ピクセルごとに(RMS)差を最小限にし、それにより、全体的な正確さを改善する。加えて、この手法は、パターン固有ゲージ点又はすべてのNCレジスト輪郭隣接点の識別を必要としない。欠点の1つは、この手法によりRa及びRbの場合に計算の複雑さがわずかに増加することである。しかし、スルーPWレジスト像の合成はR0、Ra、及びRbのスケーリング及び加算のみを必要とし、派生像の計算の複雑さの増加は一般に、特に高密度のPWサンプリングの場合にスルーPWレジスト像の計算の複雑さの低減と比較して重要なものではない。
iii)非線形動作の多項式近似(Polynomial Approximation of Non-Linear operations)
[00111] 第3の手法では、多項式を使用して非線形レジストモデル動作の近似値を求める。より具体的には、像I(x)に関する切り捨て動作の場合、酸及び塩基の反応効果をエミュレートするために、その像の2次多項式が十分な近似を可能にする。もう1つの典型的な非線形動作である像傾斜(image slope)の線形フィルタリングは、像勾配(image gradient)G{I(x)}=I(x)−I(x−1)の2次関数の線形フィルタリング、それ故、空間像I(x)自体の2次多項式として精密に表すことができる。より具体的には、G{}が勾配動作であり、線形フィルタがPSlope{・}であるとすると、この非線形動作は以下のように表すことができる。
[00112] 要約するために、空間像I(x)からのレジスト像は以下のように近似されることができる。
[00113] この場合も、P1{・}は空間像項に関する線形フィルタを表し、P2{・}は空間像平方項に関する線形フィルタを表し、PSlope{・}は空間像勾配項に関する線形フィルタを表し、Rbはイメージパターンとは無関係のマスクローディングバイアスである。それ故、レジスト像は、デフォーカス値の4次多項式として表される。しかし、典型的な適用例では、R3(x)及びR4(x)は非常に小さいので、計算効率を改善するために無視することができる。
[00114] 上記の通り、リソグラフィ設計検証の目標は、プリントされたレジストエッジ及び線幅が設計ターゲットから既定の距離内にあることを確認することである。同様に、プロセスウィンドウのサイズ、すなわち、露光寛容度及び焦点深度は、指定のマージン内に入るCD又はエッジ配置によって定義される。上記で概要を示した種々の方法は、焦点及び露光ドーズ又はその他の一般化したPWパラメータの変動によるレジスト像信号レベルの変化を決定するための非常に効率的な方法を提供する。それぞれの方法により、NC(公称条件)像R0の摂動としてスルーPWレジスト像変動ΔRの近似式が得られた。
[00115] CD又はエッジ配置の許容範囲が小さいので、このようなR(x)の変化をエッジ配置の変化に関連させるために、ほとんどの場合、1次近似で十分である。従って、任意のレジスト輪郭(R=0)の横方向シフト(すなわち、エッジ配置の変化)は単純に元の(すなわち、NC)輪郭位置の像勾配G及び焦点、ドーズなどの変動によるレジスト像レベルの変化ΔRによって以下のように近似値が求められる。
式中、初期輪郭位置と勾配の両方がNCにおけるレジスト像、すなわち、R0(x,y)から決定される。2次元エッジシフトは、各方向の偏像導関数によって、又は絶対勾配値を使用する絶対シフトとして、x及びy方向に別々に計算することができ、すなわち、Sx=R0(x,y)−R0(x−1,y)とSy=R0(x,y)−R0(x,y−1)の幾何学的合計であり、すなわち、絶対勾配値
である。
[00116] 上記の説明から、エッジシフトは、上記で定義されたディファレンシャル像の関数として以下のように直接表すことができる。
これに対して、CD又は線幅の変化は線の一方の側の個々のエッジ配置シフトを加算することによって決定することができ、その結果、一般に、ΔCD=2・ΔEPになる。明らかに、(式41)は、CD又はEPE曲線の典型的な2次様スルーフォーカス挙動を再現することができる。より重要なことに、[R0,P{I0},P{a},P{b}]などの一組の像の計算は、NCにおける単一像をシミュレートするよりわずか〜1x多い計算で実施することができ(ディファレンシャルに関する十分な正確さのために必要なTCC項はより少ないと想定する)、この計算を行った後、時間のかかるイメージシミュレーションをさらに行う必要なしに設計上のすべての単一エッジ位置について完全なPWを分析的に精密に計画するために(式41)を適用することができる。この方法を例示するための汎用フローダイアグラムは図5に示されている。
[00117] 図5を参照すると、最初のステップ(ステップ80)は、結像プロセスで使用されるリソグラフィプロセス及びシステムに関連するプロセス固有パラメータを定義することを伴う。その後、(式14)を使用して派生TCC A及びBを生成する(ステップ82)。ステップ84では、複数のプロセスウィンドウ条件について較正テストデータを取得する。ステップ85では、部分的にステップ82の結果を使用して、R0{}に関するモデルパラメータ及び/又は関連フィルタP{}を決定する。次に、ターゲットマスクパターン又は設計を定義する(ステップ86)。次にプロセスは、ステップ88でR0(x)、P{I0}、P{a}、及びP{b}などの像を生成し始める。次に、シミュレートされた像を合成し、NC輪郭を抽出し、所与の一組のエッジ位置{xi}でフィーチャEPEを決定する(ステップ90)。次にプロセスはステップ92に移行し、エッジ位置{xi}でプロセスウィンドウによりEPE又はCD変動を決定する。最後に、ステップ94では、ステップ92で得られた結果を分析し、結果の像が定義済み誤差許容範囲内であるかどうかを判断し、それ故、共通プロセスウィンドウを決定するとともに、設計内の任意の問題エリア(すなわち、ホットスポット)を識別する。
[00118] 上記で詳述した方法、特に(式41)は、リソグラフィ設計検査の広範囲のタスクについて非常に柔軟に適用することができる。このような適用例の一部について、以下に簡単に概要を示す。しかし、本発明は本明細書に開示されている適用例に限定されないことは注目に値する。
[00119] 任意の特定のエッジ又はCDの場合、(式41)により、CD、EP、又はラインエンド変動の所与の許容範囲について公称ドーズで焦点寛容度(=DOF(焦点深度))を簡単に決定することができる。
[00120] 任意の特定のエッジ又はCDの場合、(式41)により、CD、EP、又はラインエンド変動の所与の許容範囲について公称焦点で露光ドーズを簡単に決定することができる。
[00121] 任意の特定のエッジ又はCDの場合、(式41)により、CD、EP、又はラインエンド変動の所与の許容範囲について{F,E}空間内のPW又は一般化したPW空間の形状、中心、及び面積を簡単にマッピングすることができる。
[00122] フルチップ設計及びすべての関連パターン/フィーチャタイプをカバーする一組のエッジ又はCDの場合、その設計の共通プロセスウィンドウを効率的に計算することができ、共通PWをセンタリングするためにプロセス補正を導出することができる。
[00123] 中心をはずれたPW又は小さいPWのいずれかを有することにより、共通PWの内部境界を定義する重大かつ制限的なパターンを識別することができる。
[00124] 共通PW領域については、EP又はCD変動に関する許容範囲仕様の関数として精密に計画することができる。この感度分析は、設計感度に応じて歩留まり推定を提供することができる。
[00125] 設計ホットスポットは、所定のしきい値を下回るPW領域、DOF、又は露光寛容度を有するパターンとして、(式41)を使用してフルチップ分析から識別することができる。次に、フルPWシミュレーションにより、すなわち、PW全域の多くの点でイメージ及びレジスト輪郭シミュレーションを繰り返すためにフルシミュレーションモデルを使用して、これらの重大なパターンの挙動を詳細に調査することができる。
・PWM−OPC(プロセスウィンドウ最大化−光近接効果補正)アルゴリズムの説明(PWM-OPC(Process Window Maximizing − Optical Proximity Correction) Algorithm description)
[00126] 前述の通り、大半の既存のモデルベースのOPC手法は、プリントパターンが公称条件で可能な限り設計意図に近くなるようにフィーチャエッジを微調整するものである。しかし、この結果、一部のフィーチャ(ホットスポット)では焦点又は露光ドーズ変動に関する許容範囲が非常に小さくなり、一部のフィーチャではオーバラッププロセスウィンドウが極めて小さくなる可能性がある。これらはいずれも、フルチップに関するプロセスウィンドウ全体がかなり小さくなることに寄与する。プロセスウィンドウ全体を最大限にするために異なる手法が必要であることが明らかになる。
[00127] まず、レジスト輪郭が固定しきい値Tで定義されるグレーレベルレジスト像について考慮する。レジスト輪郭はプリントパターンの外形を示す。典型的なOPC手法により、公称レジスト輪郭が可能な限り厳密に設計ターゲットエッジにマッチングするか、又はそれと同等に、設計ターゲット位置のレジスト像強度がTに収束するであろう。前述の通り、これは、不必要であり、典型的にプロセスウィンドウ全体に反対の影響を及ぼすことが判明する。従って、PWM−OPC(プロセスウィンドウ最大化−光近接効果補正)と呼ばれ、OPCによって本当にプロセスウィンドウを最大限にするための方法をここに開示する。
[00128] 各評価点について、その評価点の対応するエッジ配置がある範囲のプロセスウィンドウ(焦点及びドーズ)変動において受け入れられるものになるように、T付近のグレーレベル変動Trを決定することができる。典型的に、ΔCDとして示されるこの評価点におけるCD変動について許容範囲が存在する。次に、受け入れ可能なグレーレベル変動Trについて、Tr=ΔEdge×slopeとして1次まで近似値を求めることができ、式中、ΔEdgeはこの評価点におけるCD変動(ΔCD)の半分として設定することができ、slopeは評価点におけるレジスト像強度傾斜である。従って、受け入れ可能なグレーレベル範囲は[T1,T2]であり、T1=T−Tr/2及びT2=T+Tr/2である。
[00129] OPCにおけるエッジ移動は、各評価点におけるグレーレベル値がターゲットグレーレベルに収束するまで反復して行われる。各OPC反復の前に(すなわち、エッジ移動前に)、PWM−OPCは各評価点について(公称条件における)最適ターゲットグレーレベルを求め、その結果、現在の反復後に(すなわち、エッジ移動後に)評価点が公称条件でそのターゲットグレーレベルに達した場合にプロセスウィンドウが最大限になる。大半の既存のOPCでは、すべての反復及びすべての評価点について、このターゲットグレーレベルが必ずTに固定されることに留意されたい。しかし、PWM−OPCは、プロセスウィンドウを最大限にするために、各反復で各評価点についてターゲットグレーレベルを動的に設定する。PWM−OPCの重要な考え方は、ターゲット制御点における実際のグレーレベルが特定のプロセスウィンドウにおいて[T1,T2]という範囲内にとどまることをより適切に保証するために、Tとは異なるターゲットグレーレベルを計算する方法である。ターゲットは公称条件に関して変更されるが、プロセスウィンドウにおける受け入れ可能なグレーレベル範囲は移動せず、Tの元の値にセンタリングされたままになることに留意されたい。
[00130] 前の項(例えば、(式12)、(式14a)、又は(式16))により、OPC反復前の評価点の所与の焦点及びドーズ条件におけるグレーレベルは以下の通りである。
式中、P0は公称条件におけるレジスト像強度であり、εは露光ドーズの相対変化であり、fは公称焦点に対するデフォーカス値である。
[00131] 製造中のf及びεの確率密度はそれぞれσf及びσεの標準偏差を有するガウス型であると想定し(実際の適用例では、σf>0及びσε>0であると想定しても差し支えない)、従って、(f,ε)の同時確率密度関数は以下のようになる。
[00132] 次に、発明者の目標は以下のように数学的に公式化することができる。すなわち、R(f,ε)=T1及びR(f,ε)=T2の輪郭上で又はそれと同等にΔR(ε,f)=T1−P0及びΔR(ε,f)=T2−P0の輪郭上で(f/σf2+(ε/σε2の最小値を最大限にする各評価点について所望のP0∈[T1,T2]を求める。
[00133] この目標は、各評価点について個別に、(f=0,ε=0)と(f=0,ε=0)までのそのプロセスウィンドウ境界の最も近い点との間の正規化距離が最大になることを保証する。従って、チップ全体の数百万個の評価点を結合すると、共通プロセスウィンドウが最大になり、すなわち、すべての評価点が仕様の範囲内になる全体的な確率が最高になる。
[00134] この時点で数学的問題はすでに公式化されている。次に、それを解く方法を開示する。
[00135] ΔR(ε,f)が以下の形を取ると想定すると、以下のようになる(この方法はΔR(ε,f)に関する異なる公式化(例えば、より高次の多項式展開)又は一般化したプロセスウィンドウ最大化(例えば、NAなどの他のパラメータを有するプロセスウィンドウ)についても役に立つことに留意されたい)。
但し、R0、Pa、及びPbは、ε及びfとは無関係の何らかの像係数である。式を簡単にするために、しきい値変化によって露光(ドーズ)変化の近似値を求めるが、すなわち、ここでしきい値はT+Vεになり、ΔR(ε,f)=f・Pa+f2・Pbになり、
次に、固定グレーレベルKの場合に以下の式になる。
但し、K=T1又はT2であり、Vはしきい値変化と露光ドーズ変化との関係における倍率であり、各評価点について一定である。普遍性を失わずに、V>0であると想定する。(式44)はεが小さい場合の(式43)の直接近似と見なすこともできる。その理由は以下の通りである。すなわち、しきい値Kについて、輪郭点におけるfとεとの関係は
になる。
次に、以下のようになる。
εは小さいので、より高次の項を無視することにより、テイラー展開は
になり、その関係は以下のように簡単にすることができる。
その結果、(式44)が得られる。
最良焦点PWM−OPC(Best Focus PWM-OPC)
[00136] まず、公称条件が等焦点にある(又はそれに非常に近い)、すなわち、
であると想定する。この仮定は、公称条件が等焦点付近になるように調整される大半の現代のリソグラフィプロセスにとって妥当なものである。次に、F=f2とすると、オブジェクト関数は以下のようになる。
最適P0を求めるためにこのオブジェクト関数を最小限にする。この最小化には、T1≦P0≦T2及びF≧0という2つの追加の制約があることに留意されたい。
[00137] 第1の制約は、すべての評価点のCDが公称条件の指定の範囲内でなければならないことを意味する。第2の制約は、F=f2という事実によるものである。公称焦点が等焦点にある場合、(式42)と(式44)がいずれもf=0に対して対照的であることが明らかになる。それ故、公称焦点がシフトして等焦点から離れる場合、プロセスウィンドウはより小さくなる。従って、プロセスウィンドウを最大限にするために、公称焦点は等焦点でなければならない。
[00138] この最適化問題を解くために、幾つかのケースについて検討する。
1)まず、Pb=0である特殊なケースでは、(式45)により、F=0の結果、以下のような最小オブジェクト関数値が得られる。
K=T1又はT2であり、T1≦P0≦T2であるので、P0=(T1+T2)/2により、最小オブジェクト関数値が最大限になり、それ故、最適ターゲットグレーレベルになる。
2)Pbが非ゼロの場合、普遍性を失わずに、Pb>0であると想定する(表現はそれ以外の点は同様のものになる)。Fに関するその導関数が0であるとすると、以下のようになる。
その結果、以下のようになる。
しかし、T1≦P0≦T2及びF≧0という制約があるので、このF0は必ず達成可能であるわけではない。
[00139] 従って、2つのサブケースについて考察する。
1.
である場合、P0∈[T1,T2]であるので、K=T1及びT2である場合にいずれもF0<0になる。この場合、F=0であると、その結果、同じく最小オブジェクト関数値が得られ、P0=(T1+T2)/2は最適ターゲットグレーレベルになる。(1/0=∞を取る場合、
の特殊なケースとしてPb=0であることを考慮することができる。)
2.上記以外の場合、
になる。
この場合、P0≧T1であるので、K=T1である場合にF0≦0になる。従って、F0=0であるときにK=T1である場合の最小オブジェクト関数値が必ず達成され、
になる。P0がT1からT2まで増加するときにこの最小値が0から
まで単調に増加することに留意されたい。
K=T2である場合、事態はもう少し複雑になる。
であるときに、F0<0になり、F=0であると、その結果、この場合も
という最小オブジェクト関数値が得られ、これは、P0
からT2まで増加するときに
から0まで単調に減少し、
であるときに、F0≧0になり、その結果、以下の真正な最小オブジェクト関数値が得られる。
これは、P0がT1から
まで増加するときに
から
まで単調に減少する。
[00140] 従って、最小オブジェクト関数値はK=T1であるときにP0について増加し、最小オブジェクト関数値はK=T2であるときにP0について減少する。それ故、プロセスウィンドウを最大限にするために、これらの2つの最小オブジェクト関数値が同一になるようなP0を選択しなければならない。
[00141] 明らかに、
である場合、すなわち、
である場合、P0=(T1+T2)/2はもう一度最適ターゲットグレーレベルになり、その結果、最大化した最小オブジェクト関数値が
になる。これは図6に示されている。
[00142] より具体的には、リソグラフィプロセスに関する特性数を以下のように定義する。
次に、以下の条件にこれを代入する。
この場合、τ≦1であるときにP0=(T1+T2)/2が最適ターゲットグレーレベルになることが分かる。図6はτ=0.75である場合についてこの結果を実証するものである。
上記以外の場合、
であり(すなわち、τ>1)、
であるときに最大プロセスウィンドウが達成される。
この2次方程式の根は以下の通りである。
0∈[T1,T2]であり、
であり、T2−T1>0であることが分かっているので、
が唯一の有効な解になる。
次に、この根が確かに有効であることを検証する。
であるので、以下のようになる。
従って、P0∈[T1,T2]である。
要約すると、τ>1であるときに、
は最適ターゲットグレーレベルになる。
このケースは、より具体的にはτ=2の場合について図7に実証されている。
[00143] 要約するために、リソグラフィプロセスに関する特性数次第で最適ターゲットグレーレベルは以下の通りである。
τ>1であるときに、
が最適ターゲットグレーレベルになる。それ以外の場合、
が最適ターゲットグレーレベルになる。
物理的意味(グラフ解釈)(Physical Meaning (Graphical Interpretation)
[00144] 前の項は完全に解析的導出に焦点を合わせている。次に、Bossungグラフから解の物理的意味について考察する。
[00145] この焦点−露光グラフでは、各評価点について、固定グレーレベルに至る焦点と露光の対からなる曲線は放物線になっている。より具体的には、固定グレーレベルKの場合、輪郭R(f,ε)=Kについて分析する。(式44)からこの輪郭上のεとfとの関係は以下の通りである。
これは放物線である。これは図8に示されている。R(f,ε)=T1及びR(f,ε)=T2に対応する2つの曲線は明らかに放物線である。
[00146] この場合もPa=0及びPb≧0であると想定する。上記の通り、R(f,ε)=T1及びR(f,ε)=T2の輪郭上の(f/σf2+(ε/σε2の最小値を最大限にする必要がある。(f/σf2+(ε/σε2は楕円を表すので、この最適化はグラフにより以下のステップとして解釈することができる。
1.各P0について、2つの曲線R(f,ε)=T1及びR(f,ε)=T2に接する楕円を捜す。
2.その楕円を最大限にする最適P0を求める。
[00147] Pb≧0であるので、両方の曲線は|f|が増加するときに上向きになる。
が最適グレーレベルである場合、f=0及び
に対応する、最低点でその楕円がR(f,ε)=T2に接しなければならないことは明らかである。また、その楕円の式は
であり、式中、
である。
[00148] 輪郭と楕円がいずれもここでその最低点に達することに注目する。加えて、fに関する
の2次導関数は
になり、負のεの場合にfに関する楕円の2次導関数は以下のようになる。
[00149]
は、
の場合に増加関数であり、
は定数であるので、
である場合に限り、楕円は放物線輪郭より速く上向きになり、最低点で接する。
の結果、以下の結論が得られる。
であるときに、
が最適ターゲットグレーレベルになり、これは図8に示されているように、前の分析的分析と一致している。図8で分かるように、R(f,ε)=T1及びR(f,ε)=T2に関する輪郭はf=0のときにプロセスウィンドウの楕円境界に接し、従って、
は最適ターゲットグレーレベルになる。
・τ>1であるときに、プロセスウィンドウを最大限にするために、最適P0はシフトして
から離れ、T1に近くならなければならない。これは、図9にグラフにより描写されており、その場合、点902を通過する楕円(破線)はもはやR(f,ε)=T2の輪郭に接しておらず、最適プロセスウィンドウの境界(実線)は点904でR(f,ε)=T2の輪郭に接する。
非最良焦点PWM−OPC(Non-Best Focus PWM-OPC)
[00150] 公称条件がオフフォーカスである場合、公式はさらに複雑になる。この場合、オブジェクト関数は以下のようになる。
但し、
である。
これはfの4次多項式関数である。この場合、T1≦P0≦T2という1つの制約が追加される。その目標は、K=T1及びK=T2であるときに最小オブジェクト関数値を最大限にするためのP0を選択することである。
[00151] この公式は(式45)と同じように簡単にすることができないので、精密形式(close-form)の解が存在しない可能性がある。しかし、幾何学的分析の助けを借りて、単純な反復アルゴリズムを使用して最適ターゲットグレーレベルを計算することができる。
[00152] 普遍性を失わずに、V>0、Pa>0、及びPb>0であると想定する。その場合、
の軸は
になる。
[00153] (f(P0),ε(P0))によりオブジェクト関数Q(f,ε,P0,K)を最小限にすると想定する。すなわち、以下のようになる。
[00154] K=T1である場合、
及び
である。これは、図10のグラフ解釈から容易に分かることである。より具体的には、図10は、R(f,ε)=T1に関する輪郭が(f(P0),ε(P0))で楕円に接することを示しており、この場合、
であり、ε(P0)≧0である。さらに、P0が減少するにつれて、楕円も放物線状の輪郭によって「押しつぶされ(squeezed)」、それ故、Q(P0,T1)は減少する。付録Aの補助定理1は厳格な証明を提供する。また、P0=T1であるときにこの最小オブジェクト関数値がその最小値、すなわち、0を達成することに留意されたい。
[00155] 同様に、K=T2である場合、f(P0)≧0及び
であり、これは図11に視覚化されている。より具体的には、図11は、P0≦T2=Kであるときの(f(P0),ε(P0))に関するグラフ解釈を提供する。輪郭R(f,ε)=K=T2は(f(P0),ε(P0))で真の最小楕円(実線1104)に接し、この場合、f(P0)≧0及びε(P0)≧0である。さらに、P0がT1からT2に増加するときに、この最小値は減少する。図11に関連して換言すれば、P0が増加するにつれて、楕円も放物線状の輪郭によって「押しつぶされ」、それ故、Q(P0,T2)は減少する。この場合も、これらの観察結果は厳格な分析証明を使用して示すことができる(付録Bの補助定理2を参照されたい)。また、P0=T2であるときに、この最小オブジェクト関数値がその最小値、すなわち、0を達成することに留意されたい。
[00156] それ故、P0が最適になるために必要かつ十分な条件がQ(P0,T1)=Q(P0,T2)であることが明らかになる。これは、図7の2つの曲線によって示されている(公式は異なる可能性があるが、基本的な曲線形状は類似している)。Q(P0,T1)−Q(P0,T2)は、P0がT1からT2に増加するにつれて負数から正数に単調に増加するので、P0∈[T1,T2]に関する固有の根が存在し、これは二分法又はニュートン法などの標準的な根検出アルゴリズムを使用して効率的に求めることができる。
[00157] 残りの問題はQ(P0,K)を計算する方法である。(式48)から、Q(f,ε,P0,K)は確かにデフォーカスfの4次多項式であり、すなわち、
である。最小値を決定するために、以下のようにその導関数を取る。
[00158] 導関数が0に設定される場合、根(複数も可)はQ(f,ε,P0,K)を最小限にする潜在的デフォーカス値になる。この3次方程式に対する分析的解が存在することは周知のことである。しかし、3次方程式の(複素)根が3つ存在するが、どれがグローバル最小値を達成するか。3つの根をすべて求め、すべての実根についてQ(f,ε,P0,K)を評価し、その最小のものをQ(P0,K)として使用することができる。このプロセスをさらに加速するために、この方程式のすべての係数が実数であり、それ故、3つの実根を有するか、1つの実根と2つの補完的複素根とを有することに留意する。後者の場合、f(P0)として実根を選択することは容易である。K=T1である場合、グラフによる実証(例えば、図10)の助けを借りると、それが後者の場合に該当することが分かる。K=T2である場合、3つの実根が存在する場合(図11で実証する通り)、Wedaの定理により、これら3つの根の和は負であるが、積は正になり、従って、2つの負の根と1つの正の根が存在しなければならない。示した通り、K=T2である場合にf(P0)≧0であり、単純に正の根を選択することができる。図11で分かるように、(式48)の導関数に対して3つの実根が存在する。しかし、(実線1104の楕円に対応する)正の根のみがグローバル最小値を達成する。他の2つの根は、負であり、破線境界1102を有する楕円に対応し、輪郭R(f,ε)=K=T2はこれら2つの楕円に接するが、その結果、Q(f,ε,P0,T2)がより大きくなる。
[00159] 図12は、各評価点について最適P0を求めるための二分法のフローダイアグラムである。この図の等式チェックはイプシロン絶対誤差(epsilon-absolute-error)に基づくものであり、すなわち、2つの数の絶対的差が定義済みの小さい数(イプシロン)より小さい場合にその2つの数が等しいと見なすことに留意されたい。
[00160] より具体的には、図12に示されているように、所与の実施形態の二分法を使用することによって最適P0を求めるプロセスの第1のステップ(ステップ1201)は、Pa、Pb、σf、σε、V、T1、及びT2を含む詳細を決定し、それ故、(式48)によりα、β、χ、Φ、φ、γを決定することである。このプロセスでは、等式チェック(すなわち、以下に記載するステップ1205、1208、1212)はイプシロン絶対誤差に基づくものであり、すなわち、2つの数の絶対的差が定義済みの小さい数(イプシロン)より小さい場合にその2つの数が等しくなる。プロセスの次のステップ(ステップ1202)は、S1=T1及びS2=T2とすることである。ステップ1203では、(式49)の導関数の根を計算することにより、Q(S1,T1)を評価する。Q(f,ε,S1,T1)を本当に最小限にする1つの根を選択し、次に(式48)によりQ(f,ε,S1,T1)を計算し、これはQ(S1,T1)になる。ステップ1204では、Q(S1,T2)を評価するが、これはステップ1203と同様のものである。ステップ1205では、Q(S1,T1)とQ(S1,T2)との差を決定する。この差がゼロに等しい場合、プロセスはステップ1206に移行し、この差がゼロに等しくない場合、プロセスはステップ1207に移行する。ステップ1207では、Q(S2,T1)とQ(S2,T2)との差を決定し、ステップ1208でこの差をゼロと比較する。この差がゼロに等しい場合、プロセスはステップ1209に移行し、この差がゼロに等しくない場合、プロセスはステップ1210に移行する。ステップ1210では、(S1+S2)/2に等しくなるようにSを設定する。ステップ1211では、Q(S,T1)とQ(S,T2)との差を決定し、ステップ1212でこの差をゼロと比較する。この差がゼロに等しい場合、プロセスはステップ1213に移行し、この差がゼロに等しくない場合、プロセスはステップ1214に移行する。ステップ1214では、最大反復数に達した場合又はS−S1が十分小さい場合、プロセスはステップ1213に移行し、そうではない場合、プロセスはステップ1215に移行する。ステップ1215では、Q(S,T1)−Q(S,T2)とQ(S2,T1)−Q(S2,T2)を比較して、それらに同じ符号が付いているかどうかを判断する。同じ符号が付いている場合、プロセスはステップ1216に移行し、同じ符号が付いていない場合、プロセスはステップ1217に移行する。ステップ1216では、S2にSが割り当てられ、プロセスはステップ1210に移行する。ステップ1217では、S1にSが割り当てられ、プロセスはステップ1210に移行する。ステップ1206ではP0=S1であり、プロセスはステップ1218で終了し、そこでP0を出力する。ステップ1209ではP0=S2であり、プロセスはステップ1218で終了し、そこでP0を出力する。ステップ1213ではP0=Sであり、プロセスはステップ1218で終了し、そこでP0を出力する。
PWM−OPCフロー(PQM-OPC Flow)
[00161] 上記のアルゴリズムは、大半の現代の公称条件OPCフローの上で使用することができる。唯一の余分なモジュールは、各評価点について個々のターゲットグレーレベルP0を詳細に説明する部分であり、公称条件OPCの既存のフローはいずれも同じままである。実際には、レイアウトはOPCフロー中に劇的に変更される可能性があるので、ΔR(ε,f)内の最終的な係数は始めの数回のOPC反復におけるΔR(ε,f)内のものとはかなり異なる可能性がある。このため、このフローは以下のように実現することができる。
[00162] 公称条件OPCは最初に適用され、PWM−OPC(動的ターゲットグレーレベル)は最後の数回の反復において適用される。
[00163] このフローでも本質的に、各評価点についてそれぞれ別々にグレーレベル制御範囲Trを設定することにより異なるレイヤ及び異なるフィーチャに関する異なるCD制御を指定することができる。例えば、ゲートなどのクリティカルフィーチャに関する評価点について、Trを非常に小さい値又は0にさえ設定することができる。
[00164] より具体的には、図13に示されているように、PWM−OPCフローのプロセスの第1のステップ(ステップ1301)では、そのレイアウトにいかなる超解像技術(RET)も適用せずに設計レイアウトを提供する。ステップ1302ではRETによりレイアウトを変更し、ステップ1303でポストRETレイアウトを提供する。ステップ1304ではマスクイメージを提供する。ステップ1305では光学パラメータを含む光学モデルをマスクイメージに適用し、ステップ1306でシミュレートされた空間像(AI)を計算する。空間像はレジストレイヤ内のシミュレーションであり、これは基板上への光の投影、レジスト境界面での屈折、並びにレジストフィルムスタック内の複数の反射から発生する。光強度分布(空間像)は、光子の吸収により潜在する「レジスト像」になり、これは拡散プロセス及び種々のローディング効果によってさらに変更される。光学モデルは、NA−シグマ(σ)設定並びに任意の特定の照明源形状を含むが、これらに限定されない照明及び投影光学系の特性を記述する。屈折率、膜厚、伝搬及び偏光効果など、基板上にコーティングされたフォトレジストレイヤの光学特性も光学モデルの一部として捕捉することができる。ステップ1307からのレジストパラメータを有するレジストモデルを空間像(AI)に適用して、ステップ1308でシミュレートされたレジスト像(RI)を計算する。レジストモデルは、レジスト露光、露光後ベーク、及び現像中に行われる化学プロセスの効果を記述する。ステップ1309では、特定の評価点でレジスト解像度を評価する。図12に関連して上述したように、ステップ1310では、光学モデル及びレジストモデルからPa、Pb、σf、σε、V、及びT1を含む特定のパラメータを決定し、ステップ1311で最適P0を決定する。ステップ1312では、ステップ1311で決定した最適P0とステップ1309で識別した評価点におけるRI強度との比較を行う。ステップ1313では、比較ステップ1312で決定されたようにレジスト像が十分に良好である場合、プロセスは終了し、ステップ1314でマスクイメージを出力する。レジスト像が十分に良好ではないと判断された場合、プロセスはステップ1302に戻り、レジスト像が十分に良好であると判断されるまでプロセスを繰り返す。
[00165] 比較のため、従来の公称条件OPCフローは、図13で上述したステップ1310及び1311を含まず、動的に決定されたP0は典型的に一定のしきい値で置き換えられる。
[00166] もう1つの実際的な考慮事項は、評価点における像の補間である。リソグラフィシミュレーションでは、すべての像がグリッド上に生成される。典型的に、評価点はグリッド上に正確に置かれるわけではない。
[00167] 一実施形態では、すべての評価点のすぐ上でPa、Pb、T1、及びT2を計算する。T1及びT2の計算は傾斜に依存し、それ故、位置に依存することに留意されたい。この実施形態では、(式1b)を使用して任意の位置で空間像を計算することができ、(式1d)を使用してTCCの導関数から同じように派生空間像を計算することができる。しかし、評価点の位置が不規則であるので、この方法は計算上高価である可能性がある。
[00168] 代替的に、補間を適用して評価点における像強度を計算する。計算されたレジスト像がR(iΔ)であり、i=0,±1,±2...であり、Δはグリッド解像度であると想定する。次に、Δの倍数ではない任意のxについて、R(iΔ)に線形フィルタを適用することができ、すなわち、
になる。その最も単純な形では、h(x)は2つの隣接ピクセルの1次結合程度に単純なものである可能性があり、すなわち、|x|<1である場合にh(x)=1−|x|であり、それ以外の場合は0になる。h(x)がシンク関数(sinc function)からなるナイキスト再構成(Nyquist reconstruction)も適用することができ、すなわち、h(x)=sin(πx/Δ)/(πx)になる。h(x)の選択は計算コストと正確さとの釣り合いを取るための適用例によって決まる。フィルタの分離性により、2次元像の場合、各次元について独立してフィルタを適用することに留意されたい。
[00169] さらに、フィルタ動作は線形であるので、以下の式が1次関数であることに注目する。
それ故、R(ε,f)がグリッド上で計算されるものと想定すると、以下の式が得られる。
これは、まずグリッド上でPa及びPbなどを計算し、次にPa及びPbなどに関する補間フィルタを適用して評価点上の係数を計算できることを意味する。
公称条件最適化(Nominal Condition Optimization)
[00170] さらに、高密度プロセスウィンドウサンプリングに基づいて最良公称条件を決定することができる。OPC(公称条件OPC又はPWM−OPCのいずれか)後に、以下のように指定できる共通プロセスウィンドウを決定する。
[00171] 次に、公称条件を(f0,ε0)だけシフトして、このプロセスウィンドウの確率を最大限にすることができる。この場合も、(式42)から(f,ε)の同時確率密度関数は以下のようになる。
しかし、プロセスウィンドウは
及び
になる。
[00172] その目標は、以下のように最大限にするための最適(f0,ε0)を決定することである。
この最適化問題は以下のように解くことができる。
まず、ε0に関するその導関数を取る。すなわち、以下の通りである。
次に、各f0についてε0の根を求めるための数値法を使用する。同様に、各ε0について
の根を求める。根が収束するまでこの2つのステップを代替的かつ反復して実行する。
[00173] 特別な場合にはより単純な解が存在する可能性があることに留意されたい。例えば、上述の通り、公称焦点が最良焦点にある場合、f0=0は必ず最適になり、ε0について最適化を行うだけでよい。最良焦点自体は、(式44)の結果として
により推定することもできる。
[00174] また、プロセスウィンドウ内部の(f,ε)の平均を使用することもできる。
[00175] さらに、図14に示されているように、PWM−OPCとこの公称条件最適化を結合することができる。より具体的には、図14に示されているように、公称条件最適化と結合されたOPCのプロセスの第1のプロセス(ステップ1401)では、そのレイアウトにいかなる超解像技術(RET)も適用せずに設計レイアウトを提供する。ステップ1402では、公称条件OPC又はPWM−OPCによりレイアウトを変更し、ステップ1403でポストRETレイアウトを提供する。ステップ1404では、公称条件最適化を実行する。ステップ1405で決定されたように設計が十分に良好である場合、プロセスは終了し、ステップ1406で設計を出力する。設計が十分に良好ではない場合、プロセスはステップ1402に戻り、受け入れ可能な設計が確立されるまでプロセスを繰り返す。
[00176] 図15は、本明細書に開示したシミュレーション方法を支援可能なコンピュータシステム100を示すブロック図である。コンピュータシステム100は、バス102又は情報を伝達するためのその他の通信メカニズムと、情報を処理するためにバス102に結合されたプロセッサ104とを含む。また、コンピュータシステム100は、プロセッサ104によって実行される命令及び情報を保管するためにバス102に結合されたランダムアクセスメモリ(RAM)又はその他の動的ストレージデバイスなどのメインメモリ106も含む。メインメモリ106は、プロセッサ104によって実行される命令の実行中に一時変数又はその他の中間情報を保管するために使用することもできる。コンピュータシステム100は、プロセッサ104のための命令及び静的情報を保管するためにバス102に結合された読み取り専用メモリ(ROM)108又はその他の静的ストレージデバイスをさらに含む。情報及び命令を保管するために、磁気ディスク又は光ディスクなどのストレージデバイス110が提供され、バス102に結合される。
[00177] コンピュータシステム100は、コンピュータユーザに情報を表示するためにバス102を介して陰極線管(CRT)又はフラットパネル又はタッチパネルディスプレイなどのディスプレイ112に結合することができる。英数字キー及びその他のキーを含む入力デバイス114は、プロセッサ104に情報及びコマンド選択を伝達するためにバス102に結合される。もう1つのタイプのユーザ入力デバイスは、プロセッサ104に方向情報及びコマンド選択を伝達し、ディスプレイ112上のカーソル移動を制御するためのマウス、トラックボール、又はカーソル方向キーなどのカーソルコントロール116である。この入力デバイスは典型的に、その装置が平面内の位置を指定できるようにする、第1の軸(例えば、x)と第2の軸(例えば、y)という2通りの軸の2通りの自由度を有する。タッチパネル(スクリーン)ディスプレイも入力デバイスとして使用することができる。
[00178] 本発明の一実施形態によれば、シミュレーションプロセスの一部分は、メインメモリ106に収容された1つ又は複数の命令の1つ又は複数のシーケンスをプロセッサ104が実行したことに応答して、コンピュータシステム100によって実行することができる。このような命令は、ストレージデバイス110などの他のコンピュータ可読媒体からメインメモリ106に読み込むことができる。メインメモリ106に収容された命令のシーケンスを実行することにより、プロセッサ104は本明細書に記載したプロセスステップを実行する。メインメモリ106に収容された命令のシーケンスを実行するために、マルチプロセッシング配置の1つ又は複数のプロセッサを使用することもできる。代替実施形態では、本発明を実現するためにソフトウェア命令の代わりに又はソフトウェア命令と組み合わせて、ハードワイヤード回路を使用することができる。それ故、本発明の諸実施形態は、ハードウェア回路とソフトウェアとの特定の組合せに限定されない。
[00179] 本明細書で使用する「コンピュータ可読媒体」という用語は、実行のためにプロセッサ104に命令を提供することに関与する媒体を指す。このような媒体は、不揮発性媒体、揮発性媒体、及び伝送媒体を含むがこれらに限定されない多くの形を取ることができる。不揮発性媒体は、例えば、ストレージデバイス110などの光ディスク又は磁気ディスクを含む。揮発性媒体は、メインメモリ106などのダイナミックメモリを含む。伝送媒体は、バス102を有するワイヤを含む、同軸ケーブル、銅線、及び光ファイバを含む。また、伝送媒体は、無線周波(RF)及び赤外線(IR)データ通信中に生成されたものなどの音波又は光波の形を取ることもできる。一般的な形のコンピュータ可読媒体は、例えば、フロッピー(登録商標)ディスク、フレキシブルディスク、ハードディスク、磁気テープ、任意のその他の磁気媒体、CD−ROM、DVD、任意のその他の光学媒体、パンチカード、紙テープ、穴のパターンを有する任意のその他の物理媒体、RAM、PROM、及びEPROM、FLASH−EPROM、任意のその他のメモリチップ又はカートリッジ、以下に記載する搬送波、あるいはコンピュータがそこから読み取ることができる任意のその他の媒体を含む。
[00180] 実行のためにプロセッサ104に1つ又は複数の命令の1つ又は複数のシーケンスを搬送する際に種々の形のコンピュータ可読媒体が係わる可能性がある。例えば、命令は最初にリモートコンピュータの磁気ディスク上に載せられる可能性がある。リモートコンピュータは、そのダイナミックメモリに命令をロードし、モデムを使用して電話回線によって命令を送信することができる。コンピュータシステム100に対してローカルなモデムは、その電話回線上でデータを受信し、赤外線送信機を使用してそのデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器は、赤外線信号で搬送されたデータを受信し、そのデータをバス102上に置くことができる。バス102はそのデータをメインメモリ106に搬送し、そこからプロセッサ104が命令を取り出して実行する。メインメモリ106が受け取った命令は任意選択で、プロセッサ104による実行前又は実行後のいずれかにストレージデバイス110に保管することができる。
[00181] また、コンピュータシステム100は好ましくは、バス102に結合された通信インターフェイス118も含む。通信インターフェイス118は、ローカルネットワーク122に接続されたネットワークリンク120に結合する双方向データ通信を可能にする。例えば、通信インターフェイス118は、対応するタイプの電話回線へのデータ通信接続を可能にするための統合サービスデジタル通信網(ISDN)カード又はモデムにすることができる。もう1つの例として、通信インターフェイス118は、互換性のあるLANへのデータ通信接続を可能にするためのローカルエリアネットワーク(LAN)カードにすることもできる。ワイヤレスリンクも実現可能である。このような実現例では、通信インターフェイス118は、種々のタイプの情報を表すデジタルデータストリームを搬送する電気信号、電磁気信号、又は光学信号を送受信する。ネットワークリンク120は典型的に、1つ又は複数のネットワークにより他のデータデバイスへのデータ通信を可能にする。例えば、ネットワークリンク120は、ローカルネットワーク122によりホストコンピュータ124又はインターネットサービスプロバイダ(ISP)126によって操作されるデータ機器への接続を可能にすることができる。次にISP126は、現在一般的に「インターネット」128と呼ばれる世界的なパケットデータ通信ネットワークによりデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128はいずれも、デジタルデータストリームを搬送する電気信号、電磁気信号、又は光学信号を使用する。種々のネットワークによる信号、ネットワークリンク120上の信号、並びにコンピュータシステム100との間でデジタルデータを搬送する通信インターフェイス118による信号は、情報を移送する搬送波の模範的な形である。
[00182] コンピュータシステム100は、ネットワーク(複数も可)、ネットワークリンク120、及び通信インターフェイス118により、プログラムコードを含む、メッセージを送信し、データを受信することができる。インターネットの例では、サーバ130は、インターネット128、ISP126、ローカルネットワーク122、及び通信インターフェイス118により、アプリケーションプログラムについて要求されたコードを送信することができる。本発明によれば、このようにダウンロードされたアプリケーションは、例えば、その実施形態の照明最適化に備えるものである。受信したコードは、受信したときにプロセッサ104によって実行するか、及び/又は後で実行するためにストレージデバイス110又はその他の不揮発性ストレージに保管することができる。このように、コンピュータシステム100は搬送波の形でアプリケーションコードを入手することができる。
[00183] 図16は、本発明のプロセスを使用してその性能をシミュレート可能な模範的なリソグラフィ投影装置を概略的に描写している。この装置は以下のものを含む。
−投影ビームPBの放射を供給するための放射システムEx、IL。この特定のケースでは放射システムは放射源LAも含む。
−マスクMA(例えば、レチクル)を保持するためのマスクホルダが設けられ、アイテムPLに対してマスクを正確に位置決めするための第1の位置決め手段に接続された第1のオブジェクトテーブル(マスクテーブル)MT
−基板W(例えば、レジストコーティングシリコンウェーハ)を保持するための基板ホルダが設けられ、アイテムPLに対して基板を正確に位置決めするための第2の位置決め手段に接続された第2のオブジェクトテーブル(基板テーブル)WT
−マスクMAの照射部分を基板Wのターゲット部分C(例えば、1つ又は複数のダイを含む)上に結像するための投影システム(「レンズ」)PL(例えば、屈折、反射、又は反射屈折光学システム)
[00184] 本明細書に描写されている通り、この装置は反射タイプのものである(すなわち、反射マスクを有する)。しかし、一般に、この装置は、例えば、透過タイプ(透過マスクを有する)ものにすることもできる。代替的に、この装置は、マスク使用の代替策として他の種類のパターニング手段を使用することもでき、例としてはプログラマブルミラーアレイ又はLCDマトリクスを含む。
[00185] 光源LA(例えば、水銀灯又はエキシマレーザ)は放射ビームを発生する。このビームは、直接又は例えばビームエクスパンダExなどのコンディショニング手段を横断した後に照明システム(イルミネータ)ILに供給される。イルミネータILは、ビーム内の強度分布の外側及び/又は内側半径範囲(一般に、それぞれσ-outer及びσ-innerと呼ばれる)を設定するための調整手段AMを含むことができる。加えて、イルミネータは一般に、インテグレータIN及びコンデンサCOなどの種々の他のコンポーネントを含む。このようにして、マスクMAに衝突するビームPBは、その断面において所望の均一性及び強度分布を有する。
[00186] 図16に関しては、光源LAは(光源LAが例えば水銀灯であるときにしばしばそうであるように)リソグラフィ投影装置のハウジング内にすることができるが、リソグラフィ投影装置から離すこともでき、その装置が発生する放射ビームは(例えば、適切な誘導ミラーを用いて)装置内に誘導され、後者のシナリオは光源LAがエキシマレーザ(例えば、KrF、ArF、又はF2レイジングに基づく)であるときにしばしばそうなることに留意されたい。本発明はこれらのシナリオの少なくとも両者を包含する。
[00187] ビームPBは、その後、マスクテーブルMT上に保持されたマスクMAをインターセプトする。マスクMAを横断した後、ビームPBはレンズPLを通過し、そのレンズが基板Wのターゲット部分CにビームPBを焦点に集める。第2の位置決め手段(及び干渉測定手段IF)を用いて、例えば、異なるターゲット部分CをビームPBの経路内に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、第1の位置決め手段を使用して、例えば、マスクライブラリからマスクMAを機械的に取り出した後又はスキャン中に、ビームPBの経路に対してマスクMAを正確に位置決めすることができる。一般に、オブジェクトテーブルMT、WTの移動は、ロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)を用いて認識されるが、どちらも図16には明確に描写されていない。しかし、(ステップアンドスキャンツールとは対照的に)ウェーハステッパの場合、マスクテーブルMTは、単にショートストロークアクチュエータに接続される場合もあれば、固定される場合もある。
[00188] 描写したツールは以下の2通りのモードで使用することができる。ステップモードでは、マスクテーブルMTは本質的に静止状態に保持され、マスクイメージ全体が一度に(すなわち、単一「フラッシュ」で)ターゲット部分Cに投影される。次に、異なるターゲット部分CをビームPBで照射できるように、基板テーブルWTがx方向及び/又はy方向にシフトする。スキャンモードでは、所与のターゲット部分Cが単一「フラッシュ」で露光されないことを除いて、本質的に同じシナリオが適用される。その代わりに、マスクテーブルMTは速度vで所与の方向(いわゆる「スキャン方向」、例えば、y方向)に移動可能であり、従って、投影ビームPBはマスクイメージの上をスキャンするようになり、同時に、基板テーブルWTは速度V=Mvで同じ方向又は反対方向に同時に移動し、MはレンズPLの倍率である(典型的に、M=1/4又は1/5)。このように、解像度について妥協する必要なしに、相対的に大きいターゲット部分Cを露光することができる。
[00189] 本明細書に開示されている概念は、サブ波長フィーチャ(sub wavelength feature)を結像するための汎用結像システムをシミュレート又は数学的にモデリングすることができ、ますますサイズが小さくなる波長を発生可能な新結像技術により特に有用である可能性がある。すでに使用されている新技術は、ArFレーザ使用の場合には193nmの波長、フッ素レーザ使用の場合には157nmの波長を発生可能なEUV(極端紫外線)リソグラフィを含む。その上、EUVリソグラフィは、シンクロトロンを使用するか、又はこの範囲内の光子を発生するために高エネルギー電子で材料(固体又はプラズマ)を打つことにより、20〜5nmの範囲内の波長を発生することができる。大半の材料はこの範囲内では吸収性であるので、モリブデンとシリコンのマルチスタックを有する反射ミラーによって照明を発生することができる。このマルチスタックミラーは、40レイヤ対のモリブデンとシリコンを有し、各レイヤの厚さは4分の1波長である。さらに小さい波長はX線リソグラフィで発生することができる。典型的に、X線波長を発生するためにシンクロトロンが使用される。大半の材料はX線波長で吸収性であるので、吸収材料の薄片によって、フィーチャをプリントする場所(ポジティブレジスト)又はフィーチャをプリントしない場所(ネガティブレジスト)が画定される。
[00190] 本明細書に開示されている概念はシリコンウェーハなどの基板上に結像するために使用することができるが、開示されている概念は、任意のタイプのリソグラフィ結像システム、例えば、シリコンウェーハ以外の基板上に結像するために使用されるものでも使用できることを理解されたい。
[00191] 添付の付録は、本発明の一部を形成し、その全体を本明細書に参照により組み込むものとする。
[00192] その好ましい諸実施形態に関連して本発明について詳細に説明してきたが、本発明の精神及び範囲を逸脱せずに形式及び細部の点で変更及び修正を行えることは当業者にとって容易に明らかになるはずである。特許請求の範囲はこのような変更及び修正を包含するものである。
本発明の諸態様について以下の項で説明する。
1.ターゲットパターンに関するリソグラフィプロセスウィンドウを最大限にするための方法であって、
ターゲットパターン内の複数の評価点のそれぞれについてプロセスウィンドウにわたってレジスト像値を近似するプロセス条件パラメータの解析関数を計算すること、
プロセスウィンドウが最大限になるように解析関数に基づいて各評価点に関する公称条件でレジスト像値の目標値を決定すること、
光近接効果補正反復において各評価点に関する最適化ターゲットとしてその目標値を使用すること
を含む方法。
2.プロセスウィンドウがある範囲の特定のプロセスパラメータを含み、その範囲内でレジストクリティカルディメンション、従って、レジスト像値が定義済み範囲内に含まれる、1項記載の方法。
3.特定のプロセスパラメータが焦点及び露光のうちの1つ又は複数を含む、2項記載の方法。
4.焦点及び露光変動の確率分布がガウス分布である、3項記載の方法。
5.公称条件におけるターゲットレジスト像値が、二分法を含む数値法を使用して決定される、3項記載の方法。
6.最良焦点のために分析ターゲットレジスト像値が与えられる、3項記載の方法。
7.解析関数が多項式関数を含む、1項記載の方法。
8.レジスト像輪郭に関するT+Vεという関連しきい値を有する焦点及び露光の多項式関数、すなわち、R(ε,f)=P0+f2・Pbとして解析関数の近似値が求められ、式中、P0が最良焦点におけるレジスト像強度を表し、fが最良焦点に対するデフォーカス値を表し、εが露光変化を表し、Vが露光変化のスケーリングを表し、Pbが2次派生像を表す、1項記載の方法。
9.各評価点に関する目標値が複数の光近接効果補正反復のそれぞれで再計算される、1項記載の方法。
10.プロセスウィンドウが露光−結像劣化空間内の許容範囲であり、それに関するクリティカルディメンション変動が公称線幅付近の定義済み範囲内である、1項記載の方法。
11.プロセスウィンドウがパラメータ許容範囲であり、それに関するクリティカルディメンション変動が公称線幅付近の定義済み範囲内であり、パラメータがレジストレイヤのデフォーカス、露光ドーズ、開口数、シグマ、収差、偏光、及び光学定数からなるグループから選択される、1項記載の方法。
12.ターゲットパターンに関するリソグラフィプロセスウィンドウを最大限にするための方法であって、
ターゲットパターン内の複数の評価点に関する固定しきい値付近でレジスト像値の許容変動を決定すること、
レジスト像値がその許容変動内に保持されるという条件に従ってプロセスパラメータ変動範囲が最大になるように、公称プロセスウィンドウ条件に関する各評価点についてレジスト像値の許容変動内の最適目標値を計算すること、
各評価点における近似レジスト像値が最適目標値に収束するまで光近接効果補正プロセスにおいて反復式にエッジ移動プロセスを実行すること
を含む方法。
13.プロセスウィンドウを最大限にするために、各反復で各評価点についてターゲットレベルが動的に設定される、12項記載の方法。
14.プロセスウィンドウがある範囲の特定のリソグラフィプロセスパラメータを含む、12項記載の方法。
15.特定のリソグラフィプロセスパラメータが焦点及び露光のうちの1つ又は複数を含む、14項記載の方法。
16.ターゲットパターン内の複数の評価点のそれぞれについてプロセスウィンドウにわたってレジスト像値を近似する解析関数を計算すること
をさらに含む、12項記載の方法。
17.解析関数が多項式関数を含む、16項記載の方法。
18.ターゲットパターンに関するリソグラフィプロセスに関連するプロセスウィンドウを最大限にするための方法であって、
プロセスウィンドウを最大限にするために解析関数に基づいてターゲットパターン内の複数の評価点のそれぞれについてレジスト像値の最適ターゲットグレーレベルを決定すること、
光近接効果補正反復において各評価点についてレジスト像値の最適化ターゲットとしてターゲットグレーレベル値を使用すること、
結果のレジスト像値がターゲットグレーレベルと等しくなるように、光近接効果補正反復の最良エッジ移動量を決定すること
を含む方法。
19.ターゲットパターンに関するリソグラフィプロセスウィンドウをコンピュータに最大限にさせるためのコンピュータで実行可能な命令を有する1つ又は複数のコンピュータ可読記憶媒体を含むコンピュータプログラムプロダクトであって、その命令が、
ターゲットパターン内の複数の評価点のそれぞれについてプロセスウィンドウにわたってレジスト像値を近似するプロセスパラメータの解析関数を計算すること、
プロセスウィンドウが最大限になるように解析関数に基づいて各評価点に関する公称プロセス条件でレジスト像値の目標値を決定すること、
光近接効果補正反復において各評価点に関する最適化ターゲットとしてその目標値を使用すること
を含む方法をコンピュータに実行させる、コンピュータプログラムプロダクト。
20.ターゲットパターン内の複数の評価点のそれぞれについてプロセスウィンドウにわたってレジスト像値を近似するプロセスパラメータの解析関数を計算すること、
プロセスウィンドウが最大限になるように解析関数に基づいて各評価点に関する公称プロセス条件でレジスト像値の目標値を決定すること、
光近接効果補正反復において各評価点に関する最適化ターゲットとしてその目標値を使用すること、
リソグラフィ装置を使用して1回又は複数回の光近接効果補正反復の後、ターゲットパターンを結像すること
を含む製造方法により製造されたデバイス。
21.ターゲットパターンに関するリソグラフィプロセスに関連するプロセスウィンドウを最大限にするための方法であって、
所与の公称条件についてプロセスウィンドウを最大限にするために解析関数に基づいてターゲットパターン内の複数の評価点のそれぞれについて公称プロセス条件でレジスト像値の最適ターゲットグレーレベルを決定すること、
各評価点における近似レジスト像値が公称プロセス条件で最適ターゲットレベル値に収束するまで光近接効果補正プロセスにおいて反復式にエッジ移動プロセスを実行すること、
プロセスウィンドウを最大限にするために光近接効果補正による結果のレジスト像に関する最適公称条件を決定すること、
代替的に、最適ターゲットパターンに収束するまで、最適ターゲットグレーレベルの決定、光近接効果補正、及び最適公称条件の決定を再実行すること
を含む方法。
関連出願の相互参照
[0001] 本出願は、その内容全体を本明細書に参照により組み込むものとする2007年12月5日出願の米国特許出願第60/992,546号の一部継続出願である。
付録A
補助定理1.
になるようなすべての可能な(f,ε)にわたってf(P0)及び
がQ(f,ε,P0,K)を最小にする場合、すなわち、Pa>0、Pb>0、及びP0≧Kについて
になる場合、
及び
である。
さらに、P0が減少するにつれて、最小Q(P0,K)も減少する。
証明: 1)まず、
及び
になるような任意の(f1,ε1)について、
及び
になるような対(f2,ε2)が存在することを示す。それ故、f(P0)は
より大きくなければならない(そうではない場合、Q(f,ε,P0,K)を最小にすることができない。これを示すために、
とする。さらに、
であり、
である。
従って、
になる。
2)第2に、f1>0及び
になるような任意の(f1,ε1)について、
であることを示し、式中、f2=0であり、ε2=(P0−K)/Vである。それ故、f(P0)は0より小さいか又は0に等しくなければならない。これを示すために、f1>0であるので、
であることに注目する。
0≧Kであるので最後の不等式になる。
従って、
になる。
3)ここで
であることが示されている。次の部分は、
であることを示すことである。明らかに、
である場合、任意のfについて、
は必ず非負になり、これで補助定理が証明される。そうではない場合、
である。
とすると、
になるような任意の(f1,ε1)について、
になり、
であることは明らかであり、式中、
である。それ故、ε(P0)は0より大きくなければならない。
4)最後に、P0が増加するにつれて、最小Q(P0,K)も増加することを示す。
任意のK≦P0’<P0について、f1(P0’)=kf(P0)及びε1(P0’)=kε(P0)を定義し、式中、kは、
という等式の根であり、0と1の間に入る。前へ進む前に、この等式について[0,1)内の根が存在し、H(k=0)=P0’−K≧0及びH(k=1)=P0’−P0<0という事実によってそれを証明できることを示さなければならない。
kの定義により、以下のようになることに留意されたい。
従って、(f1(P0’),ε1(P0’))は
という曲線上にある。
その結果、以下のようになる。
0≦k<1であるので最初の不等式になり、
になるようなすべての可能な(f,ε)について(f(P0’),ε(P0’))がオブジェクト関数Q(f,ε,P0’,K)を最小にするので最後の不等式になる。それ故、P0が減少するとQ(P0,K)が減少し、これで証明を終了する。
付録B
補助定理2.
になるようなすべての可能な(f,ε)にわたってf(P0)及び
がQ(f,ε,P0,K)を最小にする場合、すなわち、Pa>0、Pb>0、及びP0≦Kについて
になる場合、
f(P0)≧0及び
である。
さらに、P0が増加するにつれて、最小Q(P0,K)が減少する。
証明: 1)まず、
が正になり得ないことを示す。これは、
であるので等式
が2つの実根を持つという観察結果によるものである。この2つの根は、正である
と負である
である。f>f1 *又はf<f2 *である場合に限り、
になる。f1>f1 *>0及び
になるような任意の(f1,ε1)について、
であることを示すことは簡単なことである。
同様に、f1<f2 *<0及び
になるような任意の(f1,ε1)について、
になる。それ故、ε(P0)は0より小さくなければならず、f2 *≦f(P0)≦f1 *になる。
2)次に、
及び
になるような任意の(f1,ε1)について、
及び
になるような対(f2,ε2)が存在することを示す。それ故、f(P0)は
より大きくなければならない。これを示すために、
とする。さらに、
であり、
である。
従って、
になる。
3)ここで
であることが示されている。残りの部分は、
が可能ではないことを示すことである。
になるような任意の(f1,ε1)について、
になる。次に、f2=0及びε2=(P0−K)/Vとすると、
であるので、以下のようになることに注目する。
0≦Kであるので最後の不等式になる。それ故、
になり、これはf(P0)が0より大きくなければならないことを意味する。
4)最後に、P0が増加するにつれて、最小Q(P0,K)が減少することを示す。任意のP0<P0’≦Kについて、f1(P0’)=kf(P0)及びε1(P0’)=kε(P0)を定義し、式中、kは、
という等式の根であり、0と1の間に入る。前へ進む前に、この等式について[0,1)内の根が存在し、H(k=0)=P0’−K≦0及びH(k=1)=P0’−P0>0という事実によってそれを証明できることを示さなければならない。
kの定義により、以下のようになることに留意されたい。
従って、(f1(P0’),ε1(P0’))は
という曲線上にある。
その結果、以下のようになる。
0≦k<1であるので最初の不等式になり、
になるようなすべての可能な(f,ε)について(f(P0’),ε(P0’))がオブジェクト関数Q(f,ε,P0’,K)を最小にするので最後の不等式になる。それ故、P0が増加するとQ(P0,K)が減少し、これで証明を終了する。

Claims (12)

  1. フォトリソグラフィプロセスのプロセスウィンドウを最大限にするための方法であって、
    ターゲットパターン内の複数の評価点のそれぞれについてプロセスウィンドウにわたってレジスト像値を近似するプロセス条件パラメータ解析関数を計算すること、
    前記プロセスウィンドウが最大限になるように前記解析関数に基づいて各評価点に関する公称条件で前記レジスト像値の目標値を決定すること、
    光近接効果補正反復において各評価点に関する最適化ターゲットとして前記目標値を使用することを含む方法。
  2. 前記プロセスウィンドウがある範囲の特定のプロセスパラメータを含み、その範囲内でレジストクリティカルディメンション、従ってレジスト像値が所定の範囲内に含まれる、請求項1記載の方法。
  3. 公称条件におけるターゲットレジスト像値が、二分法を含む数値法を使用して決定される、請求項1記載の方法。
  4. 最良焦点のために分析ターゲットレジスト像値が与えられる、請求項1記載の方法。
  5. 前記解析関数が多項式関数を含む、請求項1記載の方法。
  6. 各評価点に関する前記目標値が複数の光近接効果補正反復の各々で再計算される、請求項1記載の方法。
  7. ターゲットパターンに関するリソグラフィプロセスウィンドウを最大限にするための方法であって、
    前記ターゲットパターン内の複数の評価点に関する固定しきい値の付近でレジスト像値の許容変動を決定すること、
    前記レジスト像値がその許容変動内に保持されるという条件に従ってプロセスパラメータ変動範囲が最大になるように、公称プロセスウィンドウ条件に関する各評価点について前記レジスト像値の許容変動内の最適目標値を計算すること、
    各評価点における近似レジスト像値が前記最適目標値に収束するまで光近接効果補正プロセスにおいて反復式にエッジ移動プロセスを実行すること
    を含む方法。
  8. 前記プロセスウィンドウを最大限にするために、各反復で各評価点についてターゲットレベルが動的に設定される、請求項7記載の方法。
  9. ターゲットパターンに関するリソグラフィプロセスに関連するプロセスウィンドウを最大限にするための方法であって、
    前記プロセスウィンドウを最大限にするために解析関数に基づいて前記ターゲットパターン内の複数の評価点のそれぞれについてレジスト像値の最適ターゲットグレーレベルを決定すること、
    光近接効果補正反復において各評価点について前記レジスト像値の最適化ターゲットとしてターゲットグレーレベル値を使用すること、
    結果のレジスト像値が前記ターゲットグレーレベルと等しくなるように、前記光近接効果補正反復の最良エッジ移動量を決定すること
    を含む方法。
  10. ターゲットパターンに関するリソグラフィプロセスに関連するプロセスウィンドウを最大限にするための方法であって、
    所与の公称条件について前記プロセスウィンドウを最大限にするために解析関数に基づいて前記ターゲットパターン内の複数の評価点のそれぞれについて公称プロセス条件でレジスト像値の最適ターゲットグレーレベルを決定すること、
    各評価点における近似レジスト像値が前記公称プロセス条件で前記最適ターゲットグレーレベル値に収束するまで光近接効果補正プロセスにおいて反復式にエッジ移動プロセスを実行すること、
    前記プロセスウィンドウを最大限にするために前記光近接効果補正による結果のレジスト像に関する最適公称条件を決定すること、
    代替的に、最適ターゲットパターンに収束するまで、前記最適ターゲットグレーレベルの決定、前記光近接効果補正、及び前記最適公称条件の決定を再実行すること
    を含む方法。
  11. フォトリソグラフィプロセスの少なくとも1つのプロセス条件パラメータの許容値の範囲を最大限にするための方法であって、
    ターゲットパターン内の複数の評価点のそれぞれについて前記少なくとも1つのプロセス条件パラメータの複数の値にわたってレジスト像特性の値を近似するために前記少なくとも1つのプロセス条件パラメータの解析関数を使用すること、
    最大幅の範囲に対応する前記近似値に基づいて各評価点に関する前記レジスト像特性の目標値を決定すること、
    光近接効果補正反復において各評価点に関する最適化ターゲットとして前記目標値を使用すること
    を含む方法。
  12. 請求項1〜11のいずれかに記載の前記方法をコンピュータに実行させるためのコンピュータで実行可能な命令を有する1つ又は複数のコンピュータ可読記憶媒体を含むコンピュータプログラムプロダクト。
JP2009281092A 2008-12-18 2009-12-11 リソグラフィプロセスウィンドウ最大化光近接効果補正のための方法及びシステム Expired - Fee Related JP5596969B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13886508P 2008-12-18 2008-12-18
US61/138,865 2008-12-18

Publications (2)

Publication Number Publication Date
JP2010152356A true JP2010152356A (ja) 2010-07-08
JP5596969B2 JP5596969B2 (ja) 2014-10-01

Family

ID=42267978

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009281092A Expired - Fee Related JP5596969B2 (ja) 2008-12-18 2009-12-11 リソグラフィプロセスウィンドウ最大化光近接効果補正のための方法及びシステム

Country Status (4)

Country Link
US (2) US9360766B2 (ja)
JP (1) JP5596969B2 (ja)
CN (1) CN101751502B (ja)
NL (1) NL2003699A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9570364B2 (en) 2014-08-19 2017-02-14 Samsung Electronics Co., Ltd. Method of detecting focus shift in lithography process, method of analyzing error of transferred pattern using the same and method of manufacturing semiconductor device using the methods
KR101794545B1 (ko) 2011-06-13 2017-11-08 삼성전자주식회사 3차원 프로세스 윈도우 조건의 생성 방법
KR20190058683A (ko) * 2016-10-26 2019-05-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법
KR20220125373A (ko) * 2017-12-22 2022-09-14 에이에스엠엘 네델란즈 비.브이. 결함 확률에 기초한 프로세스 윈도우

Families Citing this family (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
US8321822B2 (en) * 2010-05-27 2012-11-27 United Microelectronics Corp. Method and computer-readable medium of optical proximity correction
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
US8619236B2 (en) * 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
CN103105726B (zh) * 2011-11-11 2015-04-01 中芯国际集成电路制造(上海)有限公司 布局图形校正方法
US20130191572A1 (en) * 2012-01-23 2013-07-25 Qualcomm Incorporated Transaction ordering to avoid bus deadlocks
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
CN102880772A (zh) * 2012-11-01 2013-01-16 上海交通大学 一种基于模型的动车组动力优化预测控制方法
US8856698B1 (en) * 2013-03-15 2014-10-07 Globalfoundries Inc. Method and apparatus for providing metric relating two or more process parameters to yield
US9158876B2 (en) * 2013-11-26 2015-10-13 International Business Machines Corporation Optimizing lithography masks for VLSI chip design
KR102211093B1 (ko) 2014-02-12 2021-02-03 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
US9547892B2 (en) * 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US10670972B2 (en) * 2014-06-13 2020-06-02 Infineon Technologies Ag Method and apparatus for exposing a structure on a substrate
US9841689B1 (en) 2014-08-22 2017-12-12 Kla-Tencor Corporation Approach for model calibration used for focus and dose measurement
CN107077077B (zh) * 2014-09-22 2019-03-12 Asml荷兰有限公司 过程窗口识别符
WO2016142169A1 (en) * 2015-03-06 2016-09-15 Asml Netherlands B.V. Focus-dose co-optimization based on overlapping process window
US10866523B2 (en) 2015-06-16 2020-12-15 Asml Netherlands B.V. Process window tracker
CN107851315B (zh) 2015-08-10 2020-03-17 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
KR102481295B1 (ko) 2015-11-12 2022-12-27 삼성전자주식회사 광 근접 보정을 수행하여 마스크를 제작하는 방법
US11126092B2 (en) * 2015-11-13 2021-09-21 Asml Netherlands B.V. Methods for determining an approximate value of a processing parameter at which a characteristic of the patterning process has a target value
KR20180036239A (ko) 2016-09-30 2018-04-09 삼성전자주식회사 픽셀 기반 학습을 이용한 마스크 최적화 방법
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
KR102405686B1 (ko) 2017-09-08 2022-06-07 에이에스엠엘 네델란즈 비.브이. 기계 학습 보조 광 근접 오차 보정을 위한 트레이닝 방법들
KR102516045B1 (ko) 2017-10-11 2023-03-30 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 최적화의 흐름
KR102545141B1 (ko) 2017-12-01 2023-06-20 삼성전자주식회사 반도체 소자 및 그의 제조 방법
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
WO2019121491A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
JP2019139008A (ja) * 2018-02-08 2019-08-22 東芝メモリ株式会社 マスクパターン検証方法及びそのプログラム
US10990003B2 (en) 2018-02-18 2021-04-27 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
US10209636B1 (en) 2018-03-07 2019-02-19 Sandisk Technologies Llc Exposure focus leveling method using region-differentiated focus scan patterns
US11232249B2 (en) 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
KR102498694B1 (ko) 2018-05-07 2023-02-10 에이에스엠엘 네델란즈 비.브이. 전산 리소그래피 마스크 모델과 관련된 전자계를 결정하는 방법
KR102585069B1 (ko) 2018-06-04 2023-10-05 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 공정 모델을 개선하는 방법
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
WO2020011513A1 (en) 2018-07-12 2020-01-16 Asml Netherlands B.V. Utilize pattern recognition to improve sem contour measurement accuracy and stability automatically
CN112602020A (zh) 2018-08-15 2021-04-02 Asml荷兰有限公司 利用机器学习从原始图像自动选择高品质平均扫描电镜图像
WO2020043474A1 (en) 2018-08-31 2020-03-05 Asml Netherlands B.V. Measurement method and apparatus
TWI794544B (zh) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
CN112889004A (zh) 2018-10-19 2021-06-01 Asml荷兰有限公司 通过源和掩模优化创建理想源光谱的方法
US20210405539A1 (en) 2018-11-05 2021-12-30 Asml Holding N.V. A method to manufacture nano ridges in hard ceramic coatings
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
TWI766127B (zh) * 2018-11-19 2022-06-01 聯華電子股份有限公司 優化微影對焦參數的方法
KR20210082247A (ko) 2018-11-30 2021-07-02 에이에스엠엘 네델란즈 비.브이. 기계 학습 모델 예측 내의 불확실성을 감소시키기 위한 방법.
CN113168085A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
WO2020114684A1 (en) * 2018-12-03 2020-06-11 Asml Netherlands B.V. Method of manufacturing devices
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
WO2020135946A1 (en) 2018-12-28 2020-07-02 Asml Netherlands B.V. Method for generating patterning device pattern at patch boundary
US11561478B2 (en) 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (zh) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 用於為佈局圖案化程序判定訓練圖案之方法及相關的電腦程式產品
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
WO2020169326A1 (en) 2019-02-19 2020-08-27 Asml Holding N.V. Laser roughening: engineering the roughness of the burl top
KR20210116613A (ko) * 2019-02-21 2021-09-27 에이에스엠엘 네델란즈 비.브이. 마스크에 대한 광학 근접 보정을 결정하기 위한 머신 러닝 모델의 트레이닝 방법
CN113508339A (zh) 2019-02-27 2021-10-15 Asml荷兰有限公司 用于模型校准的改进量规选择
US11747739B2 (en) 2019-03-03 2023-09-05 Asml Netherlands Method and apparatus for imaging using narrowed bandwidth
WO2020182440A1 (en) 2019-03-08 2020-09-17 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
WO2020187578A1 (en) 2019-03-21 2020-09-24 Asml Netherlands B.V. Training method for machine learning assisted optical proximity error correction
KR102648599B1 (ko) 2019-04-09 2024-03-15 에이에스엠엘 네델란즈 비.브이. 설비 위치들 사이에서 예측 모델을 조절하기 위한 시스템 및 방법
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
EP3963404B1 (en) 2019-04-30 2023-01-25 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
KR20220018050A (ko) 2019-07-10 2022-02-14 에이에스엠엘 네델란즈 비.브이. 모델 예측 불확실성을 감소시키기 위한 모델 교정을 위한 예측 데이터 선택
US20220276564A1 (en) 2019-08-08 2022-09-01 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
US20220327364A1 (en) 2019-08-30 2022-10-13 Asml Netherlands B.V. Semiconductor device geometry method and system
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
CN114341885A (zh) 2019-09-06 2022-04-12 Asml荷兰有限公司 用于增加参数化模型预测的确定性的方法
JP7443501B2 (ja) * 2019-09-25 2024-03-05 シノプシス, インコーポレイテッド 欠陥確率分布および限界寸法変動に基づくリソグラフィ改良
KR20220073828A (ko) 2019-11-01 2022-06-03 에이에스엠엘 네델란즈 비.브이. 모델 베이스 정렬들을 위한 기계 학습 기반 이미지 생성
CN110827343B (zh) * 2019-11-06 2024-01-26 太原科技大学 基于能量增强散焦响应的改进光场深度估计方法
CN114746806A (zh) 2019-11-19 2022-07-12 Asml控股股份有限公司 使用非均匀照射强度分布进行优化
CN114787715A (zh) 2019-12-02 2022-07-22 西默有限公司 用于增强成像到衬底上的图案的目标特征的方法和系统
KR20210078812A (ko) * 2019-12-19 2021-06-29 삼성전자주식회사 광학 근접 보상 검증 방법 및 이를 포함하는 반도체 제조 방법
EP3848953A1 (en) 2020-01-07 2021-07-14 ASML Netherlands B.V. High brightness electron source
WO2021140020A2 (en) 2020-01-07 2021-07-15 Asml Netherlands B.V. High brightness low energy spread pulsed electron source
KR20220132624A (ko) * 2020-02-12 2022-09-30 에이에스엠엘 네델란즈 비.브이. 제조 프로세스를 제어하기 위한 방법 및 연관된 장치
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
US20230076218A1 (en) 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
US20230107556A1 (en) 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
CN111462145B (zh) * 2020-04-01 2023-04-07 重庆大学 基于双权重符号压力函数的活动轮廓图像分割方法
WO2021228725A1 (en) 2020-05-09 2021-11-18 Asml Netherlands B.V. Determining metrics for a portion of a pattern on a substrate
CN111679648B (zh) * 2020-05-22 2021-06-01 浙江浙能技术研究院有限公司 一种基于高斯过程回归的多变量闭环控制回路性能评估方法
US20230185187A1 (en) 2020-06-02 2023-06-15 Asml Netherlands B.V. Verifying freeform curvilinear features of a mask design
US20230185183A1 (en) 2020-06-03 2023-06-15 Asml Netherlands B.V. Systems, products, and methods for generating patterning devices and patterns therefor
WO2021249720A1 (en) 2020-06-10 2021-12-16 Asml Netherlands B.V. Aberration impact systems, models, and manufacturing processes
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
WO2022037921A1 (en) 2020-08-19 2022-02-24 Asml Netherlands B.V. Systems, products, and methods for image-based pattern selection
US20230298158A1 (en) 2020-08-19 2023-09-21 Asml Netherlands B.V. Apparatus and method for selecting high quality images from raw images automatically
CN112015045B (zh) * 2020-08-31 2023-11-17 东方晶源微电子科技(北京)有限公司 一种掩模优化方法及电子设备
CN116490824A (zh) 2020-09-25 2023-07-25 Asml荷兰有限公司 图案化过程的扫描仪生产率和成像质量的优化
US20230332669A1 (en) 2020-09-30 2023-10-19 Asml Netherlands B.V. Vacuum system for mitigating damage due to a vacuum pump malfunction
US20230393458A1 (en) 2020-10-23 2023-12-07 Asml Netherlands B.V. Method for generating mask pattern
US20240004305A1 (en) 2020-12-18 2024-01-04 Asml Netherlands B.V. Method for determining mask pattern and training machine learning model
US20240104284A1 (en) 2020-12-21 2024-03-28 Asml Netherlands B.V. Feature based cell extraction for pattern regions
WO2022179802A1 (en) 2021-02-23 2022-09-01 Asml Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
CN113222031B (zh) * 2021-05-19 2022-04-12 浙江大学 基于联邦个性化学习的光刻热区检测方法
TWI833241B (zh) 2021-06-18 2024-02-21 荷蘭商Asml荷蘭公司 使用機器學習模型產生輔助特徵之非暫時性電腦可讀媒體
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
CN113359385B (zh) * 2021-06-25 2023-10-17 矽万(上海)半导体科技有限公司 一种基于opc模型的无掩模光刻优化方法
CN117597627A (zh) 2021-07-06 2024-02-23 Asml荷兰有限公司 确定局部化图像预测误差以改进预测图像的机器学习模型
KR20240031314A (ko) 2021-07-13 2024-03-07 에이에스엠엘 네델란즈 비.브이. 소스 마스크 최적화 및 타겟 최적화를 위한 패턴 선택
CN117651914A (zh) 2021-07-21 2024-03-05 Asml荷兰有限公司 用于光学器件列的热稳定安装的系统和方法
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
KR20240044432A (ko) 2021-08-10 2024-04-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭
WO2023030807A1 (en) 2021-09-02 2023-03-09 Asml Netherlands B.V. Method of evaluating selected set of patterns
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
CN114488719B (zh) * 2022-02-23 2023-11-21 武汉大学 一种基于三维特征强化的opc方法
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
CN114815496B (zh) * 2022-04-08 2023-07-21 中国科学院光电技术研究所 应用于超分辨光刻的像素化光学邻近效应修正方法及系统
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes
WO2024088666A1 (en) 2022-10-26 2024-05-02 Asml Netherlands B.V. Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002328459A (ja) * 2001-04-26 2002-11-15 Dainippon Printing Co Ltd ウエーハ転写検証方法
JP2002334836A (ja) * 2001-02-23 2002-11-22 Asml Netherlands Bv 特定のマスク・パターンのための照明の最適化
JP2003015273A (ja) * 2001-02-28 2003-01-15 Asml Masktools Bv 極端相互作用ピッチ領域を識別する方法、マスクパターンを設計する方法およびマスクを製造する方法、デバイス製造方法およびコンピュータプログラム
JP2003043666A (ja) * 2001-08-03 2003-02-13 Matsushita Electric Ind Co Ltd 回路設計パターンの評価方法
JP2003315975A (ja) * 2002-04-23 2003-11-06 Oki Electric Ind Co Ltd 位相シフトマスクおよびその設定方法並びにパターン形成装置
JP2003322945A (ja) * 2002-05-01 2003-11-14 Mitsubishi Electric Corp レイアウトパターンデータの補正装置
JP2004184633A (ja) * 2002-12-02 2004-07-02 Toshiba Corp フォトマスクの製造方法及び半導体装置の製造方法
JP2005026701A (ja) * 2003-06-30 2005-01-27 Asml Masktools Bv デバイスレイアウトを用いるna−シグマ露光設定および散乱バーopcの同時最適化の方法、プログラム製品、および装置
JP2006058452A (ja) * 2004-08-18 2006-03-02 Toshiba Corp マスクパターン補正方法、マスクパターン補正プログラム、フォトマスクの作製方法及び半導体装置の製造方法
JP2006079117A (ja) * 2001-02-27 2006-03-23 Asml Netherlands Bv サブ分解能アシストフューチャとしてグレーバーを使用する光近接補正方法
JP2006512758A (ja) * 2002-12-30 2006-04-13 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ プロセス窓を最適化するリソグラフィ・パラメータの決定方法
JP2006350395A (ja) * 1994-09-16 2006-12-28 Renesas Technology Corp パタン形成方法及び集積回路の製造方法
JP2007164006A (ja) * 2005-12-16 2007-06-28 Sony Corp マスクパターン補正装置、マスクパターン補正プログラムおよび露光用マスクの製造方法
JP2007299017A (ja) * 2007-08-06 2007-11-15 Toshiba Corp マスクパターンの補正方法
JP2008020734A (ja) * 2006-07-13 2008-01-31 Toshiba Corp 半導体装置の設計パターン作成方法、プログラム、及び半導体装置の製造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
ATE123885T1 (de) 1990-05-02 1995-06-15 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69735016T2 (de) 1996-12-24 2006-08-17 Asml Netherlands B.V. Lithographisches Gerät mit zwei Objekthaltern
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
EP1271246A1 (en) 2001-06-19 2003-01-02 Infineon Technologies AG Method for monitoring the quality of a lithographic structuring step
US7233887B2 (en) * 2002-01-18 2007-06-19 Smith Bruce W Method of photomask correction and its optimization using localized frequency analysis
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
BE1016938A6 (nl) 2005-03-31 2007-10-02 Flooring Ind Ltd Werkwijzen voor het vervaardigen en verpakken van vloerpanelen, inrichtingen hierbij aangewend, alsmede vloerpaneel en verpakte set van vloerpanelen.
JP4425239B2 (ja) * 2005-05-16 2010-03-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
US7749666B2 (en) * 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
US7458060B2 (en) * 2005-12-30 2008-11-25 Lsi Logic Corporation Yield-limiting design-rules-compliant pattern library generation and layout inspection
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7642020B2 (en) * 2006-08-17 2010-01-05 International Business Machines Corporation Method for separating optical and resist effects in process models
US7512927B2 (en) * 2006-11-02 2009-03-31 International Business Machines Corporation Printability verification by progressive modeling accuracy
NL1036189A1 (nl) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
WO2010005957A1 (en) 2008-07-07 2010-01-14 Brion Technologies, Inc. Illumination optimization

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006350395A (ja) * 1994-09-16 2006-12-28 Renesas Technology Corp パタン形成方法及び集積回路の製造方法
JP2002334836A (ja) * 2001-02-23 2002-11-22 Asml Netherlands Bv 特定のマスク・パターンのための照明の最適化
JP2006079117A (ja) * 2001-02-27 2006-03-23 Asml Netherlands Bv サブ分解能アシストフューチャとしてグレーバーを使用する光近接補正方法
JP2003015273A (ja) * 2001-02-28 2003-01-15 Asml Masktools Bv 極端相互作用ピッチ領域を識別する方法、マスクパターンを設計する方法およびマスクを製造する方法、デバイス製造方法およびコンピュータプログラム
JP2002328459A (ja) * 2001-04-26 2002-11-15 Dainippon Printing Co Ltd ウエーハ転写検証方法
JP2003043666A (ja) * 2001-08-03 2003-02-13 Matsushita Electric Ind Co Ltd 回路設計パターンの評価方法
JP2003315975A (ja) * 2002-04-23 2003-11-06 Oki Electric Ind Co Ltd 位相シフトマスクおよびその設定方法並びにパターン形成装置
JP2003322945A (ja) * 2002-05-01 2003-11-14 Mitsubishi Electric Corp レイアウトパターンデータの補正装置
JP2004184633A (ja) * 2002-12-02 2004-07-02 Toshiba Corp フォトマスクの製造方法及び半導体装置の製造方法
JP2006512758A (ja) * 2002-12-30 2006-04-13 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ プロセス窓を最適化するリソグラフィ・パラメータの決定方法
JP2005026701A (ja) * 2003-06-30 2005-01-27 Asml Masktools Bv デバイスレイアウトを用いるna−シグマ露光設定および散乱バーopcの同時最適化の方法、プログラム製品、および装置
JP2006058452A (ja) * 2004-08-18 2006-03-02 Toshiba Corp マスクパターン補正方法、マスクパターン補正プログラム、フォトマスクの作製方法及び半導体装置の製造方法
JP2007164006A (ja) * 2005-12-16 2007-06-28 Sony Corp マスクパターン補正装置、マスクパターン補正プログラムおよび露光用マスクの製造方法
JP2008020734A (ja) * 2006-07-13 2008-01-31 Toshiba Corp 半導体装置の設計パターン作成方法、プログラム、及び半導体装置の製造方法
JP2007299017A (ja) * 2007-08-06 2007-11-15 Toshiba Corp マスクパターンの補正方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101794545B1 (ko) 2011-06-13 2017-11-08 삼성전자주식회사 3차원 프로세스 윈도우 조건의 생성 방법
US9570364B2 (en) 2014-08-19 2017-02-14 Samsung Electronics Co., Ltd. Method of detecting focus shift in lithography process, method of analyzing error of transferred pattern using the same and method of manufacturing semiconductor device using the methods
KR20190058683A (ko) * 2016-10-26 2019-05-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법
US10802408B2 (en) 2016-10-26 2020-10-13 Asml Netherlands B.V. Method for optimization of a lithographic process
KR102224672B1 (ko) * 2016-10-26 2021-03-08 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법
KR20220125373A (ko) * 2017-12-22 2022-09-14 에이에스엠엘 네델란즈 비.브이. 결함 확률에 기초한 프로세스 윈도우
KR102585064B1 (ko) * 2017-12-22 2023-10-05 에이에스엠엘 네델란즈 비.브이. 결함 확률에 기초한 프로세스 윈도우
US11822255B2 (en) 2017-12-22 2023-11-21 Asml Netherlands B.V. Process window based on defect probability

Also Published As

Publication number Publication date
CN101751502B (zh) 2014-04-09
US10310371B2 (en) 2019-06-04
NL2003699A (en) 2010-06-21
US9360766B2 (en) 2016-06-07
CN101751502A (zh) 2010-06-23
US20100162197A1 (en) 2010-06-24
JP5596969B2 (ja) 2014-10-01
US20160246168A1 (en) 2016-08-25

Similar Documents

Publication Publication Date Title
JP5596969B2 (ja) リソグラフィプロセスウィンドウ最大化光近接効果補正のための方法及びシステム
JP5191975B2 (ja) リソグラフィ較正のための方法
JP5016585B2 (ja) リソグラフィプロセスウィンドウをシミュレートするための方法及びシステム
US8542340B2 (en) Illumination optimization
JP5650626B2 (ja) リソグラフィプロセスの最適化方法
JP5666609B2 (ja) 光源及びマスクの最適化のためのパターン選択方法
JP5180359B2 (ja) 光源、マスクおよび投影光学系の最適化の流れ
US20220179321A1 (en) Method for determining pattern in a patterning process
KR101501580B1 (ko) 투영 광학기에 의한 광 조작을 포함하는 패턴-의존적 근접성 매칭/조율
JP5801831B2 (ja) 先進リソグラフィのためのレンズ加熱感知ソースマスク最適化
CN111213090A (zh) 图案化过程的优化流程
CN111512236A (zh) 涉及光学像差的图案化过程改进
TW202240280A (zh) 用於判定光罩圖案及訓練機器學習模型之方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120412

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120629

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120704

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120918

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131002

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140731

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140808

R150 Certificate of patent or registration of utility model

Ref document number: 5596969

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees