KR20220018050A - 모델 예측 불확실성을 감소시키기 위한 모델 교정을 위한 예측 데이터 선택 - Google Patents

모델 예측 불확실성을 감소시키기 위한 모델 교정을 위한 예측 데이터 선택 Download PDF

Info

Publication number
KR20220018050A
KR20220018050A KR1020227000816A KR20227000816A KR20220018050A KR 20220018050 A KR20220018050 A KR 20220018050A KR 1020227000816 A KR1020227000816 A KR 1020227000816A KR 20227000816 A KR20227000816 A KR 20227000816A KR 20220018050 A KR20220018050 A KR 20220018050A
Authority
KR
South Korea
Prior art keywords
prediction
data
model
predictive
pattern
Prior art date
Application number
KR1020227000816A
Other languages
English (en)
Inventor
레이 왕
이-인 첸
무 펭
치안 자오
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220018050A publication Critical patent/KR20220018050A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Auxiliary Devices For Music (AREA)
  • Inspection Of Paper Currency And Valuable Securities (AREA)
  • Information Retrieval, Db Structures And Fs Structures Therefor (AREA)

Abstract

패터닝 프로세스와 연관된 예측 모델에서 예측 불확실성을 감소시키기 위한 시스템 및 방법이 설명된다. 이들은 예를 들어 패터닝 프로세스와 연관된 프로세스 모델을 교정하는 데 사용될 수 있다. 예측 모델에서 불확실성을 감소시키는 것은 예측 데이터에 기초하여 예측 불확실성 파라미터를 결정하는 것을 포함할 수 있다. 예측 데이터는 예측 모델을 사용하여 결정될 수 있다. 예측 모델은 교정 데이터로 교정되었을 수 있다. 예측 불확실성 파라미터는 예측 데이터의 변동과 연관될 수 있다. 예측 모델에서 불확실성을 감소시키는 것은 예측 불확실성 파라미터에 기초하여 프로세스 데이터의 서브세트를 선택하는 것; 및 교정 데이터 및 프로세스 데이터의 선택된 서브세트를 사용하여 예측 모델을 재교정하는 것을 포함할 수 있다.

Description

모델 예측 불확실성을 감소시키기 위한 모델 교정을 위한 예측 데이터 선택
관련 출원들에 대한 상호 참조
본 출원은 2019년 7월 10일자로 출원되고 그 전체가 본 명세서에 참고로 포함되는 미국 출원 제62/872,521호의 우선권을 주장한다.
기술 분야
본 명세서에서의 설명은 일반적으로 반도체 제조 및 패터닝 프로세스들에 관한 것이다. 더 구체적으로, 본 설명은 패터닝 프로세스와 연관된 모델링에 관한 것이다.
리소그래피 투영 장치는, 예를 들어, 집적 회로들(IC들)의 제조에서 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별 층("설계 레이아웃")에 대응하는 패턴을 포함하거나 제공할 수 있고, 이 패턴은 패터닝 디바이스 상의 패턴을 통해 타겟 부분을 조사하는 것과 같은 방법들에 의해, 복사선 민감 재료("레지스트")의 층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟 부분(예를 들어, 하나 이상의 다이를 포함함) 상에 전사될 수 있다. 일반적으로, 단일 기판은 패턴이 리소그래피 투영 장치에 의해 한 번에 하나의 타겟 부분씩 연속적으로 전사되는 복수의 인접 타겟 부분을 포함한다. 일 타입의 리소그래피 투영 장치에서, 전체 패터닝 디바이스 상의 패턴은 하나의 동작에서 하나의 타겟 부분 상에 전사된다. 그러한 장치는 일반적으로 스텝퍼로 지칭된다. 일반적으로 스텝-앤-스캔 장치로 지칭되는 대안적인 장치에서는, 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 동시에 기판이 이 기준 방향에 평행하게 또는 역평행하게 이동한다. 패터닝 디바이스 상의 패턴의 상이한 부분들은 점진적으로 하나의 타겟 부분에 전사된다. 일반적으로, 리소그래피 투영 장치는 감소 비율 M(예를 들어, 4)을 가질 것이기 때문에, 기판이 이동되는 속도 F는 투영 빔이 패터닝 디바이스를 스캐닝하는 속도의 1/M배일 것이다. 리소그래피 디바이스들에 관한 더 많은 정보는, 예를 들어, 본 명세서에 참고로 포함되는 US6,046,792에서 발견될 수 있다.
패터닝 디바이스로부터 기판으로 패턴을 전사하기 전에, 기판은 프라이밍(priming), 레지스트 코팅 및 소프트 베이크와 같은 다양한 절차들을 겪을 수 있다. 노광 후에, 기판은 노광 후 베이크(post-exposure bake)(PEB), 현상, 하드 베이크, 및 전사된 패턴의 측정/검사와 같은 다른 절차들("노광 후 절차들")을 겪을 수 있다. 이러한 절차들의 어레이는 디바이스, 예를 들어 IC의 개별 층을 형성하기 위한 기초로서 사용된다. 다음으로, 기판은 에칭, 이온 주입(도핑), 금속화, 산화, 화학-기계적 연마 등과 같은 다양한 프로세스들을 겪을 수 있고, 이들 모두는 디바이스의 개별 층을 마무리하도록 의도된다. 디바이스에서 수개의 층이 요구되는 경우, 전체 절차 또는 그 변형이 각각의 층에 대해 반복된다. 결국, 디바이스는 기판 상의 각각의 타겟 부분에 존재할 것이다. 다음으로, 이러한 디바이스들은 다이싱 또는 소잉과 같은 기술에 의해 서로 분리될 수 있고, 따라서 개별 디바이스들은 캐리어 상에 장착되고, 핀들에 연결되고, 기타 등등일 수 있다.
따라서, 반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처들 및 다수의 층을 형성하기 위해 다수의 제조 프로세스를 사용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 그러한 층들 및 피처들은 통상적으로 예를 들어 퇴적, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 사용하여 제조되고 처리된다. 다수의 디바이스는 기판 상의 복수의 다이 상에 제조된 다음, 개별 디바이스들로 분리될 수 있다. 이러한 디바이스 제조 프로세스는 패터닝 프로세스로 간주될 수 있다. 패터닝 프로세스는 패터닝 디바이스 상의 패턴을 기판에 전사하기 위해 리소그래피 장치 내의 패터닝 디바이스를 사용하는 광학 및/또는 나노임프린트 리소그래피와 같은 패터닝 단계를 수반하고, 통상적으로 그러나 선택적으로, 현상 장치에 의한 레지스트 현상, 베이크 도구를 사용하는 기판의 베이킹, 에칭 장치를 사용하고 패턴을 사용하는 에칭 등과 같은 하나 이상의 관련된 패턴 처리 단계를 수반한다.
언급되는 바와 같이, 리소그래피는 기판들 상에 형성된 패턴들이 마이크로프로세서들, 메모리 칩들 등과 같은 디바이스들의 기능 요소들을 정의하는 IC들과 같은 디바이스의 제조에서의 중심 단계이다. 유사한 리소그래피 기술들이 또한 평면 패널 디스플레이들, 마이크로-전기 기계 시스템들(MEMS) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 프로세스들이 계속 진보함에 따라, 기능 요소들의 치수들이 계속 감소되었다. 동시에, 디바이스당 트랜지스터들과 같은 기능 요소들의 수는 일반적으로 "무어의 법칙"이라고 하는 트렌드를 따라 꾸준히 증가하였다. 현재의 기술 상태에서, 디바이스들의 층들은 심자외선 조명 소스로부터의 조명을 사용하여 기판 상에 설계 레이아웃을 투영하여 100nm 훨씬 아래의, 즉 조명 소스(예를 들어, 193nm 조명 소스)로부터의 복사선의 파장의 절반 미만의 치수들을 갖는 개별 기능 요소들을 생성하는 리소그래피 투영 장치들을 사용하여 제조된다.
리소그래피 투영 장치의 고전적인 해상도 한계보다 작은 치수들을 갖는 피처들이 인쇄되는 이러한 프로세스는 일반적으로 해상도 공식 CD = k1×λ/NA에 따르는 로우-k1 리소그래피로서 알려져 있고, 여기서 λ는 사용되는 복사선의 파장(현재 대부분의 경우들에서 248nm 또는 193nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학계(projection optics)의 개구수이고, CD는 "임계 치수(critical dimension)"(일반적으로, 인쇄되는 가장 작은 피처 크기)이고, k1은 경험적 해상도 팩터이다. 일반적으로, k1이 작을수록, 특정 전기적 기능 및 성능을 달성하기 위해 설계자에 의해 계획된 형상 및 치수들을 닮은 패턴을 기판 상에 재생하는 것이 더 어려워진다. 이러한 어려움들을 극복하기 위해, 정교한 미세 조정 단계들이 리소그래피 투영 장치, 설계 레이아웃, 또는 패터닝 디바이스에 적용된다. 이들은 예를 들어 NA 및 광학 코히어런스 설정들의 최적화, 맞춤화된 조명 스킴들, 위상 시프팅 패터닝 디바이스들의 사용, 설계 레이아웃에서의 광학 근접 보정(OPC, 때때로 "광학 및 프로세스 보정"이라고도 함), 또는 "해상도 향상 기술들"(RET)로서 일반적으로 정의되는 다른 방법들을 포함하지만 이에 한정되지 않는다.
OPC 및 다른 RET는 리소그래피 프로세스를 설명하는 강건한 전자 모델들을 이용한다. 따라서, 프로세스 윈도우에 걸쳐 유효하고 강건하고 정확한 모델들을 제공하는 그러한 리소그래피 모델들에 대한 교정 절차들이 요구된다. 현재, 교정은 웨이퍼 측정들과 함께 특정 수의 1차원 및/또는 2차원 게이지 패턴들을 사용하여 행해진다. 더 구체적으로는, 1차원 게이지 패턴들은 가변 피치 및 임계 치수(CD), 격리된 라인들, 다수의 라인 등을 갖는 라인-공간 패턴들을 포함한다. 2차원 게이지 패턴들은 통상적으로 라인-단부들, 콘택들, 및 무작위로 선택된 SRAM(Static Random Access Memory) 패턴들을 포함한다.
요약
일 실시예에 따르면, 패터닝 프로세스와 연관된 예측 모델에서 예측 불확실성을 감소시키기 위한 방법이 제공된다. 방법은 예측 데이터에 기초하여 예측 불확실성 파라미터를 결정하는 단계를 포함한다. 예측 데이터는 패터닝 프로세스와 연관된 예측 모델을 사용하여 결정된다. 예측 모델은 교정 데이터로 교정된다. 예측 불확실성 파라미터는 예측 데이터의 변동과 연관된다. 방법은 예측 불확실성 파라미터에 기초하여 패터닝 프로세스 데이터의 서브세트를 선택하는 단계; 및 교정 데이터 및 패터닝 프로세스 데이터의 선택된 서브세트를 사용하여 예측 모델을 재교정하는 단계를 포함한다.
일 실시예에서, 방법은 예측 모델이 수렴할 때까지 예측 데이터를 결정하는 단계, 예측 불확실성 파라미터를 결정하는 단계, 선택하는 단계, 및 재교정하는 단계를 반복적으로 반복하는 단계를 포함한다. 일 실시예에서, 모델 수렴은 모델 에러가 모델 에러 임계 레벨을 위반하는 것을 포함한다. 모델 에러는 기준 기하구조와 예측 모델에 의한 패터닝 프로세스의 시뮬레이션으로부터 생성된 시뮬레이션된 기하구조 사이의 차이이다. 일 실시예에서, 기준 기하구조는 스캐닝 전자 현미경으로부터의 측정된 기하구조이다.
일 실시예에서, 교정 데이터는 교정 패턴을 포함한다. 교정 패턴은 기판(예를 들어, 웨이퍼 또는 다른 기판들의 층) 상의 패턴의 기하학적 피처들과 연관된다. 일 실시예에서, 예측 데이터는 예측 패턴을 포함한다. 예측 패턴은 기판 상의 패턴의 예측된 기하학적 피처들과 연관된다.
일 실시예에서, 예측 불확실성 파라미터는 기판의 임계 치수의 값; 패터닝 프로세스의 패턴과 연관된 곡률; 패터닝 프로세스에서 사용되는 강도; 및 패터닝 프로세스의 패턴과 연관된 이미지 기울기 중 적어도 하나와 연관된다.
일 실시예에서, 예측 데이터는 예측 패턴 파라미터 값들을 포함한다. 예측 불확실성 파라미터는 예측 패턴 파라미터 값들의 변동과 연관된다. 예측 불확실성 파라미터는 예측 패턴 파라미터 값들의 변동 및 예측 모델과 연관된 피팅 요건들에 기초하여 결정된다. 비제한적인 예로서, 예측 데이터는 예측 임계 치수 값들을 포함할 수 있다. 예측 불확실성 파라미터는 임계 치수 변동을 포함할 수 있다. 임계 치수 변동은 예측 임계 치수 값들의 변동 및 예측 모델과 연관된 피팅 요건들에 기초하여 결정될 수 있다.
일 실시예에서, 예측 불확실성 파라미터는 개별 패터닝 프로세스 데이터 포인트들의 랭킹을 포함한다. 일 실시예에서, 예측 불확실성 파라미터는 개별 패터닝 프로세스 데이터 포인트들의 최대 수를 포함한다.
일 실시예에서, 예측 모델은 기계 학습 모델이고, 예측 모델을 교정하는 단계는 기계 학습 모델을 훈련하는 단계를 포함한다.
일 실시예에서, 교정 데이터 및 패터닝 프로세스 데이터의 선택된 서브세트를 사용하여 예측 모델을 재교정하는 단계는 예측 모델로부터의 예측들에서의 예측 불확실성을 감소시킨다.
다른 실시예에 따르면, 패터닝 프로세스와 연관된 예측 모델에서 예측 불확실성을 감소시키기 위한 방법이 제공된다. 방법은 예측 모델을 사용하여 예측 데이터를 결정하는 단계를 포함한다. 예측 모델은 교정 데이터로 교정된다. 방법은 예측 데이터에 기초하여 예측 불확실성 파라미터를 결정하는 단계를 포함한다. 예측 불확실성 파라미터는 예측 데이터의 변동과 연관된다. 방법은 예측 불확실성 파라미터에 기초하여 패터닝 프로세스 데이터의 서브세트를 선택하는 단계, 및 교정 데이터 및 패터닝 프로세스 데이터의 선택된 서브세트를 사용하여 예측 모델을 재교정하는 단계를 포함한다. 방법은 재교정된 예측 모델로부터의 예측들에 기초하여 하나 이상의 반도체 디바이스 제조 프로세스 파라미터를 결정하는 단계를 포함한다.
일 실시예에서, 방법은 예측 모델이 수렴할 때까지 예측 데이터를 결정하는 단계, 예측 불확실성 파라미터를 결정하는 단계, 선택하는 단계, 및 재교정하는 단계를 반복적으로 반복하는 단계를 포함한다. 일 실시예에서, 모델 수렴은 모델 에러가 모델 에러 임계 레벨을 위반하는 것을 포함한다. 모델 에러는 기준 기하구조와 예측 모델에 의한 패터닝 프로세스의 시뮬레이션으로부터 생성된 시뮬레이션된 기하구조 사이의 차이이다. 일 실시예에서, 기준 기하구조는 스캐닝 전자 현미경으로부터의 측정된 기하구조가다.
일 실시예에서, 예측 불확실성 파라미터는, 기판(예를 들어, 웨이퍼 또는 다른 기판들의 층)의 임계 치수의 값; 패터닝 프로세스의 패턴과 연관된 곡률; 패터닝 프로세스에서 사용되는 강도; 및 패터닝 프로세스의 패턴과 연관된 이미지 기울기 중 적어도 하나와 연관된다. 모델을 재교정하는 단계는 예측 불확실성 파라미터에 기초하여 선택된 패턴들을 사용한다.
일 실시예에서, 하나 이상의 결정된 반도체 디바이스 제조 프로세스 파라미터는 마스크 설계, 동공 형상, 도스(dose) 또는 포커스 중 하나 이상을 포함한다.
일 실시예에서, 하나 이상의 결정된 반도체 디바이스 제조 프로세스 파라미터는 마스크 설계를 포함하고, 방법은 재교정된 예측 모델로부터의 예측들에 기초하여 마스크 설계를 제1 마스크 설계로부터 제2 마스크 설계로 조정하는 단계를 더 포함한다.
일 실시예에서, 방법은 하나 이상의 결정된 반도체 디바이스 제조 파라미터에 기초하여 반도체 디바이스 제조 장치에 대한 조정을 결정하는 단계를 더 포함한다. 일 실시예에서, 방법은 하나 이상의 결정된 반도체 디바이스 제조 파라미터에 기초하여 반도체 디바이스 제조 프로세스에 대한 조정을 결정하는 단계를 포함한다. 일 실시예에서, 방법은 결정된 조정에 기초하여 반도체 디바이스 제조 장치를 조정하는 단계를 포함한다.
일 실시예에서, 교정 데이터는 교정 패턴을 포함한다. 교정 패턴은 기판(예를 들어, 웨이퍼 또는 다른 기판들의 층) 상의 패턴의 기하학적 피처들과 연관된다. 예측 데이터는 예측 패턴을 포함한다. 예측 패턴은 기판 상의 패턴의 예측된 기하학적 피처들과 연관된다.
다른 실시예에 따르면, 명령어들이 기록되어 있는 비일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품이 제공된다. 명령어들은 컴퓨터에 의해 실행될 때 전술한 동작들을 구현한다.
본 명세서에 포함되고 그 일부를 구성하는 첨부 도면들은 하나 이상의 실시예들을 예시하고, 설명과 함께, 이 실시예들을 설명한다. 본 발명의 실시예들은 이제 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략도들을 참조하여 단지 예로서 설명될 것이다.
도 1은 일 실시예에 따른 리소그래피 투영 장치의 다양한 서브시스템들의 블록도를 예시한다.
도 2는 일 실시예에 따른 리소그래피 투영 장치에서 리소그래피를 시뮬레이션하기 위한 예시적인 흐름도를 예시한다.
도 3은 일 실시예에 따른 교정된 모델 입력 대 모델 출력의 플롯들을 예시한다.
도 4는 일 실시예에 따른 본 방법을 예시한다.
도 5는 일 실시예에 따른 예측 데이터의 서브세트를 선택하는 것을 예시한다.
도 6은 일 실시예에 따른 예측 불확실성 파라미터를 결정하고, 결정된 예측 불확실성 파라미터에 기초하여 (예를 들어, 재교정에 사용하기 위한) 예측 데이터의 서브세트를 선택하는 예를 예시한다.
도 7은 일 실시예에 따른 후보 예측 모델들의 세트(예를 들어, 예측 데이터 및 교정 데이터를 피팅하는 예측 모델의 변형들)에 의해 생성되는 예측 데이터의 서브세트를 선택하고, 예측 모델들을 재교정(또는 재훈련)하기 위해 예측 데이터의 선택된 서브세트를 후보 예측 모델들에 제공하는 것을 예시한다.
도 8은 일 실시예에 따른 예시적인 컴퓨터 시스템의 블록도이다.
도 9는 일 실시예에 따른 리소그래피 투영 장치의 개략도이다.
도 10은 일 실시예에 따른 다른 리소그래피 투영 장치의 개략도이다.
도 11은 일 실시예에 따른 리소그래피 투영 장치의 상세도이다.
도 12는 일 실시예에 따른 리소그래피 투영 장치의 소스 수집기 모듈의 상세도이다.
도 13은 일 실시예에 따른 전자 빔 검사 장치의 일 실시예를 개략적으로 도시한다.
도 14는 일 실시예에 따른 검사 장치의 다른 실시예를 개략적으로 예시한다.
종래의 전자 예측(프로세스) 모델들의 경우, 모델에 의해 행해진 예측들의 확실성은 명확하지 않다. 즉, 입력이 주어지면, 이전의 모델들이 정확하고 일관된 출력을 생성하는지는 명확하지 않다. 정확하고 일관된 출력을 생성하는 예측(프로세스) 모델들은 집적 회로 제조 프로세스에서 중요하다. 비제한적인 예로서, 마스크 레이아웃 설계로부터 마스크 레이아웃을 생성할 때, 모델의 예측들에 관한 불확실성들은 제안된 마스크 레이아웃에서 불확실성들을 생성할 수 있다. 이러한 불확실성들은 예를 들어 웨이퍼의 궁극적인 기능에 관한 의문들을 초래할 수 있다. 프로세스에서 개별 동작들에 관한 예측들을 모델링하거나 수행하기 위해 모델이 사용될 때마다 집적 회로 제조 프로세스에 더 많은 불확실성이 유발될 수 있다.
이전의 예측 모델들의 이들 및 다른 단점들을 해결하기 위해, 본 방법(들) 및 시스템(들)은 패터닝 프로세스와 연관된 예측 모델에서 예측 불확실성을 감소시키기 위한 방법(및/또는 예측 불확실성을 감소시키도록 구성된 시스템)을 포함한다. 방법은 예측 데이터에 기초하여 예측 불확실성 파라미터를 결정하는 단계를 포함한다. 예측 데이터는 패터닝 프로세스와 연관된 예측 모델(교정 데이터를 사용하여 교정됨)을 사용하여 결정된다. 예측 불확실성 파라미터는 예측 데이터의 변동과 연관된다. 방법은 예측 불확실성 파라미터에 기초하여 패터닝 프로세스 데이터의 서브세트(예를 들어, 주어진 패턴과 연관된 물리적 웨이퍼에 대해 이루어진 측정들)를 선택하는 단계; 및 교정 데이터 및 패터닝 프로세스 데이터의 선택된 서브세트를 사용하여 예측 모델을 재교정하는 단계를 포함한다. 달리 말하면, 예측 데이터는 예측 모델로부터의 시뮬레이션 데이터일 수 있다. 예측 데이터는 예측 불확실성 파라미터를 결정하는 데 사용될 수 있다. 예측 불확실성의 평가(예를 들어, 예측 불확실성 파라미터의 결정) 후에, 높은 예측 불확실성을 갖는 패터닝 프로세스 데이터가 (예를 들어, 예측 불확실성 파라미터에 기초하여) 선택될 수 있다. 예측 불확실성은, 예를 들어, 높은 예측 불확실성을 갖는 패턴들(및 그 패턴들과 연관된 패터닝 프로세스 데이터)이 예측 불확실성 파라미터에 기초하여 훈련 또는 교정을 위해 선택될 수 있도록 특정 패턴들과 연관될 수 있다. 선택된 패터닝 프로세스 데이터는 예측 모델을 재교정하기 위해 교정 데이터와 결합된다. 예측 모델을 재교정하기 위해 프로세스 데이터 및 교정 데이터의 선택된 서브세트를 사용하는 것은 예측 모델로부터의 예측들에서 예측 불확실성을 감소시킨다. 방법은 반복적으로 반복되어, 예를 들어 예측 모델이 수렴할 때까지 반복마다 예측 불확실성을 감소시킬 수 있다.
본 개시는 이제 이 분야의 기술자들이 본 개시를 실시할 수 있게 하기 위해 본 개시의 예시적인 예들로서 제공되는 도면들을 참조하여 상세히 설명될 것이다. 특히, 이하의 도면들 및 예들은 본 개시의 범위를 단일 실시예로 제한하려는 것이 아니고, 다른 실시예들이 설명되거나 예시된 요소들 중 일부 또는 전부의 교환에 의해 가능하다. 더욱이, 본 개시의 특정 요소들이 공지된 컴포넌트들을 사용하여 부분적으로 또는 완전히 구현될 수 있는 경우, 본 개시의 이해를 위해 필요한 그러한 공지된 컴포넌트들의 부분들만이 설명될 것이고, 그러한 공지된 컴포넌트들의 다른 부분들의 상세한 설명들은 본 개시를 모호하게 하지 않기 위해 생략될 것이다. 이 분야의 기술자들에게 명백한 바와 같이, 본 명세서에서 달리 특정되지 않는 한, 소프트웨어로 구현되는 것으로서 설명된 실시예들은 이에 제한되어서는 안 되고, 하드웨어, 또는 소프트웨어와 하드웨어의 조합들로 구현된 실시예들을 포함할 수 있고, 그 반대도 가능하다. 본 명세서에서, 단수의 컴포넌트를 보여주는 실시예는 제한적인 것으로 간주되어서는 안 되고; 오히려, 본 개시는 본 명세서에서 달리 명시적으로 언급되지 않는 한 복수의 동일한 컴포넌트를 포함하는 다른 실시예들을 포함하는 것으로 의도되고, 그 반대도 가능하다. 더욱이, 본 출원인들은 본 명세서 또는 청구항들에서의 임의의 용어가 명시적으로 그와 같이 언급되지 않는 한 비공통적인 또는 특별한 의미로 간주되는 것으로 의도하지 않는다. 또한, 본 개시는 본 명세서에서 예시로서 언급되는 공지된 컴포넌트들에 대한 현재 및 미래의 공지된 균등물들을 포함한다.
본 명세서에서는 IC들의 제조에 대한 구체적인 참조가 이루어질 수 있지만, 본 명세서에서의 설명은 많은 다른 가능한 응용들을 갖는다는 점이 명확히 이해되어야 한다. 예를 들어, 그것은 통합된 광학 시스템들, 자기 도메인 메모리들에 대한 안내 및 검출 패턴들, 액정 디스플레이 패널들, 박막 자기 헤드들 등의 제조에 이용될 수 있다. 기술자는, 이러한 대안적인 응용들의 맥락에서, 본 명세서에서의 "레티클", "웨이퍼" 또는 "다이"라는 용어들의 임의의 사용이 각각 "마스크", "기판" 및 "타겟 부분"이라는 더 일반적인 용어들과 상호 교환가능한 것으로서 간주되어야 한다는 것을 이해할 것이다.
본 명세서에서, "복사선" 및 "빔"이라는 용어는 자외선 복사선(예를 들어, 365, 248, 193, 157 또는 126nm의 파장을 가집) 및 EUV(예를 들어, 약 5-100nm 범위의 파장을 갖는 극자외선 복사선)를 포함하는 모든 타입의 전자기 복사선을 포함하기 위해 사용된다.
본 명세서에서 사용될 때, "투영 광학계"라는 용어는 예를 들어 굴절 광학계, 반사 광학계, 개구 및 반사 굴절 광학계를 포함하는 다양한 타입의 광학 시스템을 포함하는 것으로 넓게 해석되어야 한다. "투영 광학계"라는 용어는 또한 복사선의 투영 빔을 지향, 성형 또는 제어하기 위해 임의의 이러한 설계 타입에 따라 집합적으로 또는 단독으로 동작하는 컴포넌트들을 포함할 수 있다. 투영 광학계"라는 용어는 광학 컴포넌트가 리소그래피 투영 장치의 광 경로 상에 위치하는지에 관계없이 리소그래피 투영 장치 내의 임의의 광학 컴포넌트를 포함할 수 있다. 투영 광학계는 복사선이 (예로서, 반도체) 패터닝 디바이스를 통과하기 전에 소스로부터의 복사선을 성형, 조정 및/또는 투영하기 위한 광학 컴포넌트들, 및/또는 복사선이 패터닝 디바이스를 통과한 후에 복사선을 성형, 조정 및/또는 투영하기 위한 광학 컴포넌트들을 포함할 수 있다. 투영 광학계는 일반적으로 소스 및 패터닝 디바이스를 포함하지 않는다.
(예를 들어, 반도체) 패터닝 디바이스는 하나 이상의 설계 레이아웃들을 포함할 수 있거나 형성할 수 있다. 설계 레이아웃은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있고, 이러한 프로세스는 종종 EDA(electronic design automation)라고 한다. 대부분의 CAD 프로그램들은 기능적 설계 레이아웃들/패터닝 디바이스들을 생성하기 위해 미리 결정된 설계 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 설계 제한들에 의해 설정된다. 예를 들어, 설계 규칙들은 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않는 것을 보장하도록, 디바이스들(예컨대, 게이트들, 커패시터들 등) 또는 상호연결 라인들 사이의 공간 허용오차를 정의한다. 설계 규칙들은 특정 파라미터들, 파라미터들에 대한 제한들 및/또는 범위들, 및/또는 다른 정보를 포함하고/하거나 특정할 수 있다. 설계 규칙 제한들 및/또는 파라미터들 중 하나 이상은 "임계 치수"(CD)로 지칭될 수 있다. 디바이스의 임계 치수는 라인 또는 홀의 최소 폭, 또는 2개의 라인들 또는 2개의 홀들 또는 다른 피처들 사이의 최소 공간으로서 정의될 수 있다. 따라서, CD는 설계된 디바이스의 전체 크기 및 밀도를 결정한다. 디바이스 제조에서의 목표들 중 하나는 기판 상의 원래의 설계 의도를 (패터닝 디바이스를 통해) 충실하게 재현하는 것이다.
본 명세서에서 이용되는 바와 같은 "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟 부분에 생성될 패턴에 대응하는 패터닝된 단면을 유입 복사선 빔에 부여하기 위해 사용될 수 있는 일반적인 반도체 패터닝 디바이스를 지칭하는 것으로서 넓게 해석될 수 있고; "광 밸브"라는 용어는 이러한 맥락에서 또한 사용될 수 있다. 고전적인 마스크(투과형 또는 반사형; 바이너리, 위상-시프팅, 하이브리드 등) 외에도, 다른 그러한 패터닝 디바이스들의 예들은 프로그래밍 가능한 미러 어레이 및 프로그래밍 가능한 LCD 어레이를 포함한다.
프로그래밍 가능한 미러 어레이의 예는 점탄성 제어 층 및 반사 표면을 갖는 매트릭스-어드레싱가능한 표면일 수 있다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들이 입사 복사선을 회절된 복사선으로서 반사하는 반면, 어드레싱되지 않은 영역들이 입사 복사선을 회절되지 않은 복사선으로서 반사한다는 것이다. 적절한 필터를 사용하여, 상기 회절되지 않은 복사선을 반사된 빔으로부터 필터링하여, 회절된 복사선만을 남기며; 이러한 방식으로, 빔은 매트릭스-어드레싱가능한 표면의 어드레싱 패턴에 따라 패터닝된다. 요구되는 매트릭스 어드레싱은 적절한 전자적 수단들 사용하여 수행될 수 있다. 프로그래밍 가능한 LCD 어레이의 예가 본 명세서에 참고로 포함되는 미국 특허 제5,229,872호에 주어진다.
본 명세서에서 사용될 때, "패터닝 프로세스"라는 용어는 일반적으로 리소그래피 프로세스의 일부로서 광의 특정된 패턴들의 적용에 의해 에칭된 기판을 생성하는 프로세스를 의미한다. 그러나, "패터닝 프로세스"는 또한 플라즈마 에칭을 포함할 수 있는데, 이는 본 명세서에 설명된 피처들 중 다수가 플라즈마 처리를 사용하여 인쇄 패턴들을 형성하는 것에 이익들을 제공할 수 있기 때문이다.
본 명세서에서 사용될 때, 용어 "타겟 패턴"은 기판 상에 에칭될 이상적인 패턴을 의미한다.
본 명세서에서 사용될 때, "인쇄 패턴"이라는 용어는 타겟 패턴에 기초하여 에칭된 기판 상의 물리적 패턴을 의미한다. 인쇄 패턴은 예를 들어 리소그래피 프로세스로부터 생성되는 트로프(trough), 채널, 함몰부, 에지 또는 다른 2차원 및 3차원 피처를 포함할 수 있다.
본 명세서에서 사용될 때, (상호 교환가능하게 사용될 수 있는) 용어 "예측 모델" 및/또는 "프로세스 모델"은 패터닝 프로세스를 시뮬레이션하는 하나 이상의 모델을 포함하는 모델을 의미한다. 예를 들어, 예측 및/또는 프로세스 모델은 (예를 들어, 리소그래피 프로세스에서 광을 전달하는 데 사용되는 렌즈 시스템/투영 시스템을 모델링하고, 포토레지스트 상으로 가는 광의 최종 광학 이미지를 모델링하는 것을 포함할 수 있는) 광학 모델, (예를 들어, 광으로 인한 화학적 효과와 같은 레지스트의 물리적 효과를 모델링하는) 레지스트 모델, 및 (예를 들어, 타겟 패턴을 형성하는 데 사용될 수 있고 하위-해상도 레지스트 피처(SRAF) 등을 포함할 수 있는) OPC 모델, 및/또는 다른 모델을 포함할 수 있다.
본 명세서에서 사용될 때, "교정"이라는 용어는 프로세스 모델과 같은 무언가를 수정(예를 들어, 개선 또는 튜닝) 및/또는 검증하는 것을 의미한다.
서론으로서, 도 1은 예시적인 리소그래피 투영 장치(10A)의 다양한 서브시스템들의 도면을 예시한다. 주요 컴포넌트들은 심자외선 엑시머 레이저 소스 또는 극자외선(EUV) 소스를 포함하는 다른 타입의 소스일 수 있는 복사선 소스(12A)(위에서 논의된 바와 같이, 리소그래피 투영 장치 자체는 복사선 소스를 가질 필요가 없음), 예를 들어 부분 코히어런스(시그마로서 표시됨)를 정의하고, 소스(12A)로부터의 복사선을 성형하는 광학계들(14A, 16Aa, 16Ab)을 포함할 수 있는 조명 광학계; 패터닝 디바이스(18A); 및 패터닝 디바이스 패턴의 이미지를 기판 평면(22A) 상에 투영하는 투과 광학계(16Ac)이다. 투영 광학계의 동공 평면에서의 조정가능한 필터 또는 개구(20A)는 기판 평면(22A) 상에 충돌하는 빔 각도들의 범위를 제한할 수 있고, 가장 큰 가능한 각도는 투영 광학계의 개구수 NA= n sin(θmax)를 정의하고, 여기서 n은 기판과 투영 광학계의 마지막 요소 사이의 매질의 굴절률이고, θmax는 기판 평면(22A) 상에 여전히 충돌할 수 있는 투영 광학계로부터 나오는 빔의 최대 각도이다.
리소그래피 투영 장치에서, 소스는 조명(즉, 복사선)을 패터닝 디바이스에 제공하고, 투영 광학계는 조명을 패터닝 디바이스를 통해 기판 상에 지향시키고 성형한다. 투영 광학계는 컴포넌트들(14A, 16Aa, 16Ab, 16Ac) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 복사선 강도 분포이다. 레지스트 모델은 에어리얼 이미지로부터 레지스트 이미지를 계산하는 데 사용될 수 있고, 그 예는 본 명세서에 그 전체가 참고로 포함되는 미국 특허 출원 공개 번호 US 2009-0157630에서 발견될 수 있다. 레지스트 모델은 레지스트 층의 속성들(예를 들어, 노광, 노광 후 베이크(PEB) 및 현상 동안 발생하는 화학 프로세스들의 효과들)과 관련된다. 리소그래피 투영 장치의 광학 속성들(예를 들어, 조명, 패터닝 디바이스 및 투영 광학계의 속성들)은 에어리얼 이미지를 지시하고, 광학 모델에서 정의될 수 있다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스가 변경될 수 있기 때문에, 적어도 소스 및 투영 광학계를 포함하는 리소그래피 투영 장치의 나머지의 광학 속성들로부터 패터닝 디바이스의 광학 속성들을 분리하는 것이 바람직하다. 설계 레이아웃을 다양한 리소그래피 이미지들(예를 들어, 에어리얼 이미지, 레지스트 이미지 등)로 변환하고, 기술들 및 모델들을 사용하여 OPC를 적용하고, (예를 들어, 프로세스 윈도우의 관점에서) 성능을 평가하는 데 사용되는 그러한 기술들 및 모델들의 상세들은 미국 특허 출원 공개 번호 US 2008-0301620, 2007-0050749, 2007-0031745, 2008-0309897, 2010-0162197, 및 2010-0180251에 설명되어 있고, 그 각각의 개시는 본 명세서에 그 전체가 참고로 포함된다.
예를 들어 패터닝 프로세스의 설계, 제어, 모니터링 등에 사용될 수 있는 결과들을 생성하기 위해 하나 이상의 도구를 사용하는 것이 바람직할 수 있다. 패터닝 디바이스를 위한 패턴 설계(예를 들어, 하위-해상도 보조 피처들 또는 광학적 근접 보정들을 추가하는 것을 포함함), 패터닝 디바이스를 위한 조명 등과 같은 패터닝 프로세스의 하나 이상의 양태를 계산적으로 제어, 설계하는 것 등에 사용되는 하나 이상의 도구가 제공될 수 있다. 따라서, 패터닝을 수반하는 제조 프로세스를 계산적으로 제어, 설계하는 것 등을 위한 시스템에서, 제조 시스템 컴포넌트들 및/또는 프로세스들은 다양한 기능 모듈들 및/또는 모델들에 의해 설명될 수 있다. 일부 실시예들에서, 패터닝 프로세스의 하나 이상의 단계 및/또는 장치를 설명하는 하나 이상의 전자적(예를 들어, 수학적, 파라미터화된 등) 모델이 제공될 수 있다. 일부 실시예들에서, 패터닝 프로세스의 시뮬레이션은 패터닝 프로세스가 패터닝 디바이스에 의해 제공되는 설계 패턴을 사용하여 패터닝된 기판을 어떻게 형성하는지를 시뮬레이션하기 위해 하나 이상의 전자 모델을 사용하여 수행될 수 있다.
리소그래피 투영 장치에서 리소그래피를 시뮬레이션하기 위한 예시적인 흐름도가 도 2에 도시되어 있다. 조명 모델(31)은 조명의 광학 특성들(복사선 강도 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학계 모델(32)은 투영 광학계의 광학 특성들(투영 광학계에 의해 야기된 복사선 강도 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 설계 레이아웃 모델(35)은 패터닝 디바이스 상의 또는 패터닝 디바이스에 의해 형성된 피처들의 배열의 표현인 설계 레이아웃의 광학 특성들(주어진 설계 레이아웃에 의해 야기된 복사선 강도 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 에어리얼 이미지(36)는 조명 모델(31), 투영 광학계 모델(32) 및 설계 레이아웃 모델(35)을 사용하여 시뮬레이션될 수 있다. 레지스트 이미지(38)는 레지스트 모델(37)을 사용하여 에어리얼 이미지(36)로부터 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어, 레지스트 이미지 내의 윤곽들 및/또는 CD 들을 예측할 수 있다.
더 구체적으로, 조명 모델(31)은 NA-시그마(σ) 설정들은 물론, 임의의 특정 조명 형상(예로서, 고리형, 사중극, 쌍극 등과 같은 축외 조명)을 포함하지만 이에 한정되지 않는 조명의 광학 특성들을 나타낼 수 있다. 투영 광학계 모델(32)은 예를 들어 수차, 왜곡, 굴절률, 물리적 크기 또는 치수 등을 포함하는 투영 광학계의 광학 특성들을 나타낼 수 있다. 설계 레이아웃 모델(35)은 또한 예를 들어, 그 전체가 참고로 포함되는 미국 특허 제7,587,704호에 설명된 바와 같은 물리적 패터닝 디바이스의 하나 이상의 물리적 속성을 나타낼 수 있다. 리소그래피 투영 장치와 연관된 광학 속성들(예로서, 조명, 패터닝 디바이스 및 투영 광학계의 속성들)은 에어리얼 이미지를 지시한다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스가 변경될 수 있으므로, 패터닝 디바이스의 광학 속성들을 적어도 조명 및 투영 광학계(따라서, 설계 레이아웃 모델(35))를 포함하는 리소그래피 투영 장치의 나머지의 광학 속성들로부터 분리하는 것이 바람직하다.
레지스트 모델(37)은 에어리얼 이미지로부터 레지스트 이미지를 계산하는 데 사용될 수 있고, 그 예는 미국 특허 제8,200,468호에서 발견될 수 있으며, 이에 따라 그 전체가 본 명세서에 참고로 포함된다. 레지스트 모델은 통상적으로 레지스트 층의 속성들(예를 들어, 노광, 노광 후 베이크 및/또는 현상 동안 발생하는 화학적 프로세스들의 효과들)에 관련된다.
시뮬레이션의 목적은, 예를 들어, 에지 배치들, 에어리얼 이미지 강도 기울기들 및/또는 CD들을 정확하게 예측하는 것이며, 이들은 이어서 의도된 설계와 비교될 수 있다. 의도된 설계는 일반적으로 GDSII, OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 프리-0PC 설계 레이아웃으로서 정의된다.
설계 레이아웃으로부터, "클립들"이라고 하는 하나 이상의 부분들이 식별될 수 있다. 일 실시예에서, 설계 레이아웃에서 복잡한 패턴들을 나타내는 클립들의 세트(임의의 수의 클립들이 사용될 수 있지만, 통상적으로 약 50 내지 1000개의 클립들)가 추출된다. 이 분야의 기술자들에 의해 이해되는 바와 같이, 이러한 패턴들 또는 클립들은 설계의 작은 부분들(예를 들어, 회로들, 셀들 등)을 나타내고, 특히 클립들은 특정한 주의 및/또는 검증이 필요한 작은 부분들을 나타낸다. 바꾸어 말하면, 클립들은 설계 레이아웃의 부분들일 수 있거나, 임계 피처들이 경험(고객에 의해 제공된 클립들을 포함함)에 의해, 시행착오에 의해, 또는 풀-칩 시뮬레이션을 실행함으로써 식별되는 설계 레이아웃의 부분들과 유사하거나 유사한 거동을 가질 수 있다. 클립들은 종종 하나 이상의 테스트 패턴들 또는 게이지 패턴들을 포함한다. 클립들의 초기의 더 큰 세트는 특정한 이미지 최적화를 요구하는 설계 레이아웃에서의 알려진 임계 피처 영역들에 기초하여 고객에 의해 선험적으로 제공될 수 있다. 대안적으로, 다른 실시예에서, 클립들의 초기의 더 큰 세트는 임계 피처 영역들을 식별하는 소정 종류의 (기계 비전과 같은) 자동화된 또는 수동 알고리즘을 사용함으로써 전체 설계 레이아웃으로부터 추출될 수 있다.
예를 들어, 시뮬레이션 및 모델링은 패터닝 디바이스 패턴의 하나 이상의 피처(예를 들어, 광학 근접 보정의 수행), 조명의 하나 이상의 피처(예를 들어, 형상의 변화와 같은, 조명의 공간/각도 강도 분포의 하나 이상의 특성의 변경), 및/또는 투영 광학계의 하나 이상의 피처(예를 들어, 개구수 등)을 구성하기 위해 사용될 수 있다. 그러한 구성은 일반적으로 각각 마스크 최적화, 소스 최적화, 및 투영 최적화로 지칭될 수 있다. 그러한 최적화는 그 자체로 수행되거나, 상이한 조합들로 조합될 수 있다. 하나의 그러한 예는 조명의 하나 이상의 피처와 함께 패터닝 디바이스 패턴의 하나 이상의 피처의 구성을 수반하는 소스-마스크 최적화(SMO)이다. 최적화 기술들은 클립들 중 하나 이상에 포커싱할 수 있다. 최적화들은 (이미지들 등을 포함하는) 다양한 파라미터들의 값들을 예측하기 위해 본 명세서에 설명된 기계 학습 모델을 사용할 수 있다.
일부 실시예들에서, 시스템의 최적화 프로세스는 비용 함수로서 표현될 수 있다. 최적화 프로세스는 비용 함수를 최소화하는 시스템의 파라미터들(설계 변수들, 프로세스 변수들 등)의 세트를 찾는 것을 포함할 수 있다. 비용 함수는 최적화의 목표에 따라 임의의 적합한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 특정 특성들(평가 포인트들)의 이러한 특성들의 의도된 값들(예를 들어, 이상적인 값들)에 대한 편차들의 가중된 제곱 평균 제곱근(root mean square)(RMS)일 수 있다. 비용 함수는 또한 이러한 편차들의 최대값(즉, 최악의 편차)일 수 있다. 용어 "평가 포인트들"은 시스템 또는 제조 방법의 임의의 특성들을 포함하도록 넓게 해석되어야 한다. 시스템의 설계 및/또는 프로세스 변수들은 유한 범위들로 한정될 수 있고/있거나 시스템 및/또는 방법의 구현들의 실용성들로 인해 상호의존적일 수 있다. 리소그래피 투영 장치의 경우에, 제약들은 종종 튜닝가능한 범위들 및/또는 패터닝 디바이스 제조가능성 설계 규칙들과 같은 하드웨어의 물리적 속성들 및 특성들과 연관된다. 평가 포인트들은 기판 상의 레지스트 이미지 상의 물리적 포인트들뿐만 아니라, 예를 들어 도스 및 포커스와 같은 비-물리적 특성들도 포함할 수 있다.
리소그래피 투영 장치에서, 예로서, 비용 함수는 다음과 같이 표현될 수 있다.
Figure pct00001
여기서,
Figure pct00002
은 N개의 설계 변수들 또는 그 값들이고,
Figure pct00003
Figure pct00004
의 설계 변수들의 값들의 세트에 대한 특성의 실제 값과 의도된 값 사이의 차이와 같은 설계 변수들
Figure pct00005
의 함수일 수 있다. 일부 실시예들에서,
Figure pct00006
Figure pct00007
와 연관된 가중 상수이다. 예를 들어, 특성은 에지 상의 주어진 포인트에서 측정된 패턴의 에지의 위치일 수 있다. 상이한
Figure pct00008
은 상이한 가중치
Figure pct00009
를 가질 수 있다. 예를 들어, 특정 에지가 좁은 범위의 허용된 위치들을 갖는 경우, 에지의 실제 위치와 의도된 위치 사이의 차이를 나타내는
Figure pct00010
에 대한 가중치
Figure pct00011
에는 더 높은 값이 주어질 수 있다.
Figure pct00012
는 또한 층간 특성의 함수일 수 있고, 이는 결국 설계 변수들
Figure pct00013
의 함수이다. 물론,
Figure pct00014
은 상기 수학식에서의 형태로 제한되지 않고,
Figure pct00015
은 임의의 다른 적합한 형태일 수 있다.
비용 함수는 리소그래피 투영 장치, 리소그래피 프로세스 또는 기판의 임의의 하나 이상의 적합한 특성, 예를 들어, 포커스, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전, 확률적 변동, 스루풋, 로컬 CD 변동, 프로세스 윈도우, 층간 특성, 또는 이들의 조합을 나타낼 수 있다. 일부 실시예들에서, 비용 함수는 레지스트 이미지의 하나 이상의 특성을 나타내는 함수를 포함할 수 있다. 예를 들어,
Figure pct00016
은 단순히 레지스트 이미지 내의 포인트와 그 포인트의 의도된 위치 사이의 거리(즉, 에지 배치 에러
Figure pct00017
)일 수 있다. 파라미터들(예를 들어, 설계 변수들)은 소스, 패터닝 디바이스, 투영 광학계, 도스, 포커스 등의 조정가능한 파라미터와 같은 임의의 조정가능한 파라미터를 포함할 수 있다.
파라미터들(예를 들어, 설계 변수들)은
Figure pct00018
로서 표현될 수 있는 제약들을 가질 수 있고, 여기서 Z는 설계 변수들의 가능한 값들의 세트이다. 설계 변수들에 대한 하나의 가능한 제약은 리소그래피 투영 장치의 원하는 스루풋에 의해 부과될 수 있다. 원하는 스루풋에 의해 부과되는 그러한 제약 없이, 최적화는 비현실적인 설계 변수들의 값들의 세트를 산출할 수 있다. 예를 들어, 도스가 설계 변수인 경우, 그러한 제약 없이, 최적화는 스루풋을 경제적으로 불가능하게 하는 도스 값을 산출할 수 있다. 그러나, 제약들의 유용성은 필요에 따라 해석되지 않아야 한다. 예를 들어, 스루풋은 동공 충전 비율(pupil fill ratio)에 의해 영향을 받을 수 있다. 일부 조명 설계들에 대해, 낮은 동공 충전 비울은 복사선을 폐기할 수 있어, 더 낮은 스루풋으로 이어질 수 있다. 스루풋은 또한 레지스트 화학에 의해 영향을 받을 수 있다. 더 느린 레지스트(예를 들어, 적절히 노광되기 위해 더 많은 양의 복사선을 요구하는 레지스트)는 더 낮은 스루풋으로 이어진다.
일부 실시예들에서, 조명 모델(31), 투영 광학계 모델(32), 설계 레이아웃 모델(35), 레지스트 모델(37), SMO 모델, 및/또는 집적 회로 제조 프로세스와 연관되고/되거나 그에 포함되는 다른 모델들은 본 명세서에 설명된 방법의 동작들을 수행하는 경험적 모델일 수 있다. 경험적 모델은 다양한 입력들(예를 들어, 마스크 또는 웨이퍼 이미지의 하나 이상의 특성, 설계 레이아웃의 하나 이상의 특성, 패터닝 디바이스의 하나 이상의 특성, 파장과 같은 리소그래피 프로세스에서 사용되는 조명의 하나 이상의 특성 등) 사이의 상관들에 기초하여 출력들을 예측할 수 있다.
예로서, 경험적 모델은 기계 학습 모델 및/또는 임의의 다른 파라미터화된 모델일 수 있다. 일부 실시예들에서, (예를 들어) 기계 학습 모델은 수학 방정식들, 알고리즘들, 플롯들, 차트들, 네트워크들(예를 들어, 신경망들), 및/또는 다른 도구들 및 기계 학습 모델 컴포넌트들일 수 있고/있거나 이들을 포함할 수 있다. 예를 들어, 기계 학습 모델은 입력 계층, 출력 계층, 및 하나 이상의 중간 또는 은닉 계층들을 갖는 하나 이상의 신경망일 수 있고/있거나 이들을 포함할 수 있다. 일부 실시예들에서, 하나 이상의 신경망은 심층 신경망들(예를 들어, 입력 계층과 출력 계층 사이에 하나 이상의 중간 또는 은닉 계층을 갖는 신경망들)일 수 있고/있거나 이들을 포함할 수 있다.
예로서, 하나 이상의 신경망은 신경 유닛들(또는 인공 뉴런들)의 큰 집합에 기초할 수 있다. 하나 이상의 신경망은 (예를 들어, 축색들에 의해 연결된 생물학적 뉴런들의 큰 클러스터들을 통해) 생물학적 뇌가 작동하는 방식을 느슨하게 모방할 수 있다. 신경망의 각각의 신경 유닛은 신경망의 많은 다른 신경 유닛들과 연결될 수 있다. 그러한 연결들은 연결된 신경 유닛들의 활성화 상태에 대한 그들의 영향을 강제하거나 억제할 수 있다. 일부 실시예들에서, 각각의 개별 신경 유닛은 모든 그의 입력들의 값들을 함께 결합하는 합산 함수를 가질 수 있다. 일부 실시예들에서, 각각의 연결(또는 신경 유닛 자체)은 신호가 다른 신경 유닛들로 전파하도록 허용되기 전에 임계치를 넘어야 하도록 임계 함수를 가질 수 있다. 이러한 신경망 시스템들은 명시적으로 프로그래밍되기보다는, 자기 학습 및 훈련될 수 있고, 전통적인 컴퓨터 프로그램들과 비교하여, 문제 해결의 특정 영역들에서 상당히 더 양호하게 작동할 수 있다. 일부 실시예들에서, 하나 이상의 신경망은 다수의 계층을 포함할 수 있다(예를 들어, 신호 경로가 전방 계층들로부터 후방 계층들로 횡단함). 일부 실시예들에서, 후방 전파 기술들이 신경망들에 의해 이용될 수 있고, 여기서 순방향 자극은 "전방" 신경 유닛들에 대한 가중치들을 재설정하기 위해 사용된다. 일부 실시예들에서, 하나 이상의 신경망에 대한 자극 및 억제는 더 자유롭게 흐를 수 있고, 연결들은 더 혼란스럽고 복잡한 방식으로 상호작용한다. 일부 실시예들에서, 하나 이상의 신경망의 중간 계층들은 하나 이상의 컨볼루션 계층, 하나 이상의 순환 계층, 및/또는 다른 계층들을 포함한다.
하나 이상의 신경망은 훈련 데이터의 세트를 사용하여 훈련될 수 있다(즉, 그의 파라미터들이 결정됨). 훈련 데이터는 훈련 샘플들의 세트를 포함할 수 있다. 각각의 샘플은 입력 객체(통상적으로, 피처 벡터로 지칭될 수 있는 벡터) 및 원하는 출력 값(감독 신호라고도 함)을 포함하는 쌍일 수 있다. 훈련 알고리즘은 훈련 데이터를 분석하고, 훈련 데이터에 기초하여 신경망의 파라미터들(예를 들어, 하나 이상의 계층의 가중치들)을 조정함으로써 신경망의 거동을 조정한다. 예를 들어,
Figure pct00019
형태의 N개의 훈련 샘플들의 세트가 주어져서,
Figure pct00020
가 i 번째 예의 피처 벡터이고,
Figure pct00021
가 그의 감독 신호이면, 훈련 알고리즘은 신경망
Figure pct00022
를 찾고, 여기서 X는 입력 공간이고 Y는 출력 공간이다. 피처 벡터는 소정의 객체(예를 들어, 위의 예에서와 같은 웨이퍼 설계, 클립 등)를 표현하는 수치 피처들의 n-차원 벡터이다. 이 벡터들과 연관된 벡터 공간은 종종 피처 공간으로 지칭된다. 훈련 이후, 신경망은 새로운 샘플들을 사용하여 예측들을 행하기 위해 사용될 수 있다.
종래의 시스템들에서, 예측(프로세스) 모델은 교정 데이터로 교정(예컨대, 훈련)된다. 교정 데이터는 인쇄된 패턴(예컨대, 교정 패턴 및/또는 다른 패턴들)의 이미지들, 인쇄된 패턴의 시뮬레이션된 이미지들, 및/또는 다른 정보를 포함할 수 있다. 예를 들어, 교정은 타겟 패턴에 기초하는 인쇄된 패턴(예컨대, 인쇄된 웨이퍼 또는 그의 일부)의 이미지들을 획득하는 것을 포함할 수 있다. 이미지들로부터, 인쇄된 패턴 상의 피처들에 대응하는 윤곽들(예컨대, 형상들, 치수들 등)이 추출될 수 있다. 이어서, 윤곽들은 예측(프로세스) 모델에 의해 생성된 시뮬레이션된 윤곽들에 정렬되어, 시뮬레이션된 윤곽들이 측정된 윤곽들과 더 정확하게 매칭하도록 모델 파라미터들의 조정을 용이하게 할 수 있다. 교정된 모델은 상이한 타겟 패턴들에 기초하여 새로운 예측들(예컨대, 윤곽들 및 다른 치수들 - 예측 데이터 -를 포함하는 치수들)을 하는 데 사용될 수 있다. 그러나, 이러한 종래의 시스템들로부터의 (예컨대, 동일한 입력에 대한) 예측들이 변하여, 주어진 모델에 관한 불확실성을 생성한다.
예를 들어, 도 3은 교정된 모델 입력(302)(예를 들어, 타겟 패턴/이미지 속성들) 대 모델 출력(304)(예를 들어, CD, 레지스트 바이어스 등)의 제1 플롯(300)을 도시한다. 플롯(300)은 모델의 교정을 위해 사용되는 (더 좁게 분포된) 교정 데이터(306), 및 교정된 모델을 검증하기 위해 사용되는 (더 넓게 분포된) 패터닝 프로세스 데이터(308)(예를 들어, 예측된 패턴 및/또는 예측된 패턴의 또는 그와 관련된 피처들)를 도시한다. 플롯(300)에 도시된 바와 같이, 교정된 모델들은 교정 데이터(306)를 잘 피팅할 수 있지만, 프로세스 데이터(308)에 대한 일관성 없는 예측 결과들을 드러낼 수 있다. 이것은 선택된 특정 모델에서 불확실성의 예측을 유발하는 경향이 있다. 예를 들어, 다수의 후보 모델들(310)(예를 들어, 예측 데이터를 생성하기 위해 사용되는 예측 모델의 조정된 버전들)은 패터닝 프로세스 데이터(308)(및 교정 데이터(306))를 상이하게 피팅할 수 있다. 후보 모델들은 본 개시의 범위를 벗어나지 않고서 임의의 적절한 방식으로 서로 상이한 것으로서 구성될 수 있다.
이전의 모델링 방법들과 대조적으로, 본 방법은 예측 데이터에 기초하여 예측 불확실성 파라미터를 결정하는 단계, 예측 불확실성 파라미터에 기초하여 패터닝 프로세스 데이터의 서브세트(예를 들어, 주어진 패턴과 연관된 물리적 기판(예를 들어, 웨이퍼 또는 다른 기판들의 층) 상에서 행해진 측정들)를 선택하는 단계, 및 본 명세서에 설명된 바와 같은 이전에 사용된 교정 데이터 및/또는 패터닝 프로세스 데이터의 선택된 서브세트를 사용하여 예측 모델을 재교정하는 단계를 포함한다. 이것은 예측 모델로부터의 예측들에서 불확실성을 감소시킨다. 일부 실시예들에서, 예측 불확실성 파라미터는 다수의 모델을 사용함으로써 특정 패턴에 대한 예측을 행하는 것의 불확실성을 나타낸다. 도 3의 플롯(350)에 도시된 바와 같이, 모델의 교정을 위해 사용되는 교정 데이터(352), 및 교정된 모델에 의해 예측되는 예측 데이터(354)(예를 들어, 패턴 등)는 더 좁게 분포된다(예를 들어, 이 예에서는 데이터가 심지어 중첩된다). 다수의 후보 모델(310)(예를 들어, 예측 데이터(308)를 생성하기 위해 사용되는 예측 모델의 조정된 버전들)은 이제 예측 데이터(354)(및 교정 데이터(352))를 유사하게 피팅한다.
도 4는 본 방법(400)을 도시한다. 일부 실시예들에서, 방법(400)은 예측 모델을 사용하여 예측 데이터를 결정하는 동작(402), 예측 불확실성 파라미터를 결정하는 동작(404), 예측 불확실성 파라미터에 기초하여 패터닝 프로세스 데이터의 서브세트를 선택하는 동작(406), 및 예측 모델을 재교정하는 동작(408)을 포함한다. 일부 실시예들에서, 방법(400)은 디바이스 제조 프로세스 파라미터들을 결정하는 동작(410), 반도체 디바이스 제조 프로세스 및/또는 장치에 대한 조정을 결정하는 동작(412), 및/또는 다른 동작들을 포함한다. 아래에 제시된 방법(400)의 동작들은 예시적인 것으로 의도된다. 일부 실시예들에서, 방법(400)은 설명되지 않은 하나 이상의 추가적인 동작으로 그리고/또는 논의된 동작들 중 하나 이상의 동작 없이 달성될 수 있다. 예를 들어, 동작들(410, 412) 및/또는 다른 동작들은 선택적일 수 있다. 부가적으로, 방법(400)의 동작들이 도 4에 도시되고 아래에 설명되는 순서는 제한적인 것으로 의도되지 않는다. 예를 들어, 방법(400)은 동작들(410 및/또는 412)을 포함할 수 있거나 포함하지 않을 수 있다.
위에서 설명된 바와 같이, 동작(402)에서, 예측 데이터는 예측 모델을 사용하여 결정된다. 예측 데이터는 반도체 디바이스 제조 프로세스의 패터닝 프로세스 및/또는 임의의 다른 부분과 연관된 (교정 데이터를 사용하여 교정된) 예측 모델을 사용하여 결정된다. 예를 들어, 예측 모델은 반도체 디바이스 제조 프로세스의 에칭, 퇴적, OPC, 오버레이, 정렬, 레지스트 층, 에어리얼 이미지, 조명, 광학계, 설계 레이아웃, 핫스팟 검출, 프로세스 윈도우 적격화, 프로세스 제어, 및/또는 다른 양태들과 연관될 수 있다. 일부 실시예들에서, 예측 모델은 기계 학습 모델이고, 예측 모델을 교정하는 것은 기계 학습 모델을 훈련하는 것을 포함한다.
예측 모델은 교정 데이터를 사용하여 (예를 들어, 사전에) 교정될 수 있다. 교정 데이터는 기판(예를 들어, 웨이퍼 또는 다른 기판들의 층) 상의 패턴의 기하학적 피처들과 연관된 교정 패턴 및/또는 다른 데이터를 포함할 수 있고/있거나 그들과 관련될 수 있다. 예를 들어, 교정 데이터는 타겟 패턴을 이용한 패터닝 프로세스의 시뮬레이션들, 타겟 패턴 자체, 및/또는 기판(예를 들어, 물리적 웨이퍼 및/또는 다른 기판들)에서 생성된 타겟 패턴의 이미지들에 기초하여 결정된 윤곽들(예를 들어, 형상들, 치수들 등), 바이어스들, 신호 강도들, 및/또는 다른 정보를 포함할 수 있다.
예측 데이터는 기판(예를 들어, 상이한 타겟 패턴에 기초하는 웨이퍼의 층) 상의 패턴의 예측된 기하학적 피처들과 연관된 예측 패턴 및/또는 다른 데이터를 포함할 수 있고/있거나 그들과 관련될 수 있다. 예를 들어, 예측 데이터는 예측된 패턴 파라미터 값들을 포함할 수 있다. 이러한 패턴 파라미터 값들은 기하학적 피처들의 치수들(예를 들어, 윤곽 형상들 및/또는 치수들, 길이들, 폭들, 높이들, 거리들, 위치들 등), 바이어스들, 임계 치수, 정렬 파라미터들, 오버레이 파라미터들, 패터닝 프로세스에서 사용되는 강도, 패터닝 프로세스의 패턴과 연관된 이미지 기울기, 및/또는 다른 파라미터들을 포함할 수 있다. 예측 데이터는 교정된 예측 모델에 대한 입력에 기초하여 교정된 예측 모델에 의해 생성될 수 있다. 입력은 새로운 타겟 패턴의 피처들, 그러한 패턴들의 이미지들, 및/또는 다른 입력을 포함할 수 있다.
동작(404)에서, 예측 불확실성 파라미터가 결정된다. 예측 불확실성 파라미터는 예를 들어, 다수의 모델 중에서, 예측 데이터 및/또는 패턴 파라미터 값들의 변동과 연관된다. 일부 실시예들에서, 예측 불확실성 파라미터는 기하학적 피처의 치수(예를 들어, 윤곽 형상들 및/또는 치수들, 길이들, 폭들, 높이들, 거리들, 위치들 등)의 값, 바이어스들, 임계 치수, CD 게이지, EP 게이지, 오버레이 신호, 정렬 신호, 패터닝 프로세스에서 사용되는 세기, 패터닝 프로세스의 패턴과 연관된 이미지 기울기, 및/또는 패터닝 프로세스의 다른 양태들의 변동과 연관된다.
일부 실시예들에서, 예측 불확실성 파라미터는 예측 모델과 연관된 피팅 요건들 및 예측된 패턴 파라미터 값들(및/또는 예측 데이터)의 변동에 기초하여 결정된다. 비제한적인 예로서, 예측 데이터는 예측된 임계 치수 값들을 포함할 수 있다. 예측 불확실성 파라미터는 임계 치수 변동(CDV)을 포함할 수 있다. 임계 치수 변동은 예측 모델과 연관된 피팅 요건들 및 예측된 임계 치수 값들의 변동에 기초하여 결정될 수 있다. 그러나, 유리하게, 본 방법은 다양한 상이한 예측 불확실성 파라미터들을 핸들링할 수 있는 표준화된 작업 흐름을 제공하도록 구성된다. 일부 실시예들에서, 예를 들어, 본 방법은 CD 게이지 측정들 및 EP 게이지 측정들(예를 들어, 그리고/또는 훨씬 더 정확한 모델을 생성하기 위해 CD 게이지 측정들의 EP 게이지 측정들로의 변환)을 핸들링할 수 있는 작업 흐름을 표준화하거나 통합하도록 구성된다.
CDV 예를 계속하면, 임계 치수 변동은 방정식 CDV = 시뮬레이션 분산(M1, M2, ... MN)/Spec에 기초하여 결정될 수 있고, 여기서 M1, M2, ... MN은 (예를 들어, 교정 데이터 및 예측 데이터를 피팅하는) 후보 모델들을 정의하고, Spec은 예측 모델과 연관된 피팅 요건들을 나타낸다. 시뮬레이션 분산은 상이한 예측 데이터 포인트들(예를 들어, 도 3에 도시된 308)의 분포(및/또는 다른 통계 속성들)를 나타낼 수 있다. 후보 모델들은 교정 데이터 및 예측 데이터를 피팅하는 예측 모델의 조정되고/되거나 상이한 버전들일 수 있다. 예를 들어, 후보 모델들은 예측 모델일 수 있지만, 주어진 후보 모델(예를 들어, 주어진 세트의 조정된 모델 파라미터들을 가짐)이 예측 데이터(및 교정 데이터)를 피팅하게 하는 상이한 조정된 모델 파라미터들을 가질 수 있다. 일부 실시예들에서, 후보 모델은 전혀 상이한 모델일 수 있다. 일부 실시예들에서, 피팅 요건들은 임계 치수 에러, 에지 배치 에러, 윤곽 형상 충실도, 및/또는 다른 피팅 요건들을 포함한다. 피팅 요건들은 일반적으로 (3개의 비제한적인 예로서) 임계 치수, 에지 배치, 및/또는 윤곽 형상에 관련된 모델 성능을 측정하기 위해 사용되는 메트릭들을 지칭할 수 있다. 피팅 요건들은 예측 및 물리적 웨이퍼 측정 데이터 사이의 차이들을 정의하고, 또한 기하학적 피처들, 패턴, 및/또는 프로세스와 연관된 수치 값들을 포함하고/하거나 이들과 연관될 수 있다.
위의 예는 제한하는 것으로 의도되지 않는다. 다른 예측 불확실성 파라미터들이 고려된다. 예를 들어, 기하학적 피처들에 관련된 예측 불확실성 파라미터들(예를 들어, 윤곽 형상들 및/또는 치수들, 길이들, 폭들, 높이들, 거리들, 위치들 등), 바이어스들, 오버레이 및/또는 정렬 신호들, 패터닝 프로세스의 패턴과 연관된 이미지 기울기, 패터닝 프로세스에서 사용되는 강도, 및/또는 다른 예측 불확실성 파라미터들이 고려된다.
일부 실시예들에서, 예측 불확실성 파라미터는 특이 값(예를 들어, CDV)일 수 있다. 일부 실시예들에서, 예측 불확실성 파라미터는 값들의 범위(예를 들어, 예측된 치수들의 허용가능한 범위 및/또는 다른 범위들)일 수 있다. 일부 실시예들에서, 예측 불확실성 파라미터는 개별 프로세스 데이터 포인트들의 랭킹(예를 들어, 교정 데이터에 더 가깝게 플롯팅된 근접도의 프로세스 데이터 포인트들은 더 높게 랭킹됨, 및/또는 다른 랭킹들)을 포함한다. 일부 실시예들에서, 예측 불확실성 파라미터는 개별 프로세스 데이터 포인트들의 최대 수(예를 들어, 데이터 포인트들의 타겟 수가 선택된 후에 선택이 중단되는 프로세스 데이터 포인트들, 데이터 포인트들의 무작위 선택된 타겟 수, 데이터 포인트들의 가장 최근의 타겟 수, 특정 값 범위 내의 데이터 포인트들의 최대 수 등)를 포함한다.
동작(406)에서, 프로세스 데이터의 서브세트가 선택된다. 프로세스 데이터의 서브세트는 예측 불확실성 파라미터에 기초하여 선택된다. 위에서 설명된 바와 같이, 예측 데이터는 예측 모델로부터의 시뮬레이션 데이터(예를 들어, 예측 패턴 등)이다. 예측 데이터는 예측 불확실성 파라미터를 결정하기 위해 이용된다. 예측 불확실성은, 예를 들어, 높은 예측 불확실성을 갖는 패턴들(및 이들 패턴들과 연관된 프로세스 데이터)이 예측 불확실성 파라미터에 기초하여 선택될 수 있도록 특정 패턴들과 연관될 수 있다. 일부 실시예들에서, 예측 불확실성 파라미터에 기초하여 프로세스 데이터의 서브세트를 선택하는 것은 예측 불확실성 파라미터에 의해 특정된 특이 값의 프로세스 데이터 포인트들을 선택하는 것, 예측 불확실성 파라미터와 연관된 프로세스 데이터 포인트들의 범위를 선택하는 것, 예측 불확실성 파라미터를 사용하여 개별 프로세스 데이터 포인트들에 할당된 랭킹에 기초하여 프로세스 데이터 포인트들을 선택하는 것, 예측 불확실성 파라미터에 의해 특정된 개별 프로세스 데이터 포인트들의 최대 수를 (예를 들어, 무작위로 또는 비-무작위로) 선택하는 것, 및/또는 다른 선택을 포함할 수 있다. 예를 들어, 위에서 설명된 CDV를 계속하면, 프로세스 데이터의 서브세트는 (예를 들어, 내림차순 모드에서) CDV 값에 기초하여 프로세스 데이터 포인트들을 분류하고, 허용가능한 수를 서브세트로서 컷오프함으로써 선택될 수 있다.
도 5는 프로세스 데이터의 서브세트를 선택하는 것을 도시한다. 예를 들어, 도 5는 교정된 모델 입력(302) 대 모델 출력(304)의 제1 플롯(300)(도 3에 또한 도시됨)을 도시한다. 플롯(300)은 모델의 교정에 사용되는 (더 좁게 분포된) 교정 데이터(306), 및 교정된 모델에 의해 예측되는 (더 넓게 분포된) 예측 데이터(308)(예를 들어, 예측 패턴 및/또는 예측 패턴의 또는 그와 관련된 피처들)를 도시한다. 플롯(300)에 도시된 바와 같이, 상대적으로 (예를 들어, 교정 데이터(306)와 비교하여) 넓게 분포된 예측 데이터(308)는 예측 데이터(308)를 생성하는 데 사용되는 교정된 모델에 대한 불확실성을 유발한다. 예를 들어, 다수의 후보 모델들(310)(예를 들어, 예측 데이터(308)를 생성하는 데 사용되는 예측 모델의 조정된 버전들)은 예측 데이터(308)(및 교정 데이터(306))를 피팅할 수 있다. 본 방법은 (위에 설명된 바와 같은) 예측 데이터에 기초하여 예측 불확실성 파라미터를 결정하는 단계, 예측 불확실성 파라미터에 기초하여 결정된 높은 불확실성을 갖는 예측 데이터(308)(예를 들어, 패턴)와 연관된 패터닝 프로세스 데이터의 서브세트(308A 및 308B)를 선택하는 단계(500), 및 (본 명세서에 설명된 바와 같은 교정 데이터와 함께) 프로세스 데이터의 선택된 서브세트(308A 및 308B)를 사용하여 예측 모델을 재교정하는 단계(502)를 포함한다. 이는 예측 모델로부터의 예측들에서의 불확실성을 감소시킨다. 도 5의 플롯(350)에 도시된 바와 같이, 모델의 교정에 사용되는 교정 데이터(352), 및 교정된 모델에 의해 예측되는 예측 데이터(354)는 더 좁게 분포된다(예를 들어, 이 예에서 데이터는 심지어 중첩된다). 다수의 후보 모델들(310)(예를 들어, 예측 데이터(308)를 생성하는 데 사용되는 예측 모델의 조정된 버전들)은 이제 예측 데이터(354)(및 교정 데이터(352))를 유사하게 피팅한다.
도 6은 예측 불확실성 파라미터를 결정하고(600), 주어진 예측 모델에 대한 결정된 예측 불확실성 파라미터에 기초하여 (예를 들어, 재교정에 사용하기 위한) 높은 불확실성을 갖는 예측 데이터(608)와 연관된 프로세스 데이터의 서브세트(604)를 선택하는(602) 예를 도시한다. 도 6에 도시된 바와 같이, (예를 들어, 다수의 후보 모델들 - 예측 데이터(608)를 생성하기 위해 사용되는 예측 모델의 조정된 버전들 -이 예측 데이터(608) 및 교정 데이터(606)를 피팅할 수 있도록) 교정 데이터(606)가 예측 모델을 교정하고 예측 데이터(608)를 생성하기 위해 사용된다. 위에 설명된 바와 같이, 많은 상이한 예측 불확실성 파라미터들이 결정될 수 있다(600). 도 6은 예를 들어 2개의 상이한 옵션(600a 및 600b)을 도시한다(그러 나, 2개의 옵션만의 도시는 제한적인 것으로 간주되어서는 안 된다). 옵션(600a)은 예를 들어 (예를 들어, 위에 설명된 바와 같은) CDV일 수 있다. 다른 예로서, 옵션(600b)은 (예를 들어, GDS 레이아웃을 사용하는) 특정된 패턴 상에서의 하나의 후보 모델로부터의 신호와 다른 후보 모델로부터의 신호 사이의 차이이거나, 그와 관련될 수 있다. 많은 다른 예들이 존재한다. 예측 불확실성 파라미터가 결정되면(예를 들어, 600a 또는 600b), 예측 불확실성 파라미터는 예측 데이터(608)의 서브세트(604)를 선택(602)하기 위해 사용된다.
도 4로 돌아가서, 동작(408)에서, 예측 모델이 재교정된다. 예측 모델은 교정 데이터 및 프로세스 데이터의 선택된 서브세트, 및/또는 다른 정보를 사용하여 재교정된다. 교정 데이터 및 프로세스 데이터의 선택된 서브세트를 사용하여 예측 모델을 재교정하는 동작은 교정 데이터 및 프로세스 데이터의 선택된 서브세트 둘 다를 예측 모델에 대한 입력으로서 제공하는 동작 및/또는 다른 동작들을 포함한다. 재교정 동안, 웨이퍼의 층에 부여되는 타겟 설계의 윤곽들은 (예를 들어, 프로세스 데이터 포인트들의 선택된 서브세트에 의해 설명되는) 예측 모델에 의해 생성된 시뮬레이션된 윤곽들에 정렬될 수 있어, 시뮬레이션된 윤곽들이 측정된 윤곽들과 더 정확하게 매칭하도록 모델 파라미터들의 조정을 용이하게 한다. 교정 데이터 몇 프로세스 데이터의 선택된 서브세트를 사용하여 예측 모델을 재교정하는 동작은 예측 모델로부터의 예측들에서의 예측 불확실성을 감소시킨다(예를 들어, 도 3 및/또는 도 5에 도시된 상이한 후보 모델들은 그들의 예측들에서 점점 더 많이 일치한다).
도 7은 프로세스 데이터(700)의 서브세트를 선택하는 것을 도시한다. 프로세스 데이터(700)의 서브세트는 후보 예측 모델들(702, 704, 706)의 세트(예를 들어, 위에서 설명된 바와 같이 예측 데이터 및 교정 데이터를 피팅하는 예측 모델의 변형들)에 의해 생성된 예측 데이터에 기초하여 결정된 예측 불확실성 파라미터에 기초하여 선택되고, 예측 모델들을 재교정(또는 재훈련)하기 위해 프로세스 데이터(700)의 선택된 서브세트가 후보 예측 모델들에 제공된다(708). 후보 예측 모델들이 프로세스 데이터의 선택된 서브세트를 제공받고 재교정될 때, 후보 모델들(예를 들어, 예측 모델의 다양한 가능한 버전들)로부터의 예측들에 관한 불확실성이 감소된다. 후보 예측 모델들(702, 704, 706)은 예를 들어 기준 모델들 및/또는 1k 게이지들(710)로서 간주될 수 있다. 모델들(702, 704, 및 706)은 예를 들어 포커스 노출 모델(FEM) 및/또는 다른 모델들일 수 있다. 프로세스 데이터(700)의 선택된 서브세트를 수신한 후보 모델들(712a, 714a, 및 716a)은 예를 들어 기계 학습 모델들 및/또는 3k 게이지들(720)일 수 있다. 개별 모델들의 재교정된(725) 버전들은 예를 들어 업데이트된(또는 증분 재훈련된) 기계 학습 모델들 및/또는 4k 게이지들(730)일 수 있다. 일부 실시예들에서, 예측 데이터를 생성하기 위해 패턴들의 동일한 세트가 후보 모델들에 공급될 수 있다. 예측 불확실성 파라미터는 다수의 후보 모델들 사이의 분산을 나타낸다. 후보 모델들 중에서 가장 높은 불확실성을 야기하는 패턴들은 교정 또는 훈련의 다음 반복을 위해 선택될 수 있다.
도 4로 돌아가면, 일부 실시예들에서, 동작을(402-408)(및/또는 다른 동작들)은 반복적으로 반복되어, 예를 들어 예측 모델이 수렴할 때까지 반복마다 예측 불확실성을 감소시킬 수 있다. 일부 실시예들에서, 모델 수렴은 모델 에러 임계 레벨을 위반하는 모델 에러를 포함한다. 모델 에러는 기준 기하구조(예를 들어, 윤곽)와 예측 모델에 의한 패터닝 프로세스의 시뮬레이션으로부터 생성된 시뮬레이션된 기하구조 사이의 차이일 수 있다. 예를 들어, 기준 기하구조는 스캐닝 전자 현미경으로부터의 측정된 기하구조일 수 있다.
위에서 설명된 바와 같이, 일부 실시예들에서, 방법(400)은 디바이스 제조 프로세스 파라미터들을 결정하는 동작(410), 반도체 디바이스 제조 프로세스 및/또는 장치에 대한 조정을 결정하는 동작(412), 및/또는 다른 동작들을 포함한다. 하나 이상의 반도체 디바이스 제조 프로세스 파라미터는 예를 들어 재교정된 예측 모델로부터의 예측들, 및/또는 다른 정보에 기초하여 결정될 수 있다. 반도체 디바이스 제조 장치에 대한 조정은 하나 이상의 결정된 반도체 디바이스 제조 파라미터 및/또는 다른 정보에 기초하여 결정될 수 있다. 일부 실시예들에서, 방법(400)은 장치에 대한 조정을 행하는 동작을 포함한다.
일부 실시예들에서, 하나 이상의 결정된 반도체 디바이스 제조 프로세스 파라미터는 마스크 설계, 동공 형상, 도스, 포커스, 에칭 파라미터들, 퇴적 파라미터들, 화학적 기계적 연마 파라미터들, 및/또는 다른 반도체 디바이스 제조 프로세스 파라미터들 중 하나 이상을 포함한다. (예를 들어, 위에서 설명된 바와 같은) 일부 실시예들에서, 방법은 하나 이상의 결정된 반도체 디바이스 제조 파라미터에 기초하여 반도체 디바이스 제조 프로세스 및/또는 장치에 대한 조정을 결정하는 동작을 포함한다. 일부 실시예들에서, 방법은 결정된 조정에 기초하여 웨이퍼 제조 장치를 조정하는 동작을 포함한다.
예로서, 결정된 프로세스 파라미터가 (예를 들어, 새로운) 동공 형상, 도스 또는 포커스인 경우, 제조 장치는 오래된 또는 이전의 동공 형상, 도스 또는 포커스로부터 결정된(예를 들어, 새로운) 동공 형상, 도스 또는 포커스로 조정될 수 있다. 유사하게, 모델 예측이 마스크 설계와 관련되고, 결정된 제조 프로세스 파라미터가 새로운 또는 조정된 마스크 설계인 경우, 반도체 제조 장치는 이러한 새로운 설계에 기초하여 조정될 수 있다(예를 들어, 새로운 마스크 형상들, 크기들 등에 기초하여 강도, 정렬 또는 심지어 동공 형상, 도스 또는 포커스를 조정할 수 있다).
예를 들어, 하나 이상의 결정된 반도체 디바이스 제조 프로세스 파라미터가 마스크 설계를 포함하는 일부 실시예들에서, 방법은 재교정된 예측 모델로부터의 예측들에 기초하여 마스크 설계를 제1 마스크 설계로부터 제2 마스크 설계로 조정하는 동작을 포함할 수 있다.
도 10은 본 명세서에서 설명되는 동작들 중 하나 이상을 위해 사용될 수 있는 예시적인 컴퓨터 시스템(CS)의 도면이다. 컴퓨터 시스템(CS)은 정보를 통신하기 위한 버스(BS) 또는 다른 통신 메커니즘, 및 정보를 처리하기 위해 버스(BS)와 결합되는 프로세서(PRO)(또는 다수의 프로세서)를 포함한다. 컴퓨터 시스템(CS)은 또한 프로세서(PRO)에 의해 실행될 명령어들 및 정보를 저장하기 위해 버스(BS)에 결합되는 랜덤 액세스 메모리(RAM)와 같은 메인 메모리(MM) 또는 다른 동적 저장 디바이스를 포함한다. 메인 메모리(MM)는 또한 프로세서(PRO)에 의한 명령어들의 실행 동안 임시 변수들 또는 다른 중간 정보를 저장하기 위해 사용될 수 있다. 컴퓨터 시스템(CS)은 프로세서(PRO)를 위한 명령어들 및 정적 정보를 저장하기 위해 버스(BS)에 결합되는 판독 전용 메모리(ROM) ROM 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광 디스크와 같은 저장 디바이스(SD)가 제공되고, 정보 및 명령어들을 저장하기 위해 버스(BS)에 결합된다.
컴퓨터 시스템(CS)은 컴퓨터 사용자에게 정보를 디스플레이하기 위한 음극선관(CRT) 또는 평면 패널 또는 터치 패널 디스플레이와 같은 디스플레이(DS)에 버스(BS)를 통해 결합될 수 있다. 영숫자 및 다른 키들을 포함하는 입력 디바이스(ID)는 정보 및 커맨드 선택들을 프로세서(PRO)로 통신하기 위해 버스(BS)에 결합된다. 다른 타입의 사용자 입력 디바이스는 방향 정보 및 커맨드 선택들을 프로세서(PRO)로 통신하고 디스플레이(DS) 상의 커서 이동을 제어하기 위한 마우스, 트랙볼 또는 커서 방향 키들과 같은 커서 제어(CC)이다. 이 입력 디바이스는 통상적으로 디바이스가 평면 내의 위치들을 특정하는 것을 가능하게 하는 2개의 축, 즉 제1 축(예로서, X) 및 제2 축(예로서, Y)에서 2개의 자유도를 갖는다. 터치 패널(스크린) 디스플레이도 입력 디바이스로서 사용될 수 있다.
일부 실시예들에서, 본 명세서에서 설명되는 하나 이상의 방법의 부분들은 프로세서(PRO)가 메인 메모리(MM)에 포함된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 것에 응답하여 컴퓨터 시스템(CS)에 의해 수행될 수 있다. 그러한 명령어들은 저장 디바이스(SD)와 같은 다른 컴퓨터 판독 가능 매제로부터 메인 메모리(MM) 내로 판독될 수 있다. 메인 메모리(MM)에 포함된 명령어들의 시퀀스들의 실행은 프로세서(PRO)로 하여금 본 명세서에서 설명되는 프로세스 단계들(동작들)클 수행하게 한다. 다중 처리 배열 내의 하나 이상의 프로세서는 또한 메인 메모리(MM)에 포함된 명령어들의 시퀀스들을 실행하는 데 사용될 수 있다. 일부 실시예들에서, 하드 와이어드 회로가 소프트웨어 명령어들 대신에 또는 그와 조합하여 사용될 수 있다. 따라서, 본 명세서에서의 설명은 하드웨어 회로와 소프트웨어의 임의의 특정 조합으로 한정되지 않는다.
본 명세서에서 사용되는 바와 같은 "컴퓨터 판독 가능 매체"라는 용어는 실행을 위해 프로세서(PRO)에 명령어들을 제공하는 데 참여하는 임의의 매체를 지칭한다. 그러한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하지만 이에 한정되지 않는 많은 형태를 취할 수 있다. 비휘발성 매체는 예를 들어 저장 디바이스(SD)와 같은 광 또는 자기 디스크들을 포함할 수 있다. 휘발성 매체는 메인 메모리(MM)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(BS)를 포함하는 와이어들을 포함하는 동축 케이블, 구리 와이어 및 광섬유를 포함할 수 있다. 전송 매체는 또한 무선 주파수(RF) 및 적외선(IR) 데이터 통신 동안 생성되는 것들과 같은 음파 또는 광파의 형태를 취할 수 있다. 컴퓨터 판독 가능 매체는 비일시적일 수 있으며, 예를 들어 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 구멍들의 패턴들을 갖는 임의의 다른 물리 매체, RAM, PROM 및 EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지일 수 있다. 비일시적 컴퓨터 판독 가능 매체에는 명령어들이 기록될 수 있다. 명령어들은 컴퓨터에 의해 실행될 때, 본 명세서에서 설명되는 동작들 중 임의의 것을 구현할 수 있다. 일시적 컴퓨터 판독 가능 매체는 예를 들어 반송파 또는 다른 전파 전자기 신호를 포함할 수 있다.
다양한 형태의 컴퓨터 판독가능 매체는 실행을 위해 프로세서(PRO)에 하나 이상의 명령어의 하나 이상의 시퀀스를 전달하는 데 관련할 수 있다. 예를 들어, 명령어들은 처음에 원격 컴퓨터의 자기 디스크에 보유될 수 있다. 원격 컴퓨터는 명령어들을 그의 동적 메모리에 로딩하고 명령어들을 모뎀을 사용하여 전화선을 통해 전송할 수 있다. 컴퓨터 시스템(CS)에 국지적인 모뎀은 전화선을 통해 데이터를 수신하고 적외선 송신기를 사용하여 데이터를 적외선 신호로 변환할 수 있다. 버스(BS)에 결합된 적외선 검출기는 적외선 신호에서 전달되는 데이터를 수신하고 데이터를 버스(BS)에 배치할 수 있다. 버스(BS)는 데이터를 메인 메모리(MM)로 전달하고, 프로세서(PRO)는 메인 메모리로부터 명령어들을 검색하여 실행한다. 메인 메모리(MM)에 의해 수신된 명령어들은 선택적으로 프로세서(PRO)에 의해 실행되기 전에 또는 그 후에 저장 디바이스(SD)에 저장될 수 있다.
컴퓨터 시스템(CS)은 또한 버스(BS)에 결합된 통신 인터페이스(CI)를 포함할 수 있다. 통신 인터페이스(CI)는 근거리 네트워크(LAN)에 연결되는 네트워크 링크(NDL)에 대한 양방향 데이터 통신 결합을 제공한다. 예를 들어, 통신 인터페이스(CI)는 대응하는 타입의 전화선에 대한 데이터 통신 연결을 제공하기 위한 통합 서비스 디지털 네트워크(ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(CI)는 호환가능한 LAN에 대한 데이터 통신 연결을 제공하기 위한 근거리 네트워크(LAN) 카드일 수 있다. 무선 링크들이 또한 구현될 수 있다. 임의의 그러한 구현에서, 통신 인터페이스(CI)는 다양한 타입의 정보를 표현하는 디지털 데이터 스트림들을 전달하는 전기, 전자기 또는 광학 신호들을 송신 및 수신한다.
네트워크 링크(NDL)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스들로의 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(NDL)는 근거리 네트워크(LAN)를 통해 호스트 컴퓨터(HC)로의 연결을 제공할 수 있다. 이것은 현재 흔히 "인터넷"(INT)으로 지칭되는 월드와이드 패킷 데이터 통신 네트워크를 통해 제공되는 데이터 통신 서비스를 포함할 수 있다. 근거리 네트워크(LAN)(인터넷)는 디지털 데이터 스트림들을 전달하는 전기, 전자기 또는 광학 신호들을 사용할 수 있다. 컴퓨터 시스템(CS)으로 그리고 그로부터 디지털 데이터를 전달하는 다양한 네트워크를 통한 신호 및 네트워크 데이터 링크(NDL) 상의 신호, 및 통신 인터페이스(CI)를 통한 신호는 정보를 운반하는 반송파들의 예시적인 형태들이다.
컴퓨터 시스템(CS)은 네트워크(들), 네트워크 데이터 링크(NDL) 및 통신 인터페이스(CI)를 통해 메시지들을 전송하고, 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷 예에서, 호스트 컴퓨터(HC)는 인터넷(INT), 네트워크 데이터 링크(NDL), 근거리 네트워크(LAN) 및 통신 인터페이스(CI)를 통해 애플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 그러한 다운로드된 애플리케이션은 예를 들어 본 명세서에서 설명되는 방법의 전부 또는 일부를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(PRO)에 의해 실행되고/되거나, 나중의 실행을 위해 저장 디바이스(SD) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(CS)은 반송파의 형태로 애플리케이션 코드를 획득할 수 있다.
도 9는 일 실시예에 따른 리소그래피 투영 장치의 개략도이다. 리소그래피 투영 장치는 조명 시스템(IL), 제1 객체 테이블(MT), 제2 객체 테이블(WT) 및 투영 시스템(PS)을 포함할 수 있다. 조명 시스템(IL)은 복사선의 빔(B)을 컨디셔닝할 수 있다. 이 예에서, 조명 시스템은 또한 복사선 소스(SO)를 포함한다. 제1 객체 테이블(예를 들어, 패터닝 디바이스 테이블)(MT)은 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하기 위한 패터닝 디바이스 홀더를 구비할 수 있고, 제1 포지셔너에 연결되어 패터닝 디바이스를 아이템(PS)에 대해 정확하게 위치시킬 수 있다. 제2 객체 테이블(예를 들어, 기판 테이블)(WT)은 기판(W)(예를 들어, 레지스트 코팅된 실리콘 웨이퍼)을 유지하기 위한 기판 홀더를 구비할 수 있고, 제2 포지셔너에 연결되어 기판을 아이템(PS)에 대해 정확하게 위치시킬 수 있다. 투영 시스템(예를 들어, 렌즈를 포함함)(PS)(예를 들어, 굴절, 반사 또는 반사 굴절 광학 시스템)은 패터닝 디바이스(MA)의 조사된 부분을 기판(W)의 타겟 부분(C)(예를 들어, 하나 이상의 다이를 포함함) 상에 이미징할 수 있다.
도시된 바와 같이, 장치는 투과 타입일 수 있다(즉, 투과형 패터닝 디바이스를 가짐). 그러나, 일반적으로, 장치는 또한 예를 들어 반사 타입일 수 있다(반사형 패터닝 디바이스를 가짐). 장치는 고전적인 마스크에 대해 상이한 종류의 패터닝 디바이스를 사용할 수 있고; 예들은 프로그래밍 가능한 미러 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)(예로서, 수은 램프 또는 엑시머 레이저, LPP(레이저 생성 플라즈마) EUV 소스)는 복사선 빔을 생성한다. 이 빔은 직접 또는 예를 들어 빔 확장기와 같은 컨디셔닝 수단을 가로지른 후에 조명 시스템(조명기)(IL) 내로 공급된다. 조명기(IL)는 빔 내의 강도 분포의 외측 및/또는 내측 방사상 정도(일반적으로 각각 σ-외측 및 σ-내측으로 지칭됨)를 설정하기 위한 조정 수단(AD)을 포함할 수 있다. 게다가, 그것은 일반적으로 적분기(IN) 및 콘덴서(CO)와 같은 다양한 다른 컴포넌트들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA) 상에 충돌하는 빔(B)은 그의 단면에서 원하는 균일성 및 강도 분포를 갖는다.
일부 실시예들에서, 소스(SO)는 (예를 들어, 소스(SO)가 수은 램프인 경우에 종종 그러하듯이) 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 리소그래피 투영 장치로부터 떨어져 있을 수도 있다. 그것이 생성하는 복사선 빔은 예를 들어 (예를 들어, 적절한 지향 미러들의 도움으로) 장치 내로 유도될 수 있다. 예를 들어, 이러한 후자의 시나리오는 소스(SO)가 (예를 들어, KrF, ArF 또는 F2 레이징에 기초하는) 엑시머 레이저인 경우일 수 있다.
빔(B)은 이어서 패터닝 디바이스 테이블(MT) 상에 유지되는 패터닝 디바이스(MA)를 인터셉트할 수 있다. 패터닝 디바이스(MA)를 가로지른 빔(B)은 빔(B)을 기판(W)의 타겟 부분(C) 상에 포커싱하는 렌즈(PL)를 통과할 수 있다. 제2 포지셔닝 수단(및 간섭 측정 수단(IF))의 도움으로, 기판 테이블(WT)은 예를 들어 빔(B)의 경로 내에 상이한 타겟 부분들(C)을 위치시키기 위해 정확하게 이동될 수 있다. 유사하게, 제1 포지셔닝 수단은 예를 들어 패터닝 디바이스 라이브러리로부터 패터닝 디바이스(MA)의 기계적 검색 후에 또는 스캔 동안에 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확하게 위치시키는 데 사용될 수 있다. 일반적으로, 객체 테이블들(MT, WT)의 이동은 긴 행정 모듈(대략적 포지셔닝) 및 짧은 행정 모듈(정밀한 포지셔닝)의 도움으로 실현될 수 있다. 그러나, (스텝 앤 스캔 도구와 달리) 스텝퍼의 경우에, 패터닝 디바이스 테이블(MT)은 짧은 행정 액추에이터에 연결될 수 있거나, 고정될 수 있다.
도시된 도구는 2개의 상이한 모드, 즉 스텝 모드 및 스캔 모드에서 사용될 수 있다. 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 본질적으로 정지 상태로 유지되고, 패터닝 디바이스 이미지 전체가 하나의 동작(즉, 단일 "플래시")에서 타겟 부분(C) 상에 투영된다. 기판 테이블(WT)은 상이한 타겟 부분(C)이 빔(B)에 의해 조사될 수 있도록 x 및/또는 y 방향으로 시프트될 수 있다. 스캔 모드에서는, 주어진 타겟 부분(C)이 단일 "플래시"에서 노출되지 않는 것을 제외하고는, 본질적으로 동일한 시나리오가 적용된다. 대신에, 패터닝 디바이스 테이블(MT)은 주어진 방향(예를 들어, "스캔 방향" 또는 "y" 방향)으로 속도 v로 이동 가능하여, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하게 된다. 동시에, 기판 테이블(WT)은 동일한 또는 반대 방향으로 속도 V= Mv로 동시에 이동되며, 여기서 M은 렌즈의 배율(통상적으로, M = 1/4 또는 1/5)이다. 이러한 방식으로, 해상도를 손상시킬 필요 없이, 비교적 큰 타겟 부분(C)이 노출될 수 있다.
도 10은 다른 리소그래피 투영 장치(LPA)의 개략도이다. LPA는 소스 수집기 모듈(SO), 복사선 빔(B)(예로서, EUV 복사선)을 컨디셔닝하도록 구성된 조명 시스템(조명기)(IL), 지지 구조(MT), 기판 테이블(WT) 및 투영 시스템(PS)을 포함할 수 있다. 지지 구조(예로서, 패터닝 디바이스 테이블)(MT)는 패터닝 디바이스(예로서, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결될 수 있다. 기판 테이블(예로서, 웨이퍼 테이블)(WT)은 기판(예로서, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결될 수 있다. 투영 시스템(예로서, 반사형 투영 시스템)(PS)은 패터닝 디바이스(MA)에 의해 복사선 빔(B)에 부여되는 패턴을 기판(W)의 (예로서, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상에 투영하도록 구성될 수 있다.
이 예에 도시된 바와 같이, LPA는 (예를 들어, 반사형 패터닝 디바이스를 사용하는) 반사 타입일 수 있다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 패터닝 디바이스는 예를 들어 몰리브덴 및 실리콘의 멀티-스택을 포함하는 다층 반사기들을 가질 수 있다는 점에 유의해야 한다. 일례에서, 멀티-스택 반사기는 각각의 층의 두께가 1/4 파장인 몰리브덴 및 실리콘의 40 층 쌍을 갖는다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 X-선 파장들에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수 재료의 얇은 조각(예를 들어, 다층 반사기의 최상부 상의 TaN 흡수기)은 피처들이 인쇄될 곳(포지티브 레지스트) 또는 인쇄되지 않을 곳(네거티브 레지스트)을 정의한다.
조명기(IL)는 소스 수집기 모듈(SO)로부터 극자외선 복사선 빔을 수신할 수 있다. EUV 복사선을 생성하는 방법들은 재료를 EUV 범위 내의 하나 이상의 방출 라인을 갖는 적어도 하나의 요소, 예를 들어 크세논, 리튬 또는 주석을 갖는 플라즈마 상태로 변환하는 것을 포함하지만, 반드시 이에 제한되지는 않는다. 종종 레이저 생성 플라즈마("LPP")라고 하는 하나의 그러한 방법에서, 플라즈마는 라인 방출 요소를 갖는 재료의 액적, 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 수집기 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하기 위한 레이저(도 10에 도시되지 않음)를 포함하는 EUV 복사 시스템의 일부일 수 있다. 결과적인 플라즈마는 출력 복사선, 예를 들어 EUV 복사선을 방출하고, 이것은 소스 수집기 모듈에 배치된 복사선 수집기를 사용하여 수집된다. 레이저 및 소스 수집기 모듈은 예를 들어 C02 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용될 때 별개의 엔티티들일 수 있다. 이 예에서, 레이저는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않을 수 있고, 복사선 빔은 예를 들어 적합한 지향 미러들 및/또는 빔 확장기를 포함하는 빔 전달 시스템의 도움으로 레이저로부터 소스 수집기 모듈로 전달될 수 있다. 다른 예들에서, 소스는 예를 들어 소스가 종종 DPP 소스라고 하는 방전 생성 플라즈마 EUV 생성기일 때 소스 수집기 모듈의 일체 부분일 수 있다.
조명기(IL)는 복사선 빔의 각도 강도 분포를 조정하기 위한 조정기를 포함할 수 있다. 일반적으로, 조명기의 동공 평면 내의 강도 분포의 적어도 외측 및/또는 내측 방사상 정도(일반적으로 각각 σ-외측 및 σ-내측으로 지칭됨)가 조정될 수 있다. 또한, 조명기(IL)는 패싯형 필드 및 동공 미러 디바이스들과 같은 다양한 다른 컴포넌트들을 포함할 수 있다. 조명기는 복사선 빔을 컨디셔닝하여 그의 단면에서 원하는 균일성 및 강도 분포를 갖기 위해 사용될 수 있다.
복사선 빔(B)은 지지 구조(예를 들어, 패터닝 디바이스 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사될 수 있고 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후에, 복사선 빔(B)은 빔을 기판(W)의 타겟 부분(C)에 포커싱하는 투영 시스템(PS)을 통과한다. 제2 포지셔너(PW) 및 위치 센서(PS2)(예를 들어, 간섭 디바이스, 선형 인코더, 또는 용량 센서)의 도움으로, 기판 테이블(WT)은 (예를 들어, 복사선 빔(B)의 경로에 상이한 타겟 부분들(C)을 위치시키기 위해) 정확하게 이동될 수 있다. 유사하게, 제1 포지셔너(PM) 및 다른 위치 센서(PS1)는 복사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확하게 위치시키기 위해 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 사용하여 정렬될 수 있다.
도시된 장치(LPA)는 다음의 모드들, 즉 스텝 모드, 스캔 모드, 및 정지 모드 중 적어도 하나에서 사용될 수 있다. 스텝 모드에서, 지지 구조(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 본질적으로 정지 상태로 유지되는 반면, 복사선 빔에 부여되는 전체 패턴은 한 번에 타겟 부분(C) 상에 투영된다(예를 들어, 단일 정적 노출). 이어서, 기판 테이블(WT)은 상이한 타겟 부분(C)이 노출될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스캔 모드에서, 지지 구조(예를 들어, 패터닝 디바이스 테이블)(MT) 및 기판 테이블(WT)은 복사선 빔에 부여되는 패턴이 타겟 부분(C) 상에 투영되는 동안 동기적으로 스캐닝된다(즉, 단일 동적 노출). 지지 구조(예를 들어, 패터닝 디바이스 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성들에 의해 결정될 수 있다. 정지 모드에서, 지지 구조(예를 들어, 패터닝 디바이스 테이블)(MT)는 프로그래밍 가능한 패터닝 디바이스를 유지하도록 본질적으로 정지 상태로 유지되며, 기판 테이블(WT)은 복사선 빔에 부여되는 패턴이 타겟 부분(C) 상에 투영되는 동안 이동되거나 스캐닝된다. 이 모드에서, 일반적으로 펄스형 복사선 소스가 이용되고, 프로그래밍 가능한 패터닝 디바이스는 필요에 따라 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 동안 연속적인 복사선 펄스들 사이에서 업데이트된다. 이 동작 모드는 위에서 언급된 바와 같은 타입의 프로그래밍 가능한 미러 어레이와 같은 프로그래밍 가능한 패터닝 디바이스를 이용하는 마스크리스 리소그래피에 쉽게 적용될 수 있다.
도 11은 도 10에 도시된 리소그래피 투영 장치의 상세도이다. 도 11에 도시된 바와 같이, LPA는 소스 수집기 모듈(SO), 조명 시스템(IL) 및 투영 시스템(PS)을 포함할 수 있다. 소스 수집기 모듈(SO)은 소스 수집기 모듈(SO)의 봉입 구조(220) 내에 진공 환경이 유지될 수 있도록 구성된다. EUV 복사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 복사선은 핫 플라즈마(210)가 전자기 스펙트럼의 EUV 범위 내의 복사선을 방출하도록 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 핫 플라즈마(210)는 예를 들어 적어도 부분적으로 이온화된 플라즈마를 유발하는 전기 방전에 의해 생성된다. 복사선의 효율적인 생성을 위해, 예를 들어 Xe, Li, Sn 증기 또는 임의의 다른 적절한 가스 또는 증기의 10 Pa의 부분 압력들이 요구될 수 있다. 일부 실시예들에서, 여기된 주석(Sn)의 플라즈마가 제공되어 EUV 복사선을 생성한다.
핫 플라즈마(210)에 의해 방출되는 복사선은 소스 챔버(211) 내의 개구 내에 또는 뒤에 위치되는 선택적인 가스 배리어 또는 오염물 트랩(230)(일부 경우들에서 오염물 배리어 또는 포일 트랩으로도 지칭됨)을 통해 소스 챔버(211)로부터 수집기 챔버(212) 내로 전달된다. 오염물 트랩(230)은 채널 구조를 포함할 수 있다. 오염물 트랩(230)은 또한 가스 배리어 또는 가스 배리어와 채널 구조의 조합을 포함할 수 있다. 오염물 트랩 또는 오염물 배리어(230)(아래에 설명됨)는 또한 채널 구조를 포함한다. 수집기 챔버(211)는 스침 입사 수집기(grazing incidence collector)일 수 있는 복사선 수집기(CO)를 포함할 수 있다. 복사선 수집기(CO)는 상류 복사선 수집기 측(251) 및 하류 복사선 수집기 측(252)을 갖는다. 수집기(CO)를 가로지르는 복사선은 격자 스펙트럼 필터(240)로부터 반사되어 일점쇄선 "O"에 의해 표시된 광학 축을 따라 가상 소스 포인트(IF)에 포커싱될 수 있다. 가상 소스 포인트(IF)는 일반적으로 중간 포커스로 지칭되고, 소스 수집기 모듈은 중간 포커스(IF)가 봉입 구조(220) 내의 개구(221)에 또는 그 근처에 위치되도록 배열된다. 가상 소스 포인트(IF)는 복사선 방출 플라즈마(210)의 이미지이다.
이어서, 복사선은 조명 시스템(IL)을 가로지르며, 이 조명 시스템은 패터닝 디바이스(MA)에서 복사선 빔(21)의 원하는 각도 분포뿐만 아니라 패터닝 디바이스(MA)에서 복사선 강도의 원하는 균일성을 제공하도록 배열된 패싯형 필드 미러 디바이스(22) 및 패싯형 동공 미러 디바이스(24)를 포함할 수 있다. 지지 구조(MT)에 의해 유지되는 패터닝 디바이스(MA)에서의 복사선(21)의 빔의 반사 시에, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의해 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되는 기판(W) 상에 이미징된다. 도시된 것보다 더 많은 요소들이 일반적으로 조명 광학계 유닛(IL) 및 투영 시스템(PS)에 존재할 수 있다. 예를 들어, 리소그래피 장치의 타입에 따라, 격자 스펙트럼 필터(240)가 선택적으로 존재할 수 있다. 또한, 도면들에 도시된 것들보다 더 많은 미러들이 존재할 수 있는데, 예를 들어, 도 11에 도시된 것보다 1-6개 더 많은 반사 요소가 투영 시스템(PS)에 존재할 수 있다.
도 11에 도시된 바와 같은 수집기 광학계(CO)는 단지 수집기(또는 수집기 미러)의 일례로서 스침 입사 반사기들(253, 254, 255)을 갖는 내포형 수집기로서 도시된다. 스침 입사 반사기들(253, 254, 255)은 광학 축(0)에 대해 축 대칭으로 배치되며, 이러한 타입의 수집기 광학계(CO)는 종종 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
도 12는 (이전 도면들에 도시된) 리소그래피 투영 장치(LPA)의 소스 수집기 모듈(SO)의 상세도이다. 소스 수집기 모듈(SO)은 LPA 복사선 시스템의 일부일 수 있다. 레이저(LA)는 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료 내에 레이저 에너지를 퇴적하여, 수십 eV의 전자 온도를 갖는 고도로 이온화된 플라즈마(210)를 생성하도록 배열될 수 있다. 이러한 이온들의 여기 해제 및 재결합 동안 생성되는 에너지 복사선은 플라즈마로부터 방출되고, 거의 수직 입사 수집기 광학계(CO)에 의해 수집되고, 봉입 구조(220) 내의 개구(221) 상에 포커싱된다.
본 명세서에 개시된 개념들은 하위 파장 피처들을 이미징하기 위한 임의의 일반 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있고, 점점 더 짧은 파장들을 생성할 수 있는 새로운 이미징 기술들에 특히 유용할 수 있다. 새로운 기술들은 ArF 레이저의 사용으로 193nm 파장을, 심지어 불소 레이저의 사용으로 157nm 파장을 생성할 수 있는 EUV(extreme ultra violet), DUV 리소그래피를 포함한다. 더욱이, EUV 리소그래피는 싱크로트론(synchrotron)을 사용함으로써 또는 고에너지 전자들을 재료(고체 또는 플라즈마)에 충돌시킴으로써 20-50nm의 범위 내의 파장들을 생성하여 이 범위 내의 광자들을 생성할 수 있다.
도 13은 전자 빔 검사 장치(1320)의 실시예를 개략적으로 도시한다. 일부 실시예들에서, 검사 장치는 기판 상에 노출되거나 전사되는 구조(예를 들어, 집적 회로와 같은 디바이스의 구조의 일부 또는 전부)의 이미지를 산출하는 전자 빔 검사 장치(예를 들어, 스캐닝 전자 현미경(SEM)과 동일하거나 유사함)일 수 있다. 전자 소스(1322)로부터 방출된 1차 전자 빔(1324)은 콘덴서 렌즈(1326)에 의해 수렴된 다음, 빔 편향기(1328), E x B 편향기(1330) 및 대물 렌즈(1332)를 통과하여, 포커스에서 기판 테이블(1312) 상의 기판(1310)을 조사한다.
기판(1310)이 전자 빔(1324)으로 조사될 때, 2차 전자들이 기판(1310)으로부터 생성된다. 2차 전자들은 E x B 편향기(1330)에 의해 편향되고 2차 전자 검출기(1334)에 의해 검출된다. 예를 들어 빔 편향기(1328)에 의한 전자 빔의 2차원 스캐닝 또는 X 또는 Y 방향으로의 빔 편향기(1328)에 의한 전자 빔(1324)의 반복적인 스캐닝과 동기하여, X 또는 Y 방향 중 다른 하나의 방향으로의 기판 테이블(1312)에 의한 기판(1310)의 연속적인 이동과 함께, 샘플로부터 생성된 전자들을 검출함으로써 2차원 전자 빔 이미지가 획득될 수 있다. 따라서, 일 실시예에서, 전자 빔 검사 장치는 전자 빔이 전자 빔 검사 장치에 의해 제공될 수 있는 각도 범위(예를 들어, 편향기(1328)가 전자 빔(1324)을 제공할 수 있는 각도 범위)에 의해 정의되는 전자 빔에 대한 시야를 갖는다. 따라서, 시야의 공간적 정도는 전자 빔의 각도 범위가 표면 상에 충돌할 수 있는 공간적 정도이다(표면은 정지되어 있을 수 있거나, 필드에 대해 이동할 수 있음).
도 13에 도시된 바와 같이, 2차 전자 검출기(1334)에 의해 검출된 신호는 아날로그/디지털(A/D) 변환기(1336)에 의해 디지털 신호로 변환될 수 있고, 디지털 신호는 이미지 처리 시스템(1350)에 전송될 수 있다. 일 실시예에서, 이미지 처리 시스템(1350)은 처리 유닛(1358)에 의한 처리를 위해 디지털 이미지들의 전부 또는 일부를 저장하기 위한 메모리(1356)를 가질 수 있다. 처리 유닛(1358)(예를 들어, 특별히 설계된 하드웨어 또는 하드웨어와 소프트웨어의 조합 또는 소프트웨어를 포함하는 컴퓨터 판독가능 매체)은 디지털 이미지들을 디지털 이미지들을 나타내는 데이터세트들로 변환하거나 처리하도록 구성된다. 일 실시예에서, 처리 유닛(1358)은 본 명세서에 설명된 동작(예를 들어, SEM 검사)의 실행을 야기하도록 구성되거나 프로그래밍된다. 또한, 이미지 처리 시스템(1350)은 디지털 이미지들 및 대응하는 데이터세트들을 기준 데이터베이스에 저장하도록 구성된 저장 매체(1352)를 가질 수 있다. 디스플레이 디바이스(1354)는 이미지 처리 시스템(1350)과 연결될 수 있고, 따라서 오퍼레이터는 그래픽 사용자 인터페이스의 도움으로 장비의 필요한 동작을 수행할 수 있다.
도 14는 검사 장치의 다른 실시예를 개략적으로 도시한다. 시스템은 샘플 스테이지(89) 상의 (기판과 같은) 샘플(90)을 검사하는 데 사용되고, 하전 입자 빔 생성기(81), 콘덴서 렌즈 모둘(82), 프로브 형성 대물 렌즈 모듈(83), 하전 입자 빔 편향 모듈(84), 2차 하전 입자 검출기 모듈(85), 이미지 형성 모듈(86), 및/또는 다른 컴포넌트들을 포함한다. 하전 입자 빔 생성기(81)는 1차 하전 입자 빔(91)을 생성한다. 콘덴서 렌즈 모듈(82)은 생성된 1차 하전 입자 빔(91)을 집광한다. 프로브 형성 대물 렌즈 모듈(83)은 집광된 1차 하전 입자 빔을 하전 입자 빔 프로브(92)로 포커싱시킨다. 하전 입자 빔 편향 모듈(84)은 형성된 하전 입자 빔 프로브(92)를 샘플 스테이지(89) 상에 고정된 샘플(90) 상의 관심 영역의 표면에 걸쳐 스캐닝한다. 일부 실시예들에서, 하전 입자 빔 생성기(81), 콘덴서 렌즈 모듈(82), 및 프로브 형성 대물 렌즈 모둘(83), 또는 이들의 등가 설계들, 대안들 또는 이들의 임의의 조합은 스캐닝 하전 입자 빔 프로브(92)를 생성하는 하전 입자 빔 프로브 생성기를 함께 형성한다.
2차 하전 입자 검출기 모듈(85)은 하전 입자 빔 프로브(92)에 의해 충격을 받을 때 샘플 표면으로부터 방출된 2차 하전 입자들(93)을 (아마도 또한 샘플 표면으로부터의 다른 반사된 또는 산란된 하전 입자들과 함께) 검출하여 2차 하전 입자 검출 신호(94)를 생성한다. 이미지 형성 모듈(86)(예를 들어, 컴퓨팅 디바이스)은 2차 하전 입자 검출기 모듈(85)과 결합되어 2차 하전 입자 검출기 모듈(85)로부터 2차 하전 입자 검출 신호(94)를 수신하고 그에 따라 적어도 하나의 스캐닝된 이미지를 형성한다. 일 실시예에서, 2차 하전 입자 검출기 모듈(85) 및 이미지 형성 모듈(86), 또는 이들의 등가 설계들, 대안들 또는 이들의 임의의 조합은 함께, 하전 입자 빔 프로브(92)에 의해 충격을 받은 샘플(90)로부터 방출된 검출된 2차 하전 입자들로부터 스캐닝된 이미지를 형성하는 이미지 형성 장치를 형성한다.
일 실시예에서, 모니터링 모듈(87)은 이미지 형성 장치의 이미지 형성 모듈(86)에 결합되어, 패터닝 프로세스를 모니터링, 제어 등을 하고/하거나, 이미지 형성 모듈(86)로부터 수신된 샘플(90)의 스캐닝된 이미지를 사용하여 패터닝 프로세스 설계, 제어, 모니터링 등을 위한 파라미터를 도출한다. 일부 실시예들에서, 모니터링 모듈(87)은 본 명세서에 설명된 동작의 실행을 야기하도록 구성되거나 프로그래밍된다. 일부 실시예들에서, 모니터링 모듈(87)은 컴퓨팅 디바이스를 포함한다. 일부 실시예들에서, 모니터링 모듈(87)은 본 명세서에 설명된 기능을 제공하도록 구성된 컴퓨터 프로그램을 포함한다. 일부 실시예들에서, 도 14의 시스템에서의 전자 빔의 프로브 스폿 크기는 예를 들어 CD에 비해 상당히 더 커서, 프로브 스폿은 검사 속도가 빠를 수 있도록 충분히 크다. 그러나, 큰 프로브 스폿으로 인해 해상도는 더 낮을 수 있다. 예를 들어, 도 13 및/또는 도 14의 시스템으로부터의 SEM 이미지들은 이미지에서 반도체 디바이스 구조들을 표현하는 객체들의 에지들을 설명하는 치수들, 형상들, 윤곽들, 및/또는 다른 정보를 추출하도록 처리될 수 있다. 형상들, 윤곽들, 및/또는 다른 정보는 사용자 정의 컷-라인들에서 그리고/또는 다른 위치들에서 CD와 같은 메트릭들을 통해 정량화될 수 있다. 일부 실시예들에서, 디바이스 구조들의 이미지들은 추출된 윤곽들에 대해 측정된 에지 대 에지 거리(CD) 또는 이미지들 사이의 단순한 픽셀 차이들과 같은 메트릭들을 통해 비교되고 정량화된다. 대안적으로, 메트릭들은 EP 게이지들 및/또는 다른 파라미터들을 포함할 수 있다. 본 개시의 실시예들은 다음의 조항들에 의해 추가로 설명될 수 있다.
1. 패터닝 프로세스와 연관된 예측 모델에서 예측 불확실성을 감소시키기 위한 방법으로서,
상기 예측 모델을 사용하여 예측 데이터를 결정하는 단계 - 상기 예측 모델은 교정 데이터로 교정되었음 -;
상기 예측 데이터에 기초하여 예측 불확실성 파라미터를 결정하는 단계 - 상기 예측 불확실성 파라미터는 상기 예측 데이터의 변동과 연관됨 -;
상기 예측 불확실성 파라미터에 기초하여 패터닝 프로세스 데이터의 서브세트를 선택하는 단계;
상기 교정 데이터 및 상기 패터닝 프로세스 데이터의 상기 선택된 서브세트를 사용하여 상기 예측 모델을 재교정하는 단계; 및
상기 재교정된 예측 모델로부터의 예측들에 기초하여 하나 이상의 반도체 디바이스 제조 프로세스 파라미터를 결정하는 단계를 포함하는, 방법.
2. 조항 1에 있어서, 상기 예측 모델이 수렴할 때까지, 상기 예측 데이터를 결정하는 단계, 상기 예측 불확실성 파라미터를 결정하는 단계, 선택하는 단계, 및 재교정하는 단계를 반복적으로 반복하는 단계를 더 포함하는, 방법.
3. 조항 2에 있어서, 모델 수렴은 모델 에러 임계 레벨을 위반하는 모델 에러를 포함하고, 상기 모델 에러는 기준 기하구조와 상기 예측 모델에 의한 상기 패터닝 프로세스의 시뮬레이션으로부터 생성된 시뮬레이션된 기하구조 사이의 차이인, 방법.
4. 조항 4에 있어서, 상기 기준 기하구조는 스캐닝 전자 현미경으로부터의 측정된 기하구조인, 방법.
5. 조항 1에 있어서, 상기 예측 불확실성 파라미터는,
기판의 임계 치수의 값;
상기 패터닝 프로세스의 패턴과 연관된 곡률;
상기 패터닝 프로세스에서 사용되는 강도; 및
상기 패터닝 프로세스의 패턴과 연관된 이미지 기울기
중 적어도 하나와 연관되는, 방법.
6. 조항 1에 있어서, 상기 하나 이상의 결정된 반도체 디바이스 제조 프로세스 파라미터는 마스크 설계, 동공 형상, 도스 또는 포커스 중 하나 이상을 포함하는, 방법.
7. 조항 1에 있어서, 상기 하나 이상의 결정된 반도체 디바이스 제조 프로세스 파라미터는 마스크 설계를 포함하고, 상기 방법은 상기 재교정된 예측 모델로부터의 상기 예측들에 기초하여 상기 마스크 설계를 제1 마스크 설계로부터 제2 마스크 설계로 조정하는 단계를 더 포함하는, 방법.
8. 조항 1에 있어서, 상기 하나 이상의 결정된 반도체 디바이스 제조 파라미터에 기초하여 반도체 디바이스 제조 장치에 대한 조정을 결정하는 단계를 더 포함하는, 방법.
9. 조항 1에 있어서, 상기 하나 이상의 결정된 반도체 디바이스 제조 파라미터에 기초하여 반도체 디바이스 제조 프로세스에 대한 조정을 결정하는 단계를 더 포함하는, 방법.
10. 조항 9에 있어서, 상기 결정된 조정에 기초하여 상기 반도체 디바이스 제조 장치를 조정하는 단계를 더 포함하는, 방법.
11. 조항 1에 있어서, 상기 교정 데이터는 교정 패턴을 포함하고, 상기 교정 패턴은 기판 상의 패턴의 기하학적 피처들과 연관되고, 상기 예측 데이터는 예측 패턴을 포함하고, 상기 예측 패턴은 상기 기판 상의 상기 패턴의 예측된 기하학적 피처들과 연관되는, 방법.
12. 패터닝 프로세스와 연관된 예측 모델에서 예측 불확실성을 감소시키기 위한 방법으로서,
예측 데이터에 기초하여 예측 불확실성 파라미터를 결정하는 단계 - 상기 예측 데이터는 상기 패터닝 프로세스와 연관된 상기 예측 모델을 사용하여 결정되고, 상기 예측 모델은 교정 데이터로 교정되었고, 상기 예측 불확실성 파라미터는 상기 예측 데이터의 변동과 연관됨 -;
상기 예측 불확실성 파라미터에 기초하여 패터닝 프로세스 데이터의 서브세트를 선택하는 단계; 및
상기 교정 데이터 및 상기 패터닝 프로세스 데이터의 상기 선택된 서브세트를 사용하여 상기 예측 모델을 재교정하는 단계를 포함하는, 방법.
13. 조항 12에 있어서, 상기 예측 모델이 수렴할 때까지, 상기 예측 데이터를 결정하는 단계, 상기 예측 불확실성 파라미터를 결정하는 단계, 선택하는 단계, 및 재교정하는 단계를 반복적으로 반복하는 단계를 더 포함하는, 방법.
14. 조항 13에 있어서, 모델 수렴은 모델 에러 임계 레벨을 위반하는 모델 에러를 포함하고, 상기 모델 에러는 기준 기하구조와 상기 예측 모델에 의한 상기 패터닝 프로세스의 시뮬레이션으로부터 생성된 시뮬레이션된 기하구조 사이의 차이인, 방법.
15. 조항 14에 있어서, 상기 기준 기하구조는 스캐닝 전자 현미경으로부터의 측정된 기하구조인, 방법.
16. 조항 12 내지 조항 15 중 어느 한 조항에 있어서, 상기 교정 데이터는 교정 패턴을 포함하고, 상기 교정 패턴은 기판 상의 패턴의 기하학적 피처들과 연관되고, 상기 예측 데이터는 예측 패턴을 포함하고, 상기 예측 패턴은 상기 기판 상의 상기 패턴의 예측된 기하학적 피처들과 연관되는, 방법.
17. 조항 12 내지 조항 16 중 어느 한 조항에 있어서, 상기 예측 불확실성 파라미터는,
기판의 임계 치수의 값;
상기 패터닝 프로세스의 패턴과 연관된 곡률;
상기 패터닝 프로세스에서 사용되는 강도; 및
상기 패터닝 프로세스의 패턴과 연관된 이미지 기울기
중 적어도 하나와 연관되는, 방법.
18. 조항 12 내지 조항 17 중 어느 한 조항에 있어서, 상기 예측 데이터는 예측 패턴 파라미터 값들을 포함하는, 방법.
19. 조항 18에 있어서, 상기 예측 불확실성 파라미터는 상기 예측 패턴 파라미터 값들의 변동과 연관되는, 방법.
20. 조항 19에 있어서, 상기 예측 불확실성 파라미터는 상기 예측 패턴 파라미터 값들의 상기 변동 및 상기 예측 모델과 연관된 피팅 요건들에 기초하여 결정되는, 방법.
21. 조항 12 내지 조항 17 중 어느 한 조항에 있어서, 상기 예측 불확실성 파라미터는 개별 패터닝 프로세스 데이터 포인트들의 랭킹을 포함하는, 방법.
22. 조항 12 내지 조항 17 중 어느 한 조항에 있어서, 상기 예측 불확실성 파라미터는 개별 패터닝 프로세스 데이터 포인트들의 최대 수를 포함하는, 방법.
23. 조항 12 내지 조항 22 중 어느 한 조항에 있어서, 상기 예측 모델은 기계 학습 모델이고, 상기 예측 모델을 교정하는 단계는 상기 기계 학습 모델을 훈련하는 단계를 포함하는, 방법.
24. 조항 12 내지 조항 23 중 어느 한 조항에 있어서, 상기 교정 데이터 및 상기 예측 데이터의 상기 선택된 서브세트를 사용하여 상기 예측 모델을 재교정하는 단계는 상기 예측 모델로부터의 예측들에서 상기 예측 불확실성을 감소시키는, 방법.
25. 명령어들이 기록된 비일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품으로서,
상기 명령어들은 컴퓨터에 의해 실행될 때 조항 1 내지 조항 24 중 어느 한 조항의 방법을 구현하는, 컴퓨터 프로그램 제품.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에서의 웨이퍼 제조를 위해 사용될 수 있지만, 개시된 개념들은 임의의 타입의 제조 시스템(예를 들어, 실리콘 웨이퍼들 이외의 기판들 상에서의 제조를 위해 사용되는 것들)에서 사용될 수 있음을 이해해야 한다. 또한, 개시된 요소들의 조합 및 하위조합들은 별개의 실시예들을 포함할 수 있다.
위의 설명들은 한정이 아니라 예시적인 것을 의도한다. 따라서, 아래에 설명되는 청구항들의 범위로부터 벗어나지 않고, 변경들이 설명된 바와 같이 이루어질 수 있다는 것이 이 분야의 기술자에게 명백할 것이다.

Claims (15)

  1. 패터닝 프로세스와 연관된 예측 모델에서 예측 불확실성을 감소시키기 위한 방법으로서,
    상기 예측 모델을 사용하여 예측 데이터를 결정하는 단계 - 상기 예측 모델은 교정 데이터로 교정되었음 -;
    상기 예측 데이터에 기초하여 예측 불확실성 파라미터를 결정하는 단계 - 상기 예측 불확실성 파라미터는 상기 예측 데이터의 변동과 연관됨 -;
    상기 예측 불확실성 파라미터에 기초하여 패터닝 프로세스 데이터의 서브세트를 선택하는 단계; 및
    상기 교정 데이터 및 상기 패터닝 프로세스 데이터의 상기 선택된 서브세트를 사용하여 상기 예측 모델을 재교정하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 재교정된 예측 모델로부터의 예측들에 기초하여 하나 이상의 반도체 디바이스 제조 프로세스 파라미터를 결정하는 단계를 더 포함하는, 방법.
  3. 제1항에 있어서, 상기 예측 모델이 수렴할 때까지, 상기 예측 데이터를 결정하는 단계, 상기 예측 불확실성 파라미터를 결정하는 단계, 선택하는 단계, 및 재교정하는 단계를 반복적으로 반복하는 단계를 더 포함하는, 방법.
  4. 제3항에 있어서, 모델 수렴은 모델 에러 임계 레벨을 위반하는 모델 에러를 포함하고, 상기 모델 에러는 기준 기하구조와 상기 예측 모델에 의한 상기 패터닝 프로세스의 시뮬레이션으로부터 생성된 시뮬레이션된 기하구조 사이의 차이인, 방법.
  5. 제4항에 있어서, 상기 기준 기하구조는 스캐닝 전자 현미경으로부터의 측정된 기하구조인, 방법.
  6. 제1항에 있어서, 상기 예측 불확실성 파라미터는,
    기판의 임계 치수의 값;
    상기 패터닝 프로세스의 패턴과 연관된 곡률;
    상기 패터닝 프로세스에서 사용되는 강도; 및
    상기 패터닝 프로세스의 패턴과 연관된 이미지 기울기
    중 적어도 하나와 연관되는, 방법.
  7. 제1항에 있어서, 상기 하나 이상의 결정된 반도체 디바이스 제조 프로세스 파라미터는 마스크 설계, 동공 형상, 도스, 또는 포커스 중 하나 이상을 포함하는, 방법.
  8. 제1항에 있어서, 상기 하나 이상의 결정된 반도체 디바이스 제조 프로세스 파라미터는 마스크 설계를 포함하고, 상기 방법은 상기 재교정된 예측 모델로부터의 상기 예측들에 기초하여 상기 마스크 설계를 제1 마스크 설계로부터 제2 마스크 설계로 조정하는 단계를 더 포함하는, 방법.
  9. 제1항에 있어서, 상기 하나 이상의 결정된 반도체 디바이스 제조 파라미터에 기초하여 반도체 디바이스 제조 장치에 대한 조정을 결정하는 단계를 더 포함하는, 방법.
  10. 제1항에 있어서, 상기 하나 이상의 결정된 반도체 디바이스 제조 파라미터에 기초하여 반도체 디바이스 제조 프로세스에 대한 조정을 결정하는 단계를 더 포함하는, 방법.
  11. 제9항에 있어서, 상기 결정된 조정에 기초하여 상기 반도체 디바이스 제조 장치를 조정하는 단계를 더 포함하는, 방법.
  12. 제1항에 있어서, 상기 교정 데이터는 교정 패턴을 포함하고, 상기 교정 패턴은 기판 상의 패턴의 기하학적 피처들과 연관되고, 상기 예측 데이터는 예측 패턴을 포함하고, 상기 예측 패턴은 상기 기판 상의 상기 패턴의 예측된 기하학적 피처들과 연관되는, 방법.
  13. 제1항에 있어서, 상기 예측 불확실성 파라미터를 결정하는 단계는 상기 예측 모델과 연관된 다수의 후보 모델을 사용함으로써 교정 패턴들의 동일한 세트에 대한 예측 데이터를 생성하는 단계를 포함하고, 상기 예측 불확실성 파라미터는 상기 다수의 후보 모델 사이에서의 상기 교정 패턴들의 동일한 세트에 대한 상기 예측 데이터의 분산을 나타내는, 방법.
  14. 제13항에 있어서, 상기 예측 불확실성 파라미터에 기초하여 교정 또는 훈련의 다음 반복을 위해 상기 패턴들의 동일한 세트로부터 교정 패턴들을 선택하는 단계를 더 포함하는, 방법.
  15. 명령어들이 기록된 비일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품으로서,
    상기 명령어들은 컴퓨터에 의해 실행될 때 패터닝 프로세스와 연관된 예측 모델에서 예측 불확실성을 감소시키는 방법을 구현하고, 상기 방법은,
    상기 예측 모델을 사용하여 예측 데이터를 결정하는 단계 - 상기 예측 모델은 교정 데이터로 교정되었음 -;
    상기 예측 데이터에 기초하여 예측 불확실성 파라미터를 결정하는 단계 - 상기 예측 불확실성 파라미터는 상기 예측 데이터의 변동과 연관됨 -;
    상기 예측 불확실성 파라미터에 기초하여 패터닝 프로세스 데이터의 서브세트를 선택하는 단계; 및
    상기 교정 데이터 및 상기 패터닝 프로세스 데이터의 상기 선택된 서브세트를 사용하여 상기 예측 모델을 재교정하는 단계를 포함하는, 컴퓨터 프로그램 제품.
KR1020227000816A 2019-07-10 2020-06-15 모델 예측 불확실성을 감소시키기 위한 모델 교정을 위한 예측 데이터 선택 KR20220018050A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962872521P 2019-07-10 2019-07-10
US62/872,521 2019-07-10
PCT/EP2020/066446 WO2021004725A1 (en) 2019-07-10 2020-06-15 Prediction data selection for model calibration to reduce model prediction uncertainty

Publications (1)

Publication Number Publication Date
KR20220018050A true KR20220018050A (ko) 2022-02-14

Family

ID=71138719

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227000816A KR20220018050A (ko) 2019-07-10 2020-06-15 모델 예측 불확실성을 감소시키기 위한 모델 교정을 위한 예측 데이터 선택

Country Status (5)

Country Link
US (1) US20220276563A1 (ko)
KR (1) KR20220018050A (ko)
CN (1) CN114096917B (ko)
TW (2) TWI791357B (ko)
WO (1) WO2021004725A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240012605A (ko) * 2021-06-10 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 머신 러닝을 사용한 기판 프로세스 엔드포인트 검출
US11965798B2 (en) 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection
US20220397515A1 (en) * 2021-06-10 2022-12-15 Applied Materials, Inc. Obtaining substrate metrology measurement values using machine learning
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
KR20240063109A (ko) * 2021-09-02 2024-05-09 에이에스엠엘 네델란즈 비.브이. 선택된 패턴 세트를 평가하는 방법
US11637582B1 (en) * 2022-02-08 2023-04-25 Qualcomm Incorporated Machine learning-based radio frequency (RF) front-end calibration
TWI803339B (zh) * 2022-06-07 2023-05-21 國立成功大學 光點陣列掃描系統及其方法
CN117722954B (zh) * 2024-02-07 2024-04-19 上海强华实业股份有限公司 精烧产品形貌检测与尺寸分析系统及方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO2000072090A2 (en) * 1999-05-20 2000-11-30 Micronic Laser Systems Ab A method for error reduction in lithography
WO2007019269A2 (en) 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7765021B2 (en) * 2008-01-16 2010-07-27 International Business Machines Corporation Method to check model accuracy during wafer patterning simulation
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
NL2008924A (en) * 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
KR102521159B1 (ko) * 2014-11-25 2023-04-13 피디에프 솔루션즈, 인코포레이티드 반도체 제조 공정을 위한 개선된 공정 제어 기술
EP3379356A1 (en) * 2017-03-23 2018-09-26 ASML Netherlands B.V. Method of modelling lithographic systems for performing predictive maintenance

Also Published As

Publication number Publication date
TW202215166A (zh) 2022-04-16
CN114096917B (zh) 2024-04-16
TWI752539B (zh) 2022-01-11
TW202119133A (zh) 2021-05-16
US20220276563A1 (en) 2022-09-01
CN114096917A (zh) 2022-02-25
WO2021004725A1 (en) 2021-01-14
TWI791357B (zh) 2023-02-01

Similar Documents

Publication Publication Date Title
KR102304331B1 (ko) 기계 학습에 의해 공정 모델들을 결정하는 방법들
US11544440B2 (en) Machine learning based inverse optical proximity correction and process model calibration
TWI752539B (zh) 用於模型校準以減少模型預測不確定性的預測資料選擇
TW202043938A (zh) 在圖案化程序中判斷圖案之方法
TW201539226A (zh) 用於微影程序之最佳化流程
TWI758810B (zh) 用於改善圖案化製程之訓練機器學習模型的方法
TW201643545A (zh) 影像對數斜率(ils)最佳化
US20230107556A1 (en) Machine learning based subresolution assist feature placement
WO2020011507A1 (en) Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
KR20230035384A (ko) 기계 학습 모델을 트레이닝시키기 위한 정보성 패턴을 선택하는 장치 및 방법.
TWI667553B (zh) 判定圖案之特性之方法
WO2022268434A1 (en) Etch simulation model including a correlation between etch biases and curvatures of contours
TWI661264B (zh) 調諧製程模型之方法
KR20210121153A (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
US20210033978A1 (en) Systems and methods for improving resist model predictions
TWI786658B (zh) 像差影響系統、模型、及製造程序
WO2023084063A1 (en) Generating augmented data to train machine learning models to preserve physical trends
KR20240044432A (ko) 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭
WO2024013038A1 (en) Stochastic-aware source mask optimization based on edge placement probability distribution
WO2022189180A1 (en) Method of pattern selection for a semiconductor manufacturing related process
KR20220042450A (ko) 포토리소그래피 이미징을 위한 장치 및 방법
CN118265950A (en) Simulation model stability determining method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal