TW202215166A - 用於模型校準以減少模型預測不確定性的預測資料選擇 - Google Patents

用於模型校準以減少模型預測不確定性的預測資料選擇 Download PDF

Info

Publication number
TW202215166A
TW202215166A TW110148377A TW110148377A TW202215166A TW 202215166 A TW202215166 A TW 202215166A TW 110148377 A TW110148377 A TW 110148377A TW 110148377 A TW110148377 A TW 110148377A TW 202215166 A TW202215166 A TW 202215166A
Authority
TW
Taiwan
Prior art keywords
prediction
model
data
pattern
patterning
Prior art date
Application number
TW110148377A
Other languages
English (en)
Other versions
TWI791357B (zh
Inventor
王磊
陳怡吟
牧 馮
趙謙
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202215166A publication Critical patent/TW202215166A/zh
Application granted granted Critical
Publication of TWI791357B publication Critical patent/TWI791357B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Information Retrieval, Db Structures And Fs Structures Therefor (AREA)
  • Auxiliary Devices For Music (AREA)
  • Inspection Of Paper Currency And Valuable Securities (AREA)

Abstract

本發明描述用於減少與一圖案化程序相關聯之一預測模型中之預測不確定性的系統及方法。此等系統及方法可用於例如校準與該圖案化程序相關聯之一程序模型。減少該預測模型中之該不確定性可包含基於預測資料判定一預測不確定性參數。可使用該預測模型判定該預測資料。該預測模型可已運用校準資料而校準。該預測不確定性參數可與該預測資料之變化相關聯。減少該預測模型中之該不確定性可包括:基於該預測不確定性參數選擇程序資料之一子集;及使用該校準資料以及該程序資料之該選定子集再校準該預測模型。

Description

用於模型校準以減少模型預測不確定性的預測資料選擇
本文中之描述大體而言係關於半導體製造及圖案化程序。更特定言之,本說明書係關於與圖案化程序相關聯之模型化。
微影投影裝置可用於(例如)積體電路(IC)之製造中。圖案化器件(例如光罩)可包括或提供對應於IC(「設計佈局」)之個別層之圖案,且可藉由諸如經由圖案化器件上之圖案來輻照已經塗佈有輻射敏感材料(「抗蝕劑」)層之基板(例如矽晶圓)上之目標部分(例如包含一或多個晶粒)之方法而將此圖案轉印至該目標部分上。一般而言,單一基板含有複數個鄰近目標部分,圖案係由微影投影裝置順次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型之微影投影裝置中,在一個操作中將整個圖案化器件上之圖案轉印至一個目標部分上。此裝置通常被稱作步進器。在通常被稱作步進掃描裝置(step-and-scan apparatus)之替代裝置中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化器件進行掃描,同時平行或反平行於此參考方向而同步地移動基板。圖案化器件上之圖案之不同部分逐漸地轉印至一個目標部分。一般而言,由於微影投影裝置將具有縮減比率M (例如4),因此基板被移動之速度F將為投影光束掃描圖案化器件之速度的1/M倍。可例如自以引用方式併入本文中之US 6,046,792找到關於微影器件之更多資訊。
在將圖案自圖案化器件轉印至基板之前,基板可經歷各種工序,諸如,上底漆、抗蝕劑塗佈及軟烘烤。在曝光之後,基板可經受其他工序(「曝光後工序」),諸如曝光後烘烤(PEB)、顯影、硬烘烤,及經轉印圖案之量測/檢測。此工序陣列係用作製造一器件(例如IC)之個別層的基礎。基板接著可經歷各種程序,諸如,蝕刻、離子植入(摻雜)、金屬化、氧化、化學-機械研磨等,該等程序皆意欲修整器件之個別層。若在器件中需要若干層,則針對每一層來重複整個工序或其變體。最終,在基板上之每一目標部分中將存在一器件。接著藉由諸如切塊或鋸切之技術使此等器件彼此分離,使得可將個別器件安裝於載體上、連接至銷釘等。
因此,製造器件(諸如半導體器件)通常涉及使用多個製造程序處理基板(例如半導體晶圓)以形成器件之各種特徵及多個層。通常使用例如沈積、微影、蝕刻、化學機械研磨及離子植入來製造及處理此等層及特徵。可在一基板上之複數個晶粒上製作多個器件,且接著將其分離成個別器件。此器件製造程序可被認為是圖案化程序。圖案化程序涉及使用微影裝置中之圖案化器件進行圖案化步驟,諸如光學及/或奈米壓印微影,以將圖案化器件上之圖案轉印至基板,且圖案化程序通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影裝置進行抗蝕劑顯影、使用烘烤工具來烘烤基板、使用蝕刻裝置而使用圖案進行蝕刻等。
如所提及,微影為在諸如IC之器件之製造時的中心步驟,其中形成於基板上之圖案界定器件之功能元件,諸如微處理器、記憶體晶片等。相似微影技術亦用於形成平板顯示器、微機電系統(MEMS)及其他器件。
隨著半導體製造程序繼續進步,功能元件之尺寸已不斷地縮減。同時,每器件的諸如電晶體之功能元件之數目已在穩固地增加,此遵循通常被稱作「莫耳定律(Moore's law)」之趨勢。在目前先進技術下,使用微影投影裝置來製造器件之層,該等微影投影裝置使用來自深紫外線照明源之照明將設計佈局投影至基板上,從而產生尺寸充分地低於100 nm、亦即小於來自照明源(例如193 nm照明源)之輻射之波長之一半的個別功能元件。
供印刷尺寸小於微影投影裝置之經典解析度極限之特徵的此程序根據解析度公式CD=k1×λ/NA而通常被稱為低k1微影,其中λ為所使用輻射之波長(當前在大多數狀況下為248 nm或193 nm),NA為微影投影裝置中之投影光學件之數值孔徑,CD為「臨界尺寸」-通常為所印刷之最小特徵大小-且k1為經驗解析度因數。一般而言,k1愈小,則在基板上再生類似於由設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜微調步驟應用至微影投影裝置、設計佈局或圖案化器件。此等步驟包括(例如但不限於) NA及光學相干設定之最佳化、自訂照明方案、相移圖案化器件之使用、設計佈局中之光學近接校準(OPC,有時亦被稱作「光學及程序校準」),或通常被定義為「解析度增強技術」(RET)之其他方法。
OPC及其他RET利用描述微影程序之穩固電子模型。因此需要用於此類微影模型之校準工序,其橫越程序窗提供有效、穩固及準確的模型。當前,使用運用晶圓量測之一定數目個1維及/或2維量規圖案進行校準。更特定言之,1維量規圖案包括具有變化之節距及臨界尺寸(CD)之線空間圖案、隔離線、多個線等。2維量規圖案通常包括線端、接點及隨機選定之靜態隨機存取記憶體(Static Random Access Memory;SRAM)圖案。
根據一實施例,提供一種用於減少與一圖案化程序相關聯之一預測模型中之預測不確定性的方法。該方法包含基於預測資料判定一預測不確定性參數。使用與該圖案化程序相關聯之一或多個預測模型來判定該預測資料。一預測模型可運用校準資料而校準。該預測不確定性參數係與該預測資料之變化相關聯。該方法包含:基於該預測不確定性參數選擇資料。選擇資料可包括選擇圖案、圖案化程序資料、或用於各種應用之任何其他相關的資料。該經選定資料可為圖案化程序資料之一子集。該選定資料可被使用於使用該校準資料以及該圖案化程序資料之該選定子集再校準該預測模型。在一些實施例中,該選定資料可為用於訓練或校準一或多個模型之選定圖案。該等選定圖案可經受藉由一基於光學(optical-based)或基於電子束(electron-beam based)之工具(例如一寬帶電漿光學檢測工具及一掃描電子顯微鏡)之計量或檢測。
在一實施例中,該方法包含反覆地重複判定預測資料、判定該預測不確定性參數、選擇及再校準步驟直至該預測模型收斂。在一實施例中,模型收斂包含一模型誤差突破一模型誤差臨限值位準。該模型誤差為一參考幾何形狀與自由該預測模型對該圖案化程序之一模擬所產生的一經模擬幾何形狀之間的一差。在一實施例中,該參考幾何形狀係來自一掃描電子顯微鏡之一經量測幾何形狀。
在一實施例中,該校準資料包含一校準圖案。該校準圖案係與一基板(例如一晶圓之一層或其他基板)上之一圖案之幾何特徵相關聯。在一實施例中,該預測資料包含一預測圖案。該預測圖案係與該基板上之該圖案之經預測幾何特徵相關聯。
在一實施例中,該預測不確定性參數係與以下各者中之至少一者相關聯:一基板之臨界尺寸之一值;與該圖案化程序之一圖案相關聯之一曲率;該圖案化程序中所使用之一強度;及與該圖案化程序之一圖案相關聯之一影像斜率。
在一實施例中,該預測資料包含經預測圖案參數值。該預測不確定性參數係與該等經預測圖案參數值之變化相關聯。基於該等經預測圖案參數值之該變化及與該預測模型相關聯之擬合要求來判定該預測不確定性參數。作為一非限制性實例,該預測資料可包含經預測臨界尺寸值。該預測不確定性參數可包含臨界尺寸變化。可基於該等經預測臨界尺寸值之變化及與該預測模型相關聯之擬合要求而判定該臨界尺寸變化。
在一實施例中,該預測不確定性參數包含個別圖案化程序資料點之一順位。在一實施例中,該預測不確定性參數包含個別圖案化程序資料點之一最大數目。
在一實施例中,該預測模型係一機器學習模型,且校準該預測模型包含訓練該機器學習模型。
在一實施例中,使用該校準資料以及該圖案化程序資料之該選定子集再校準該預測模型會減少來自該預測模型之預測之該預測不確定性。
根據另一實施例,提供一種用於減少與一圖案化程序相關聯之一預測模型中之預測不確定性的方法。該方法包含使用該預測模型判定預測資料。該預測模型係運用校準資料而校準。該方法包含基於該預測資料判定一預測不確定性參數。該預測不確定性參數係與該預測資料之變化相關聯。該方法包含:基於該預測不確定性參數選擇圖案化程序資料之一子集;及使用該校準資料以及該圖案化程序資料之該選定子集再校準該預測模型。該方法包含基於來自該經再校準預測模型之預測判定一或多個半導體器件製造程序參數。
在一實施例中,該方法包含反覆地重複判定預測資料、判定該預測不確定性參數、選擇及再校準步驟直至該預測模型收斂。在一實施例中,模型收斂包含一模型誤差突破一模型誤差臨限值位準。該模型誤差為一參考幾何形狀與自由該預測模型對該圖案化程序之一模擬所產生的一經模擬幾何形狀之間的一差。在一實施例中,該參考幾何形狀係來自一掃描電子顯微鏡之一經量測幾何形狀。
在一實施例中,該預測不確定性參數係與以下各者中之至少一者相關聯:一基板(例如一晶圓之一層或其他基板)之臨界尺寸之一值;與該圖案化程序之一圖案相關聯之一曲率;該圖案化程序中所使用之一強度;及與該圖案化程序之一圖案相關聯之一影像斜率。再校準該模型會基於該經預測不確定性參數使用選定圖案。
在一實施例中,該一或多個經判定之半導體器件製造程序參數包含一光罩設計、一光瞳形狀、一劑量或一焦點中之一或多者。
在一實施例中,該一或多個經判定之半導體器件製造程序參數包含一光罩設計,且該方法進一步包含基於來自該經再校準預測模型之該等預測將該光罩設計自一第一光罩設計調整為一第二光罩設計。
在一實施例中,該方法進一步包含基於該一或多個經判定之半導體器件製造參數判定對一半導體器件製造裝置之一調整。在一實施例中,該方法包含基於該一或多個經判定之半導體器件製造參數判定對一半導體器件製造程序之一調整。在一實施例中,該方法包含基於該經判定調整來調整該半導體器件製造裝置。
在一實施例中,該校準資料包含一校準圖案。該校準圖案係與一基板(例如一晶圓之一層或其他基板)上之一圖案之幾何特徵相關聯。該預測資料包含一預測圖案。該預測圖案係與該基板上之該圖案之經預測幾何特徵相關聯。
根據另一實施例,提供一種電腦程式產品,其包含其上記錄有指令之一非暫時性電腦可讀媒體。該等指令在由一電腦執行時實施以上所描述之操作。
對於先前電子預測(程序)模型,藉由模型進行之預測之確定性並不清楚。亦即,在給出輸入的情況下,並不清楚先前模型是否產生準確且一致的輸出。產生準確且一致的輸出之預測(程序)模型在積體電路製造程序中係重要的。作為非限制性實例,當自光罩佈局設計產生光罩佈局時,關於模型之預測之不確定性可在所提議光罩佈局中產生不確定性。此等不確定性可導致關於例如晶圓之最終功能性的問題。每當使用模型以模型化積體電路製造程序中之個別操作或作出關於該程序中之個別操作之預測時,都可能將更多不確定性引入至該程序中。
為了解決先前預測模型之此等及其他缺點,本發明方法及系統包括用於減少與圖案化程序相關聯之預測模型中之預測不確定性的方法(及/或經組態以減少與圖案化程序相關聯之預測模型中之預測不確定性的系統)。該方法包含基於預測資料判定一預測不確定性參數。使用與圖案化程序相關聯之預測模型(其已使用校準資料而校準)來判定預測資料。該預測不確定性參數係與該預測資料之變化相關聯。該方法包含:基於預測不確定性參數選擇圖案化程序資料之子集(例如對與給定圖案相關聯之實體晶圓進行之量測);及使用校準資料以及圖案化程序資料之選定子集再校準預測模型。換言之,預測資料可自預測模型模擬資料。可使用預測資料以判定預測不確定性參數。在評估預測不確定性(例如判定預測不確定性參數)之後,可(例如基於預測不確定性參數)選擇具有高預測不確定性之圖案化程序資料。預測不確定性可與例如特定圖案相關聯,使得可基於預測不確定性參數選擇具有高預測不確定性之圖案(及與彼等圖案相關聯之圖案化程序資料)以用於訓練或校準。將所選擇圖案化程序資料與校準資料組合以再校準預測模型。使用程序資料之選定子集以及校準資料以再校準預測模型會減少來自預測模型之預測之預測不確定性。可反覆地重複該方法,從而在不斷反覆中減少預測不確定性直至例如預測模型收斂。
現在將參看圖式詳細地描述實施例,該等圖式被提供為本發明之說明性實例以便使熟習此項技術者能夠實踐本發明。值得注意地,以下之諸圖及實例不意欲將本發明之範疇限於單一實施例,而是借助於所描述或所說明元件中之一些或全部之互換而使其他實施例係可能的。此外,在可部分地或完全地使用已知組件來實施本發明之某些元件的情況下,將僅描述理解本發明所必需之此等已知組件之彼等部分,且將省略此等已知組件之其他部分之詳細描述以便不混淆本發明。如對於熟習此項技術者將顯而易見的是,描述為以軟體實施之實施例不應限於此,而是可包括以硬體或軟體與硬體之組合實施之實施例,且反之亦然,除非本文中另外指定。在本說明書中,展示單數組件之實施例不應被認為限制性的;實情為,除非本文中另有明確陳述,否則本發明意欲涵蓋包括複數個相同組件之其他實施例,且反之亦然。此外,申請人不意欲使本說明書或申請專利範圍中之任何術語歸結於不常見或特殊涵義,除非如此明確闡述。另外,本發明涵蓋本文中借助於說明而提及之已知組件的目前及未來已知等效者。
儘管在本文中可特定地參考IC之製造,但應明確理解,本文中之描述具有許多其他可能應用。舉例而言,本文中之描述可用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等。熟習此項技術者應瞭解,在此類替代應用之內容背景中,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應被認為分別與更一般之術語「光罩」、「基板」及「目標部分」可互換。
在本發明之文件中,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如具有為365 nm、248 nm、193 nm、157 nm或126 nm之波長)及極紫外線輻射(EUV,例如具有在約5 nm至100 nm之範圍內之波長)。
如本文所使用之術語「投影光學件」應被廣泛地解譯為涵蓋各種類型之光學系統,包括例如折射光學件、反射光學件、孔徑及反射折射光學件。術語「投影光學件」亦可包括用於集體地或單個地導向、塑形或控制投影輻射光束的根據此等設計類型中之任一者而操作之組件。術語「投影光學件」可包括微影投影裝置中之任何光學組件,而不論光學組件位於微影投影裝置之光學路徑上之何處。投影光學件可包括用於在來自源之輻射通過(例如半導體)圖案化器件之前塑形、調整及/或投影該輻射的光學組件,及/或用於在輻射通過圖案化器件之後塑形、調整及/或投影該輻射的光學組件。投影光學件通常排除源及圖案化器件。
(例如半導體)圖案化器件可包含或可形成一或多個設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局,此程序常常被稱作電子設計自動化(EDA)。大多數CAD程式遵循預定一組設計規則,以便產生功能設計佈局/圖案化器件。藉由處理及設計限制而設定此等規則。舉例而言,設計規則定義器件(諸如閘、電容器等)或互連線之間的空間容許度,以便確保器件或線彼此不會以非所要方式相互作用。設計規則可包括及/或指定特定參數、關於參數之極限及/或參數範圍,及/或其他資訊。設計規則限制及/或參數中之一或多者可被稱作「臨界尺寸」(CD)。器件之臨界尺寸可被定義為線或孔之最小寬度或兩條線或兩個孔之間的最小空間,或其他特徵。因此,CD判定經設計器件之總大小及密度。器件製作中之目標中之一者係在基板上如實地再生原始設計意圖(經由圖案化器件)。
如本文中所使用之術語「光罩」或「圖案化器件」可被廣泛地解譯為係指可用以向入射輻射光束賦予經圖案化橫截面之通用半導體圖案化器件,經圖案化橫截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦可用於此內容背景中。除經典光罩(透射或反射;二元、相移、混合式等)以外,其他此類圖案化器件之實例包括可程式化鏡面陣列及可程式化LCD陣列。
可程式化鏡面陣列之實例可為具有黏彈性控制層及反射表面之矩陣可定址表面。此裝置所隱含之基本原理為(例如):反射表面之經定址區域將入射輻射反射為繞射輻射,而未經定址區域將入射輻射反射為非繞射輻射。在使用適當濾光器的情況下,可自反射光束濾出該非繞射輻射,從而僅留下繞射輻射;以此方式,光束根據矩陣可定址表面之定址圖案而變得圖案化。可使用合適電子構件來執行所需矩陣定址。在以引用方式併入本文中之美國專利第5,229,872號中給出可程式化LCD陣列之實例。
如本文中所使用,術語「圖案化程序」通常意謂作為微影程序之部分的藉由施加光之指定圖案來產生經蝕刻基板的程序。然而,「圖案化程序」亦可包括電漿蝕刻,此係因為本文中所描述之許多特徵可為使用電漿處理形成印刷圖案提供益處。
如本文中所使用,術語「目標圖案」意謂將待蝕刻於基板上之理想化圖案。
如本文中所使用,術語「印刷圖案」意謂基板上之基於目標圖案而蝕刻的實體圖案。舉例而言,印刷圖案可包括凹槽、通道、凹部、邊緣或由微影程序產生之其他二維及三維特徵。
如本文中所使用,術語「預測模型」及/或「程序模型」(其可互換使用)意謂包括模擬圖案化程序之一或多個模型之模型。舉例而言,預測及/或程序模型可包括光學模型(例如模型化用以在微影程序中遞送光的透鏡系統/投影系統且可包括模型化進入光阻上之光之最終光學影像的光學模型)、抗蝕劑模型(例如模型化抗蝕劑之物理效應,諸如歸因於光之化學效應的抗蝕劑模型),及OPC模型(例如可用以製造目標圖案且可包括次解析度抗蝕劑特徵(SRAF)等的OPC模型)及/或其他模型。
如本文中所使用,術語「校準」意謂修改(例如改良或調諧)及/或驗證某物,諸如程序模型。
作為引言,圖1說明實例微影投影裝置10A之各種子系統的圖解。主要組件為:輻射源12A,其可為深紫外線準分子雷射源或包括極紫外線(EUV)源的其他類型之源(如上文所論述,微影投影裝置自身無需具有輻射源);照明光學件,其例如定義部分相干性(被表示為均方偏差)且可包括塑形來自源12A之輻射的光學件14A、16Aa及16Ab;圖案化器件18A;及透射光學件16Ac,其將圖案化器件圖案之影像投影至基板平面22A上。在投影光學件之光瞳平面處的可調整濾光器或孔徑20A可限定照射於基板平面22A上之光束角度之範圍,其中最大可能角度界定投影光學件之數值孔徑NA= n sin(Θ max),其中n為基板與投影光學件之最後元件之間的介質之折射率,且Θ max為自投影光學件射出的仍可照射於基板平面22A上之光束的最大角度。
在微影投影裝置中,源將照明(亦即輻射)提供至圖案化器件,且投影光學件經由圖案化器件將照明導向至基板上且塑形該照明。投影光學件可包括組件14A、16Aa、16Ab及16Ac中之至少一些。空中影像(AI)為基板位階處之輻射強度分佈。可使用抗蝕劑模型以自空中影像計算抗蝕劑影像,可在全部揭示內容特此以引用方式併入之美國專利申請公開案第US 2009-0157630號中找到此情形之實例。抗蝕劑模型與抗蝕劑層之屬性(例如,在曝光、曝光後烘烤(PEB)及顯影期間發生的化學程序之效應)有關。微影投影裝置之光學屬性(例如,照明、圖案化器件及投影光學件之屬性)規定空中影像且可被定義於光學模型中。由於可改變用於微影投影裝置中之圖案化器件,因此需要使圖案化器件之光學屬性與至少包括源及投影光學件的微影投影裝置之其餘部分之光學屬性分離。美國專利申請公開案第US 2008-0301620號、第2007-0050749號、第2007-0031745號、第2008-0309897號、第2010-0162197號及第2010-0180251號中描述了用以將設計佈局變換成各種微影影像(例如空中影像、抗蝕劑影像等)、使用技術及模型來應用OPC且評估效能(例如依據程序窗)的彼等技術及模型之細節,該等公開案中之每一者之揭示內容之全文特此係以引用方式併入。
可需要使用一或多個工具以產生例如可用以設計、控制、監測等圖案化程序的結果。可提供用於計算上控制、設計等圖案化程序之一或多個態樣的一或多個工具,諸如用於圖案化器件之圖案設計(包括例如添加次解析度輔助特徵或光學近接校正)、用於圖案化器件之照明等。因此,在用於計算上控制、設計等涉及圖案化之製造程序之系統中,製造系統組件及/或程序可由各種功能模組及/或模型描述。在一些實施例中,可提供描述圖案化程序之一或多個步驟及/或裝置之一或多個電子(例如數學、參數化等)模型。在一些實施例中,可使用一或多個電子模型來執行圖案化程序之模擬以模擬圖案化程序使用由圖案化器件提供之設計圖案形成經圖案化基板之方式。
圖2中說明用於模擬微影投影裝置中之微影的例示性流程圖。照明模型31表示照明之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學件模型32表示投影光學件之光學特性(包括由投影光學件引起的輻射強度分佈及/或相位分佈之改變)。設計佈局模型35表示設計佈局之光學特性(包括由給定設計佈局造成的輻射強度分佈及/或相位分佈之改變),該設計佈局為在圖案化器件上或由圖案化器件形成之特徵之配置的表示。可使用照明模型31、投影光學件模型32及設計佈局模型35來模擬空中影像36。可使用抗蝕劑模型37而自空中影像36模擬抗蝕劑影像38。微影之模擬可(例如)預測抗蝕劑影像中之輪廓及/或CD。
更特定言之,照明模型31可表示照明之光學特性,該等光學特性包括但不限於NA-均方偏差(σ)設定,以及任何特定照明形狀(例如,離軸照明,諸如,環形、四極、偶極等)。投影光學件模型32可表示投影光學件之光學特性,包括例如像差、失真、折射率、實體大小或尺寸等。設計佈局模型35亦可表示實體圖案化器件之一或多個物理屬性,如例如全文以引用方式併入之美國專利第7,587,704號中所描述。與微影投影裝置相關聯之光學屬性(例如照明、圖案化器件及投影光學件之屬性)規定空中影像。由於微影投影裝置中使用之圖案化器件可改變,因此需要將圖案化器件之光學屬性與至少包括照明及投影光學件之微影投影裝置之其餘部分的光學屬性分離(因此設計佈局模型35)。
可使用抗蝕劑模型37以自空中影像計算抗蝕劑影像,可在全文特此以引用方式併入之美國專利第8,200,468號中找到此情形之實例。抗蝕劑模型通常與抗蝕劑層之屬性(例如,在曝光、曝光後烘烤及/或顯影期間發生的化學程序之效應)有關。
模擬之目標係準確地預測(例如)邊緣置放、空中影像強度斜率及/或CD,可接著將該等邊緣置放、空中影像強度斜率及/或CD與預期設計進行比較。預期設計通常被定義為預OPC設計佈局,其可以諸如GDSII、OASIS或其他檔案格式之標準化數位檔案格式而提供。
自該設計佈局,可識別被稱作「剪輯」之一或多個部分。在一實施例中,提取一組剪輯,其表示設計佈局中之複雜圖案(通常約為50個至1000個剪輯,但可使用任何數目個剪輯)。如熟習此項技術者應瞭解,此等圖案或剪輯表示設計之小部分(例如,電路、格胞等),且該等剪輯尤其表示需要特定關注及/或驗證之小部分。換言之,剪輯可為設計佈局之部分,或可相似或具有臨界特徵係藉由經驗而識別(包括由客戶提供之剪輯)、藉由試誤法而識別或藉由執行全晶片模擬而識別的設計佈局之部分的相似行為。剪輯常常含有一或多個測試圖案或量規圖案。可由客戶基於設計佈局中需要特定影像最佳化之已知臨界特徵區域而先驗地提供一組初始較大剪輯。替代地,在另一實施例中,可藉由使用識別臨界特徵區域之某種自動化(諸如,機器視覺)或手動演算法而自整個設計佈局提取一組初始較大剪輯。
舉例而言,模擬及模型化可用以組態圖案化器件圖案之一或多個特徵(例如執行光學近接校正)、照明之一或多個特徵(例如改變照明之空間/角強度分佈之一或多個特性,諸如改變形狀),及/或投影光學件之一或多個特徵(例如數值孔徑等)。此組態通常可分別被稱作光罩最佳化、源最佳化及投影最佳化。可獨立地執行或以不同組合形式組合此最佳化。一個此類實例為源-光罩最佳化(source-mask optimization,SMO),其涉及組態圖案化器件圖案之一或多個特徵連同照明之一或多個特徵。最佳化技術可聚焦於剪輯中之一或多者。最佳化可使用本文中所描述之機器學習模型以預測各種參數(包括影像等)之值。
在一些實施例中,可將系統之最佳化程序表示為成本函數。最佳化程序可包含尋找系統之最小化成本函數之一組參數(設計變數、程序變數等)。成本函數可取決於最佳化之目標而具有任何合適形式。舉例而言,成本函數可為系統之某些特性(評估點)相對於此等特性之預期值(例如理想值)之偏差的加權均方根(RMS)。成本函數亦可為此等偏差(亦即,最差偏差)之最大值。術語「評估點」應被廣泛地解譯為包括系統或製作方法之任何特性。歸因於系統及/或方法之實施的實務性,系統之設計及/或程序變數可經限制至有限範圍及/或可相互相依。在微影投影裝置之狀況下,約束常常與硬體之實體屬性及特性(諸如可調諧範圍及/或圖案化器件可製造性設計規則)相關聯。評估點可包括基板上之抗蝕劑影像上之實體點,以及非物理特性,諸如(例如)劑量及焦點。
在微影投影裝置中,作為一實例,可將成本函數表達為
Figure 02_image001
其中
Figure 02_image003
為N個設計變數或其值,且
Figure 02_image005
可為設計變數
Figure 02_image003
之函數,諸如,針對
Figure 02_image003
之設計變數之一組值之特性之實際值與預期值之間的差。在一些實施例中,
Figure 02_image009
為與
Figure 02_image011
相關聯之權重常數。舉例而言,特性可為在邊緣上之給定點處量測的圖案之邊緣之位置。不同
Figure 02_image013
可具有不同權重
Figure 02_image015
。舉例而言,若特定邊緣具有所准許位置之窄範圍,則用於表示邊緣之實際位置與預期位置之間的差之
Figure 02_image013
之權重
Figure 02_image015
可被給出較高值。
Figure 02_image013
亦可為層間特性之函數,層間特性又為設計變數
Figure 02_image003
之函數。當然,
Figure 02_image019
不限於方程式1中之形式。
Figure 02_image019
可呈任何其他合適形式。
成本函數可表示微影投影裝置、微影程序或基板之任一個或多個合適特性,例如焦點、CD、影像移位、影像失真、影像旋轉、隨機變化、產出率、局部CD變化、程序窗、層間特性或其組合。在一些實施例中,成本函數可包括表示抗蝕劑影像之一或多個特性之函數。舉例而言,
Figure 02_image022
可簡單地為抗蝕劑影像中之一點與彼點之預期位置之間的距離(亦即,邊緣置放誤差
Figure 02_image024
)。參數(例如設計變數)可包括任何可調整參數,諸如源、圖案化器件、投影光學件之可調整參數、劑量、焦點等。
參數(例如設計變數)可具有約束,該等約束可被表達為
Figure 02_image026
,其中 Z為設計變數之一組可能值。可藉由微影投影裝置之所要產出率來強加對設計變數之一個可能約束。在無藉由所要產出率而強加之此約束的情況下,最佳化可產生不切實際的設計變數之一組值。舉例而言,若劑量為設計變數,則在無此約束之情況下,最佳化可產生使產出率經濟上不可能的劑量值。然而,約束之有用性不應被解譯為必要性。舉例而言,產出率可受光瞳填充比影響。對於一些照明設計,低光瞳填充比可捨棄輻射,從而導致較低產出率。產出率亦可受到抗蝕劑化學反應影響。較慢抗蝕劑(例如,要求適當地曝光較高量之輻射的抗蝕劑)導致較低產出率。
在一些實施例中,照明模型31、投影光學件模型32、設計佈局模型35、抗蝕劑模型37、SMO模型及/或與積體電路製造程序相關聯及/或在積體電路製造程序中所包括之其他模型可為執行本文中所描述之方法之操作的經驗模型。該經驗模型可基於各種輸入(例如,光罩或晶圓影像之一或多個特性、設計佈局之一或多個特性、圖案化器件之一或多個特性、微影程序中所使用之照明之一或多個特性,諸如波長等)之間的相關性預測輸出。
作為一實例,經驗模型可為機器學習模型及/或任何其他參數化模型。在一些實施例中,機器學習模型(例如)可為及/或包括數學方程式、演算法、標繪圖、圖表、網路(例如神經網路),及/或其他工具及機器學習模型組件。舉例而言,機器學習模型可為及/或包括具有一輸入層、一輸出層及一或多個中間或隱藏層之一或多個神經網路。在一些實施例中,一或多個神經網路可為及/或包括深度神經網路(例如,在輸入層與輸出層之間具有一或多個中間或隱藏層的神經網路)。
作為一實例,一或多個神經網路可基於大的神經單元(或人工神經元)集合。一或多個神經網路可不嚴格地模仿生物大腦工作之方式(例如,經由由軸突連接之大的生物神經元簇)。神經網路之每一神經單元可與該神經網路之許多其他神經單元連接。此類連接可加強或抑制其對所連接之神經單元之激活狀態之影響。在一些實施例中,每一個別神經單元可具有將所有其輸入之值組合在一起之求和函數。在一些實施例中,每一連接(或神經單元自身)可具有臨限值函數使得信號在其被允許傳播至其他神經單元之前必須超出臨限值。此等神經網路系統可為自學習及經訓練,而非經明確程式化,且與傳統電腦程式相比,可在某些問題解決領域中顯著更佳地執行。在一些實施例中,一或多個神經網路可包括多個層(例如,其中信號路徑自前端層橫穿至後端層)。在一些實施例中,可由神經網路利用反向傳播技術,其中使用前向刺激以對「前端」神經單元重設權重。在一些實施例中,對一或多個神經網路之刺激及抑制可更自由流動,其中連接以較混亂且複雜之方式相互作用。在一些實施例中,一或多個神經網路之中間層包括一或多個回旋層、一或多個重現層及/或其他層。
可使用一組訓練資料來訓練一或多個神經網路(亦即判定其之參數)。訓練資料可包括一組訓練樣本。每一樣本可為包含輸入物件(通常為向量,其可被稱為特徵向量)及所要輸出值(亦被稱為監督信號)之一對。訓練演算法分析訓練資料且藉由基於訓練資料調整神經網路之參數(例如一或多個層之權重)來調整該神經網路之行為。舉例而言,在給出形式為
Figure 02_image028
之一組N個訓練樣本使得x i為第i實例之特徵向量且y i為其監督信號之情況下,訓練演算法尋找神經網路
Figure 02_image030
,其中X為輸入空間且Y為輸出空間。特徵向量為表示某物件(例如如以上實例中之晶圓設計、剪輯等)之數值特徵之n維向量。與此等向量相關聯之向量空間常常被稱為特徵空間。在訓練之後,神經網路可用於使用新樣本來進行預測。
在先前系統中,運用校準資料校準(例如訓練)預測(程序)模型。校準資料可包括經印刷圖案(例如校準圖案及/或其他圖案)之影像、經印刷圖案之經模擬影像及/或其他資訊。舉例而言,校準可包括獲得基於目標圖案的經印刷圖案(例如經印刷晶圓或其部分)之影像。自該等影像,可提取對應於經印刷圖案上之特徵的輪廓(例如形狀、尺寸等)。該等輪廓可接著對準至由預測(程序)模型產生之經模擬輪廓,以有助於調整模型參數使得經模擬輪廓更準確地匹配經量測輪廓。經校準模型可用以基於不同目標圖案進行新的預測(例如包括輪廓之尺寸及其他尺寸預測資料)。然而,自此等先前系統之預測(例如針對相同輸入)產生關於給定模型之不確定性。
舉例而言,圖3說明經校準模型輸入302 (例如目標圖案/影像屬性)相對於模型輸出304 (例如CD、抗蝕劑偏置等)之第一標繪圖300。標繪圖300說明用於校準模型之(較窄分佈)校準資料306及用以驗證該經校準模型之(較寬分佈)圖案化程序資料308 (例如經預測圖案及/或經預測圖案之特徵或與經預測圖案相關之特徵)。如標繪圖300中所展示,經校準模型可良好地擬合校準資料306但展現對於程序資料308之不一致的預測結果。此趨向於造成對選定特定模型中之不確定性進行預測。舉例而言,多個候選模型310 (例如用以產生預測資料之預測模型之經調整版本)可不同地擬合圖案化程序資料308 (及校準資料306)。在不脫離本發明之範疇的情況下,候選模型可以任何適合方式組態為彼此不同的。
與先前模型化方法形成對比,本發明方法包含:基於預測資料判定預測不確定性參數;基於該預測不確定性參數選擇圖案化程序資料之子集(例如對與給定圖案相關聯之實體基板(例如晶圓或其他基板之層)進行之量測);及使用圖案化程序資料之該選定子集及/或如本文所描述之先前使用之校準資料而再校準預測模型。此減少了來自預測模型之預測之不確定性。在一些實施例中,預測不確定性參數指示藉由使用多個模型對某一圖案進行預測之不確定性。如圖3之標繪圖350中所展示,用於校準模型之校準資料352及由經校準模型預測之預測資料354 (例如圖案等)較窄地分佈(例如在此實例中資料均勻重疊)。多個候選模型310 (例如用以產生預測資料308之預測模型之經調整版本)現在相似地擬合預測資料354 (及校準資料352)。
圖4說明本方法400。在一些實施例中,方法400包含:使用預測模型判定402預測資料、判定404預測不確定性參數、基於預測不確定性參數選擇406圖案化程序資料之子集,及再校準408預測模型。在一些實施例中,方法400包括:判定410器件製造程序參數、判定412用於半導體器件製造程序及/或裝置之調整及/或其他操作。以下呈現的方法400之操作意欲係說明性的。在一些實施例中,方法400可用未描述的一或多個額外操作及/或不用所論述之一或多個操作來實現。舉例而言,操作410、412及/或其他操作可為選用的。另外,在圖4中說明及在下文描述方法400之操作所藉以的次序並不意欲為限制性的。舉例而言,方法400可包括或可不包括操作410及/或412。
如上文所描述,在操作402處,使用預測模型來判定預測資料。使用與圖案化程序及/或半導體器件製造程序之任何其他部分相關聯的預測模型(其已使用校準資料而校準)來判定預測資料。舉例而言,預測模型可與蝕刻、沈積、OPC、疊對、對準、抗蝕劑層、空中影像、照明、光學件、設計佈局、熱點偵測、程序窗資格、程序控制及/或半導體器件製造程序之其他態樣相關聯。在一些實施例中,預測模型係機器學習模型,且校準預測模型包含訓練機器學習模型。
可使用校準資料來(例如先前)校準預測模型。校準資料可包含及/或關於與基板(例如晶圓層或其他基板)上之圖案之幾何特徵相關聯的校準圖案及/或其他資料。舉例而言,校準資料可包括輪廓(例如形狀、尺寸等)、偏差、信號強度及/或基於運用目標圖案對圖案化程序之模擬而判定的其他資訊、目標圖案自身,及/或在基板(例如實體晶圓及/或其他基板)中產生的目標圖案之影像。
預測資料可包含及/或關於與基板(例如晶圓層-基於不同目標圖案)上之圖案之經預測幾何特徵相關聯的預測圖案及/或其他資料。舉例而言,預測資料可包含經預測圖案參數值。此等圖案參數值可包括幾何特徵之尺寸(例如輪廓形狀及/或尺寸、長度、寬度、高度、距離、部位等)、偏差、臨界尺寸、對準參數、疊對參數、圖案化程序中所使用之強度、與圖案化程序之圖案相關聯之影像斜率,及/或其他參數。可由經校準預測模型基於至經校準預測模型之輸入而產生預測資料。輸入可包含新目標圖案之特徵、此類圖案之影像及/或其他輸入。
在操作404處,判定預測不確定性參數。預測不確定性參數係與例如多個模型之間的預測資料及/或圖案參數值之變化相關聯。在一些實施例中,預測不確定性參數係與以下各者之變化相關聯:幾何特徵(例如輪廓形狀及/或尺寸、長度、寬度、高度、距離、部位等)之尺寸之值、偏差、臨界尺寸、CD量規、EP量規、疊對信號、對準信號、圖案化程序中所使用之強度、與圖案化程序之圖案相關聯之影像斜率及/或圖案化程序之其他態樣。
在一些實施例中,基於經預測圖案參數值(及/或預測資料)之變化及與預測模型相關聯之擬合要求而判定預測不確定性參數。作為非限制性實例,預測資料可包含經預測臨界尺寸值。預測不確定性參數可包含臨界尺寸變化(CDV)。可基於經預測臨界尺寸值之變化及與預測模型相關聯之擬合要求而判定臨界尺寸變化。然而,有利地,本方法經組態以提供可處置各種不同預測不確定性參數之標準化工作流程。在一些實施例中,舉例而言,本方法經組態以標準化或統一可處置CD量規量測及EP量規量測(例如CD量規量測至EP量規量測之轉換以產生更準確模型)之工作流程。
繼續CDV實例,可基於方程式CDV =模擬方差(M1, M2, … MN) / Spec而判定臨界尺寸變化,其中M1, M2, … MN界定候選模型(例如擬合校準資料及預測資料)且Spec表示與預測模型相關聯之擬合要求。模擬方差可表示不同預測資料點(例如圖3中所展示之預測資料點308)之分佈(及/或其他統計屬性)。可調整候選模型及/或預測模型之擬合校準資料及預測資料之不同版本。舉例而言,候選模型可為預測模型,但具有不同經調整之模型參數,其造成給定候選模型(例如具有一組給定經調整模型參數)擬合預測資料(及校準資料)。在一些實施例中,候選模型可為完全不同的模型。在一些實施例中,擬合要求包含臨界尺寸誤差、邊緣置放誤差、輪廓形狀保真度及/或其他擬合要求。擬合要求通常可指用以量測與臨界尺寸、邊緣置放及/或輪廓形狀相關之模型效能的度量(借助於三個非限制性實例)。擬合要求定義預測與實體晶圓量測資料之間的差,且亦可包括及/或相關聯於與幾何特徵、圖案及/或程序相關聯之數值。
以上實例並不意欲係限制性的。預期其他預測不確定性參數。舉例而言,預期與幾何特徵(例如輪廓形狀及/或尺寸、長度、寬度、高度、距離、部位等)、偏差、疊對及/或對準信號、與圖案化程序之圖案相關聯之影像斜率、圖案化程序中所使用之強度相關的預測不確定性參數,及/或其他預測不確定性參數。
在一些實施例中,預測不確定性參數可為奇異值(例如CDV)。在一些實施例中,預測不確定性參數可為值範圍(例如經預測尺寸之可接受範圍及/或其他範圍)。在一些實施例中,預測不確定性參數包含個別程序資料點之順位(例如其中標繪的較接近校準資料之程序資料點被較高地順位,及/或其他順位)。在一些實施例中,預測不確定性參數包含個別程序資料點之最大數目(例如,在選擇目標數目個資料點之後停止選擇程序資料點、資料點之隨機選定之目標數目、資料點之最新目標數目、某一值範圍內之資料點之最大數目等)。
在操作406處,選擇程序資料之子集。基於預測不確定性參數來選擇程序資料之子集。如上文所描述,預測資料為來自預測模型之模擬資料(例如預測圖案等)。使用預測資料以判定預測不確定性參數。預測不確定性可與例如特定圖案相關聯,使得可基於預測不確定性參數選擇具有高預測不確定性之圖案(及與彼等圖案相關聯之程序資料)。在一些實施例中,基於預測不確定性參數選擇程序資料之子集可包含:選擇由預測不確定性參數指定之奇異值之程序資料點;選擇與預測不確定性參數相關聯之程序資料點之範圍;使用預測不確定性參數基於指派給個別程序資料點之順位來選擇程序資料點;選擇(例如隨機地或並非隨機地)由預測不確定性參數指定之個別程序資料點之最大數目;及/或其他選擇。舉例而言,繼續以上所描述之CDV,可藉由基於CDV值(例如以遞減模式)對程序資料點進行分類且捨棄作為子集之可允許數目來選擇程序資料之子集。
圖5說明選擇程序資料之子集。舉例而言,圖5說明經校準模型輸入302相對於模型輸出304之第一標繪圖300 (亦在圖3中展示)。標繪圖300說明用於校準模型之(較窄分佈)校準資料306及由經校準模型預測之(較寬分佈)預測資料308 (例如經預測圖案及/或經預測圖案之特徵或與經預測圖案相關之特徵)。如標繪圖300中所展示,相對(例如與校準資料306相比)較廣分佈之預測資料308引起關於用以產生預測資料308之經校準模型之不確定性。舉例而言,多個候選模型310 (例如用以產生預測資料308之預測模型之經調整版本)可擬合預測資料308 (及校準資料306)。本方法包含:基於預測資料判定預測不確定性參數(如上文所描述);選擇500具有基於預測不確定性參數而判定之高不確定性的與預測資料308 (例如圖案)相關聯的圖案化程序資料之子集308A及308B;及使用程序資料之所選擇500子集308A及308B (連同如本文所描述之校準資料)再校準502預測模型。此減少了來自預測模型之預測之不確定性。如圖5之標繪圖350中所展示,用於校準模型之校準資料352及由經校準模型預測之預測資料354較窄地分佈(例如在此實例中資料均勻重疊)。多個候選模型310 (例如用以產生預測資料308之預測模型之經調整版本)現在相似地擬合預測資料354 (及校準資料352)。
圖6說明判定600預測不確定性參數,及基於針對一給定預測模型之判定之預測不確定性參數來選擇602與預測資料608相關聯的具有高不確定性之程序資料之子集604 (例如以用於再校準)的實例。如圖6中所展示,使用校準資料606以校準預測模型且產生預測資料608 (例如使得多個候選模型-用以產生預測資料608之預測模型之經調整版本可擬合預測資料608及校準資料606)。如上文所描述,可判定600許多不同的預測不確定性參數。圖6說明例如兩個不同的選項600a及600b (但僅兩個選項之說明不應被認為係限制性的)。選項600a可例如為CDV (例如如上文所描述)。作為另一實例,選項600b可為或相關於來自指定圖案(例如使用GDS佈局)上之一個候選模型與另一候選模型之信號之間的差。存在許多其他實例。一旦判定預測不確定性參數(例如600a或600b),則使用預測不確定性參數以選擇602預測資料608之子集604。
返回至圖4,在操作408處,再校準預測模型。使用校準資料以及程序資料之選定子集及/或其他資訊來再校準預測模型。使用校準資料以及程序資料之選定子集再校準預測模型包含提供校準資料以及程序資料之選定子集兩者作為至預測模型之輸入及/或其他操作。在再校準期間,被賦予至晶圓層之目標設計之輪廓可對準至由預測模型產生之經模擬輪廓(例如由程序資料點之選定子集描述),以有助於調整模型參數使得經模擬輪廓更準確地匹配量測輪廓。使用校準資料以及程序資料之選定子集再校準預測模型會減少來自預測模型之預測之預測不確定性(例如圖3及/或圖5中所展示之不同候選模型在其預測中愈來愈一致)。
圖7說明選擇程序資料之子集700。基於預測不確定性參數選擇程序資料之子集700,該預測不確定性參數係基於由一組候選預測模型702、704、706 (例如預測模型之擬合預測資料及校準資料之變化,如上文所描述)產生之預測資料而判定;且將程序資料之選定子集700提供708至候選預測模型以再校準(或再訓練)預測模型。當向候選預測模型提供程序資料之選定子集且再校準該等候選預測模型時,關於來自候選模型(例如預測模型之各種可能版本)之預測的不確定性減低。候選預測模型702、704、706可被認為係例如參考模型及/或1k量規710。模型702、704及706可為例如焦點曝光模型(FEM)及/或其他模型。已接收程序資料之選定子集700之候選模型712a、714a及716a可為例如機器學習模型及/或3k量規720。個別模型之經再校準版本725可為例如經更新(或遞增再訓練)之機器學習模型及/或4k量規730。在一些實施例中,可將同一組圖案饋送至候選模型以產生預測資料。預測不確定性參數指示多個候選模型之間之方差。可選擇在候選模型之間引起最高不確定性的圖案以用於下一校準或訓練反覆。
返回至圖4,在一些實施例中,可反覆地重複操作402至408 (及/或其他操作),從而在不斷反覆中減少預測不確定性直至例如預測模型收斂。在一些實施例中,模型收斂包含模型誤差突破模型誤差臨限值位準。模型誤差可為參考幾何形狀(例如輪廓)與自由預測模型對圖案化程序之模擬所產生的經模擬幾何形狀之間的差。舉例而言,參考幾何形狀可為來自掃描電子顯微鏡之經量測幾何形狀。
如上文所描述,在一些實施例中,方法400包括:判定410器件製造程序參數、判定412用於半導體器件製造程序及/或裝置之調整及/或其他操作。可基於例如來自經再校準預測模型之預測及/或其他資訊來判定一或多個半導體器件製造程序參數。可基於一或多個經判定之半導體器件製造參數及/或其他資訊來判定對半導體器件製造裝置之調整。在一些實施例中,方法400包括對裝置進行調整。
在一些實施例中,一或多個經判定之半導體器件製造程序參數包含光罩設計、光瞳形狀、劑量、焦點、蝕刻參數、沈積參數、化學機械拋光參數及/或其他半導體器件製造程序參數中之一或多者。在一些實施例(例如如上文所描述)中,方法包含基於一或多個經判定之半導體器件製造參數判定對半導體器件製造程序及/或裝置之調整。在一些實施例中,方法包含基於經判定調整來調整晶圓製造裝置。
作為一實例,若經判定程序參數為(例如新)光瞳形狀、劑量或焦點,則可將製造裝置自舊的或先前光瞳形狀、劑量或焦點調整為經判定(例如新的)光瞳形狀、劑量或焦點。相似地,若模型預測與光罩設計有關且經判定製造程序參數為新的或經調整光罩設計,則可基於此新設計而調整半導體製造裝置(例如,吾人可基於新光罩形狀、大小等調整強度、對準或甚至光瞳形狀、劑量或焦點)。
在一些實施例中,例如其中一或多個經判定之半導體器件製造程序參數包含光罩設計,方法可包含基於來自經再校準預測模型之預測將光罩設計自第一光罩設計調整為第二光罩設計。
圖8為可用於本文中所描述之操作中之一或多者的實例電腦系統CS之圖解。電腦系統CS包括用於傳達資訊之匯流排BS或其他通信機構,及與匯流排BS耦接以用於處理資訊之一處理器PRO (或多個處理器)。電腦系統CS亦包括耦接至匯流排BS以用於儲存待由處理器PRO執行之資訊及指令的主記憶體MM,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體MM亦可用於在由處理器PRO進行之指令執行期間儲存暫時性變數或其他中間資訊。電腦系統CS進一步包括耦接至匯流排BS以用於儲存用於處理器PRO之靜態資訊及指令的唯讀記憶體(ROM) ROM或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件SD,且將該儲存器件耦接至匯流排BS以用於儲存資訊及指令。
電腦系統CS可經由匯流排BS耦接至用於向電腦使用者顯示資訊之顯示器DS,諸如,陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入器件ID耦接至匯流排BS以用於將資訊及命令選擇傳達至處理器PRO。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器PRO且用於控制顯示器DS上之游標移動的游標控制件CC,諸如,滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個兩個-第一軸線(例如x)及第二軸線(例如y)中之兩個自由度,其允許該器件指定在一平面中之位置。觸控面板(螢幕)顯示器亦可用作輸入器件。
在一些實施例中,本文中所描述之一或多種方法的部分可藉由電腦系統CS回應於處理器PRO執行主記憶體MM中所含有之一或多個指令的一或多個序列來執行。可將此等指令自另一電腦可讀媒體(諸如儲存器件SD)讀取至主記憶體MM中。主記憶體MM中所包括之指令序列之執行使處理器PRO執行本文中所描述之程序步驟(操作)。呈多處理配置之一或多個處理器亦可用以執行主記憶體MM中含有之指令序列。在一些實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,本文之描述不限於硬體電路系統及軟體之任何特定組合。
本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器PRO以供執行之任何媒體。此媒體可採取許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存器件SD。揮發性媒體包括動態記憶體,諸如主記憶體MM。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排BS之電線。傳輸媒體亦可採取聲波或光波之形式,諸如,在射頻(RF)及紅外線(IR)資料通信期間產生之聲波或光波。電腦可讀媒體可為非暫時性的,例如軟碟、可撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣。非暫時性電腦可讀媒體可具有記錄於其上之指令。該等指令在由電腦執行時可實施本文中所描述之操作中之任一者。暫時性電腦可讀媒體可包括例如載波或其他傳播電磁信號。
可在將一或多個指令之一或多個序列攜載至處理器PRO以供執行時涉及電腦可讀媒體之各種形式。舉例而言,最初可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線而發送指令。在電腦系統CS本端之數據機可接收電話線上之資料,且使用紅外線傳輸器以將資料轉換成紅外線信號。耦接至匯流排BS之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排BS上。匯流排BS將資料攜載至主記憶體MM,處理器PRO自該主記憶體擷取及執行指令。由主記憶體MM接收之指令可視情況在由處理器PRO執行之前或之後儲存於儲存器件SD上。
電腦系統CS亦可包括耦接至匯流排BS之通信介面CI。通信介面CI提供對網路鏈路NDL之雙向資料通信耦合,網路鏈路NDL連接至區域網路LAN。舉例而言,通信介面CI可為整合式服務數位網路(ISDN)卡或數據機以提供對對應類型之電話線之資料通信連接。作為另一實例,通信介面CI可為區域網路(LAN)卡以提供對相容LAN之資料通信連接。亦可實施無線鏈路。在任何此類實施中,通信介面CI發送且接收攜載表示各種類型之資訊之數位資料串流的電信號、電磁信號或光信號。
網路鏈路NDL通常經由一或多個網路而向其他資料器件提供資料通信。舉例而言,網路鏈路NDL可經由區域網路LAN向主機電腦HC提供連接。此可包括經由全球封包資料通信網路(現在通常被稱作「網際網路」INT)而提供之資料通信服務。區域網路LAN (網際網路)可使用攜載數位資料串流之電信號、電磁信號或光信號。經由各種網路之信號及在網路資料鏈路NDL上且經由通信介面CI之信號(該等信號將數位資料攜載至電腦系統CS及自電腦系統CS攜載數位資料)為輸送資訊的載波之例示性形式。
電腦系統CS可經由網路、網路資料鏈路NDL及通信介面CI發送訊息及接收資料(包括程式碼)。在網際網路實例中,主機電腦HC可經由網際網路INT、網路資料鏈路NDL、區域網路LAN及通信介面CI傳輸用於應用程式之經請求程式碼。舉例而言,一個此類經下載應用程式可提供本文中所描述之方法的全部或部分。所接收程式碼可在其被接收時由處理器PRO執行,及/或儲存於儲存器件SD或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統CS可獲得呈載波形式之應用程式碼。
圖9為根據一實施例之微影投影裝置的示意圖。該微影投影裝置可包括照明系統IL、第一物件台MT、第二物件台WT及投影系統PS。照明系統IL可調節輻射光束B。在此實例中,照明系統亦包含輻射源SO。第一物件台(例如,圖案化器件台) MT可具備用以固持圖案化器件MA (例如,倍縮光罩)之圖案化器件固持器,且連接至用以相對於物品PS來準確地定位該圖案化器件之第一定位器。第二物件台(例如基板台) WT可具備用以固持基板W (例如,抗蝕劑塗佈矽晶圓)之基板固持器,且連接至用以相對於物品PS來準確地定位該基板之第二定位器。投影系統(例如其包括透鏡) PS (例如折射、反射或反射折射光學系統)可將圖案化器件MA之經輻照部分成像至基板W之目標部分C (例如,包含一或多個晶粒)上。
如所描繪,裝置可屬於透射類型(亦即,具有透射圖案化器件)。然而,一般而言,其亦可屬於反射類型,例如(具有反射圖案化器件)。裝置可使用與經典光罩不同種類之圖案化器件;實例包括可程式化鏡面陣列或LCD矩陣。
源SO (例如,水銀燈或準分子雷射、雷射產生電漿(LPP) EUV源)產生輻射光束。舉例而言,此光束係直接地抑或在已橫穿諸如光束擴展器之調節構件之後饋入至照明系統(照明器) IL中。照明器IL可包含調整構件AD以用於設定光束中之強度分佈之外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL通常將包含各種其他組件,諸如積光器IN及聚光器CO。以此方式,照射於圖案化器件MA上之光束B在其橫截面中具有所要均一性及強度分佈。
在一些實施例中,源SO可在微影投影裝置之外殼內(此常常為源SO為例如水銀燈時之狀況),但其亦可遠離微影投影裝置。舉例而言,其產生之輻射光束可經導引至該裝置中(例如憑藉合適導向鏡)。此後一情境可為例如在源SO為準分子雷射(例如,基於KrF、ArF或F2雷射作用)時之狀況。
光束B可隨後截取被固持於圖案化器件台MT上之圖案化器件MA。在已橫穿圖案化器件MA的情況下,光束B可傳遞通過透鏡PS,該透鏡將光束B聚焦至基板W之目標部分C上。憑藉第二定位構件(及干涉量測構件IF),可準確地移動基板台WT,例如以便使不同目標部分C定位於光束PB之路徑中。相似地,第一定位構件可用以例如在自圖案化器件庫機械地擷取圖案化器件MA之後或在掃描期間相對於光束B之路徑來準確地定位圖案化器件MA。一般而言,可憑藉長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在步進器(相對於步進掃描工具)之狀況下,圖案化器件台MT可僅連接至短衝程致動器,或可固定。
可在兩種不同模式-步進模式及掃描模式中使用所描繪工具。在步進模式中,將圖案化器件台MT保持基本上靜止,且將整個圖案化器件影像在一個操作中投影((亦即,單次「閃光」)至目標部分C上。可使基板台WT在x及/或y方向上移位,使得不同目標部分C可由光束B輻照。在掃描模式中,基本上相同情境適用,惟單次「閃光」中不曝光給定目標部分C除外。替代地,圖案化器件台MT可以速度v在給定方向(例如「掃描方向」,或「y」方向)上移動,使得使投影光束B遍及圖案化器件影像進行掃描。並行地,基板台WT以速度V = Mv在相同方向或相對方向上同時地移動,其中M為透鏡之放大率(通常M = 1/4或1/5)。以此方式,可在不必損害解析度的情況下曝光相對較大目標部分C。
圖10為另一微影投影裝置(LPA)之示意圖。LPA可包括源收集器模組SO、經組態以調節輻射光束B (例如EUV輻射)之照明系統(照明器) IL、支撐結構MT、基板台WT及投影系統PS。支撐結構(例如圖案化器件台) MT可經建構以支撐圖案化器件(例如,光罩或倍縮光罩) MA且連接至經組態以準確地定位該圖案化器件之第一定位器PM。基板台(例如,晶圓台) WT,其可經建構以固持基板(例如,抗蝕劑塗佈晶圓) W,且連接至經組態以準確地定位該基板之第二定位器PW。投影系統(例如,反射投影系統) PS,其可經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如,包含一或多個晶粒)上。
如此實例中所展示,LPA可屬於反射類型(例如,使用反射圖案化器件)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以圖案化器件可具有包含例如鉬與矽之多堆疊的多層反射器。在一項實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可運用X射線微影來產生更小波長。由於大多數材料在EUV及x射線波長下具吸收性,因此圖案化器件構形(例如多層反射器之頂部上之TaN吸收體)上之經圖案化吸收材料薄件界定特徵將在何處印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)。
照明器IL可自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但未必限於:運用在EUV範圍內之一或多個發射譜線將具有至少一個元素(例如氙、鋰或錫)之材料轉換成電漿狀態。在常常被稱為雷射產生電漿(「LPP」)之一種此類方法中,可藉由運用雷射光束來輻照燃料(諸如具有譜線發射元素之材料小滴、串流或叢集)而產生電漿。源收集器模組SO可為包括雷射(圖10中未繪示)之EUV輻射系統之部分,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射,例如EUV輻射,該輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組可為單獨實體。在此實例中,可不認為雷射形成微影裝置之部件,且輻射光束可憑藉包含例如合適導向鏡及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他實例中,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部件。
照明器IL可包含用於調整輻射光束之角強度分佈之調整器。通常,可調整照明器之光瞳平面中之強度分佈之至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡面器件及琢面化光瞳鏡面器件。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B可入射於被固持於支撐結構(例如,圖案化器件台) MT上之圖案化器件(例如,光罩) MA上,且藉由該圖案化器件而圖案化。在自圖案化器件(例如,光罩) MA反射之後,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2 (例如干涉器件、線性編碼器或電容式感測器),可準確地移動基板台WT (例如以使不同目標部分C定位於輻射光束B之路徑中)。相似地,第一定位器PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確地定位圖案化器件(例如,光罩) MA。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如光罩) MA及基板W。
所描繪之裝置LPA可用於以下模式中之至少一者:步進模式、掃描模式及靜止模式。在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構(例如,圖案化器件台) MT及基板台WT保持基本上靜止(例如,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位使得可曝光不同目標部分C。在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如圖案化器件台) MT及基板台WT (亦即單次動態曝光)。基板台WT相對於支撐結構(例如圖案化器件台) MT之速度及方向可藉由投影系統PS之放大率(縮小率)及影像反轉特性予以判定。在靜止模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構(例如,圖案化器件台) MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如,上文所提及之類型之可程式化鏡面陣列)之無光罩微影。
圖11為根據一實施例的圖10中所展示之微影投影裝置之詳細視圖。如圖11中所展示,LPA可包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經組態成使得可將真空環境維持於源收集器模組SO之圍封結構220中。可由放電產生電漿源形成EUV輻射發射電漿210。可由氣體或蒸氣(例如,Xe氣體、Li蒸氣或Sn蒸氣)而產生EUV輻射,其中產生熱電漿210以發射在電磁光譜之EUV範圍內之輻射。舉例而言,藉由造成至少部分離子化電漿之放電來產生熱電漿210。為了高效地產生輻射,可需要為(例如) 10帕斯卡之分壓之Xe、Li、Sn蒸氣或任何其他合適氣體或蒸氣。在一些實施例中,提供受激發錫(Sn)之電漿以產生EUV輻射。
由熱電漿210發射之輻射係經由定位於源腔室211中之開口中或後方的選用氣體障壁或污染物截留器230 (在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染截留器230亦可包括氣體障壁,或氣體障壁與通道結構之組合。污染物截留器或污染物障壁230 (下文所描述)亦包括通道結構。收集器腔室212可包括可為掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵光譜濾光器240反射以沿著由點虛線「O」指示之光軸而聚焦於虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組經配置成使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面器件22及琢面化光瞳鏡面器件24,琢面化場鏡面器件22及琢面化光瞳鏡面器件24經配置以提供在圖案化器件MA處輻射光束21之所要角度分佈,以及在圖案化器件MA處之輻射強度之所要均一性。在由支撐結構MT固持之圖案化器件MA處的輻射光束21之反射後,即形成經圖案化光束26,且由投影系統PS將經圖案化光束26經由反射元件28、30而成像至由基板台WT固持之基板W上。比所展示元件多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於例如微影裝置之類型,可視情況存在光柵光譜濾光器240。另外,可存在比諸圖所展示之鏡面多的鏡面,例如,在投影系統PS中可存在比圖11所展示之反射元件多1至6個的額外反射元件。
如圖11所說明之收集器光學件CO被描繪為具有掠入射反射器253、254及255之巢套式收集器,僅僅作為收集器(或收集器鏡面)之實例。掠入射反射器253、254及255經安置為圍繞光軸O軸向對稱,且此類型之收集器光學件CO可與常常被稱為DPP源之放電產生電漿源組合使用。
圖12為微影投影裝置LPA (先前圖中所展示)之源收集器模組SO之詳細視圖。源收集器模組SO可為LPP輻射系統之部分。雷射LA可經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數十電子伏特之電子溫度之高度離子化電漿210。在此等離子之去激發及再結合期間產生之高能輻射係自電漿發射、由近正入射收集器光學件CO收集,且聚焦至圍封結構220中之開口221上。
本文中所揭示之概念可模擬或數學上模型化用於使子波長特徵成像之任何通用成像系統,且可尤其供能夠產生愈來愈短波長之新興成像技術使用。新興技術包括能夠藉由使用ArF雷射來產生193 nm波長且甚至能夠藉由使用氟雷射來產生157 nm波長之極紫外線(EUV)、DUV微影。此外,EUV微影能夠藉由使用同步加速器或藉由運用高能電子來撞擊材料(固體或電漿)而產生在20 nm至50 nm之範圍內的波長,以便產生在此範圍內之光子。
圖13示意性地描繪電子束檢測裝置1320之實施例。在一些實施例中,檢測裝置可為產生曝光或轉印於基板上之結構(例如諸如積體電路之器件之某結構或全部結構)之影像的電子束檢測裝置(例如與掃描電子顯微鏡(SEM)相同或相似)。自電子源1322發射之初級電子束1324係由聚光透鏡1326會聚且接著通過光束偏轉器1328、E×B偏轉器1330及物鏡1332以在一焦點下輻照基板台1312上之基板1310。
當運用電子束1324輻照基板1310時,自基板1310產生二次電子。該等二次電子係由E×B偏轉器1330偏轉且由二次電子偵測器1334偵測。二維電子束影像可藉由以下操作獲得:偵測自樣本產生之電子,而與(例如)由光束偏轉器1328使電子束進行之二維掃描同步或與由光束偏轉器1328使電子束1324在X或Y方向上之重複掃描同步,以及由基板台1312在X或Y方向中之另一者上連續移動基板1310。因此,在一實施例中,電子束檢測裝置具有用於由角度範圍界定之電子束之視場,在該角度範圍內之電子束可由電子束檢測裝置提供(例如偏轉器1328可提供電子束1324所遍及之角度範圍)。因此,該視場之空間範圍為電子束之角度範圍可照射於表面上所達之空間範圍(其中該表面可為靜止的或可相對於該場移動)。
如圖13中所展示,由二次電子偵測器1334偵測到之信號可由類比/數位(A/D)轉換器1336轉換成數位信號,且可將該數位信號發送至影像處理系統1350。在一實施例中,影像處理系統1350可具有記憶體1356以儲存數位影像之全部或部分以供處理單元1358處理。處理單元1358 (例如經專門設計之硬體或硬體與軟體之組合或包含軟體之電腦可讀媒體)經組態以將數位影像轉換或處理成表示數位影像之資料集。在一實施例中,處理單元1358經組態或程式化以使得執行本文中所描述之操作(例如SEM檢測)。另外,影像處理系統1350可具有經組態以將數位影像及對應資料集儲存於參考資料庫中之儲存媒體1352。顯示器件1354可與影像處理系統1350連接,使得操作者可藉助於圖形使用者介面進行設備之必需操作。
圖14示意性地說明檢測裝置之另一實施例。該系統用以檢測樣本載物台89上之樣本90 (諸如基板)且包含帶電粒子束產生器81、聚光透鏡模組82、探針形成物鏡模組83、帶電粒子束偏轉模組84、二次帶電粒子偵測器模組85、影像形成模組86及/或其他組件。帶電粒子束產生器81產生初級帶電粒子束91。聚光透鏡模組82將所產生之初級帶電粒子束91聚光。探針形成物鏡模組83將經聚光初級帶電粒子束聚焦成帶電粒子束探針92。帶電粒子束偏轉模組84使所形成之帶電粒子束探針92橫越緊固於樣本載物台89上之樣本90上的所關注區域之表面進行掃描。在一些實施例中,帶電粒子束產生器81、聚光透鏡模組82及探針形成物鏡模組83或其等效設計、替代方案或其任何組合一起形成產生掃描帶電粒子束探針92的帶電粒子束探針產生器。
二次帶電粒子偵測器模組85偵測在由帶電粒子束探針92轟擊後即自樣本表面發射的二次帶電粒子93 (亦可能與來自樣本表面之其他反射或散射帶電粒子一起)以產生二次帶電粒子偵測信號94。影像形成模組86 (例如計算器件)與二次帶電粒子偵測器模組85耦接以自二次帶電粒子偵測器模組85接收二次帶電粒子偵測信號94且相應地形成至少一個經掃描影像。在一實施例中,二次帶電粒子偵測器模組85及影像形成模組86或其等效設計、替代方案或其任何組合一起形成影像形成裝置,該影像形成裝置自由帶電粒子束探針92轟擊的樣本90發射的偵測到之二次帶電粒子形成經掃描影像。
在一實施例中,監測模組87耦接至影像形成裝置之影像形成模組86以監測、控制等圖案化程序及/或使用自影像形成模組86接收到之樣本90之經掃描影像來導出用於圖案化程序設計、控制、監測等之參數。在一些實施例中,監測模組87經組態或經程式化以致使執行本文中所描述之操作。在一些實施例中,監測模組87包含計算器件。在一些實施例中,監測模組87包含經組態以提供本文中所描述之功能性之電腦程式。在一些實施例中,圖14之系統中的電子束之探針光點大小與例如CD相比顯著較大,使得探針光點足夠大使得檢測速度可為快速的。然而,解析度由於大的探針光點而可較低。 可處理來自例如圖13及/或圖14之系統的SEM影像以提取影像中描述表示器件結構之物件之邊緣的尺寸、形狀、輪廓及/或其他資訊。可經由使用者定義之切線處及/或其他部位中之度量(諸如CD)來量化該等形狀、輪廓及/或其他資訊。在一些實施例中,比較器件結構之影像且經由度量,諸如在經提取輪廓上量測之邊緣之間距離(CD)或影像之間的簡單像素差,來量化器件結構之影像。替代地,度量可包括EP量規及/或其他參數。 本發明之實施例可由以下條項進一步描述。 1.    一種用於減少與一圖案化程序相關聯之一預測模型中之預測不確定性的方法,該方法包含: 使用該預測模型判定預測資料,該預測模型已運用校準資料而校準; 基於該預測資料判定一預測不確定性參數,該預測不確定性參數係與該預測資料之變化相關聯; 基於該預測不確定性參數選擇圖案化程序資料之一子集; 使用該校準資料以及該圖案化程序資料之該選定子集再校準該預測模型;及 基於來自該經再校準預測模型之預測判定一或多個半導體器件製造程序參數。 2.    如條項1之方法,其進一步包含反覆地重複判定預測資料、判定該預測不確定性參數、選擇及再校準步驟直至該預測模型收斂。 3.    如條項2之方法,其中模型收斂包含一模型誤差突破一模型誤差臨限值位準,該模型誤差為一參考幾何形狀與自由該預測模型對該圖案化程序之一模擬所產生的一經模擬幾何形狀之間的一差。 4.    如條項3之方法,其中該參考幾何形狀係來自一掃描電子顯微鏡之一經量測幾何形狀。 5.    如條項1之方法,其中該預測不確定性參數係與以下各者中之至少一者相關聯: 一基板之臨界尺寸之一值; 與該圖案化程序之一圖案相關聯之一曲率; 該圖案化程序中所使用之一強度;及 與該圖案化程序之一圖案相關聯之一影像斜率。 6.    如條項1之方法,其中該一或多個經判定之半導體器件製造程序參數包含一光罩設計、一光瞳形狀、一劑量或一焦點中之一或多者。 7.    如條項1之方法,其中該一或多個經判定之半導體器件製造程序參數包含一光罩設計,且其中該方法進一步包含基於來自該經再校準預測模型之該等預測將該光罩設計自一第一光罩設計調整為一第二光罩設計。 8.    如條項1之方法,其進一步包含基於該一或多個經判定之半導體器件製造參數判定對一半導體器件製造裝置之一調整。 9.    如條項1之方法,其進一步包含基於該一或多個經判定之半導體器件製造參數判定對一半導體器件製造程序之一調整。 10.  如條項9之方法,其進一步包含基於該經判定調整來調整該半導體器件製造裝置。 11.  如條項1之方法,其中該校準資料包含一校準圖案,該校準圖案係與一基板上之一圖案之幾何特徵相關聯,且其中該預測資料包含一預測圖案,該預測圖案係與該基板上之該圖案之經預測幾何特徵相關聯。 12.  一種用於減少與一圖案化程序相關聯之一預測模型中之預測不確定性的方法,該方法包含: 基於預測資料判定一預測不確定性參數,該預測資料係使用與該圖案化程序相關聯之該預測模型而判定,該預測模型已運用校準資料而校準,該預測不確定性參數係與該預測資料之變化相關聯; 基於該預測不確定性參數選擇圖案化程序資料之一子集;及 使用該校準資料以及該圖案化程序資料之該選定子集再校準該預測模型。 13.  如條項12之方法,其進一步包含反覆地重複判定預測資料、判定該預測不確定性參數、選擇及再校準步驟直至該預測模型收斂。 14.  如條項13之方法,其中模型收斂包含一模型誤差突破一模型誤差臨限值位準,該模型誤差為一參考幾何形狀與自由該預測模型對該圖案化程序之一模擬所產生的一經模擬幾何形狀之間的一差。 15.  如條項14之方法,其中該參考幾何形狀係來自一掃描電子顯微鏡之一經量測幾何形狀。 16.  如條項12至15中任一項之方法,其中該校準資料包含一校準圖案,該校準圖案係與一基板上之一圖案之幾何特徵相關聯,且其中該預測資料包含一預測圖案,該預測圖案係與該基板上之該圖案之經預測幾何特徵相關聯。 17.  如條項12至16中任一項之方法,其中該預測不確定性參數係與以下各者中之至少一者相關聯: 一基板之臨界尺寸之一值; 與該圖案化程序之一圖案相關聯之一曲率; 該圖案化程序中所使用之一強度;及 與該圖案化程序之一圖案相關聯之一影像斜率。 18.  如條項12至17中任一項之方法,其中該預測資料包含經預測圖案參數值。 19.  如條項18之方法,其中該預測不確定性參數係與該等經預測圖案參數值之變化相關聯。 20.  如條項19之方法,其中基於該等經預測圖案參數值之該變化及與該預測模型相關聯之擬合要求來判定該預測不確定性參數。 21.  如條項12至17中任一項之方法,其中該預測不確定性參數包含個別圖案化程序資料點之一順位。 22.  如條項12至17中任一項之方法,其中該預測不確定性參數包含個別圖案化程序資料點之一最大數目。 23.  如條項12至22中任一項之方法,其中該預測模型係一機器學習模型,且校準該預測模型包含訓練該機器學習模型。 24.  如條項12至23中任一項之方法,其中使用該校準資料以及該預測資料之該選定子集再校準該預測模型會減少來自該預測模型之預測之該預測不確定性。 25.  一種用於選擇與一圖案化程序相關聯之資料之方法,該方法包含: 基於一或多個預測模型來判定預測資料,該一或多個預測模型係運用校準資料而經校準; 基於該預測資料判定一預測不確定性參數(prediction uncertainty parameter),該預測不確定性參數係與該預測資料之變化相關聯; 基於該預測不確定性參數選擇資料之一集合。 26.  如條項25之方法,其中資料之該集合包含圖案化程序資料之一子集。 27.  如條項26之方法,其進一步包含: 使用該校準資料及該圖案化程序資料之該選定子集再校準(recalibrating)一預測模型。 28.  如條項27之方法,其進一步包含: 基於來自經再校準之該預測模型之預測判定一或多個半導體器件製造程序參數。 29.  如條項27之方法,其進一步包含反覆地重複(iteratively repeating)判定預測資料、判定該預測不確定性參數、選擇、及再校準步驟直至該預測模型收斂(converge)。 30.  如條項29之方法,其中模型收斂包含一模型誤差突破(breaching)一模型誤差臨限值位準(threshold level),該模型誤差為一參考幾何形狀(reference geometry)與自由該預測模型對該圖案化程序之一模擬(simulation)所產生的一經模擬幾何形狀(simulated geometry)之間的一差。 31.  如條項30之方法,其中該參考幾何形狀係來自一掃描電子顯微鏡之一經量測幾何形狀。 32.    如條項25之方法,其中該預測不確定性參數係與以下各者中之至少一者相關聯: 一基板之臨界尺寸之一值; 與該圖案化程序之一圖案相關聯之一曲率; 該圖案化程序中所使用之一強度;及 與該圖案化程序之一圖案相關聯之一影像斜率(image slope)。 33.  如條項28之方法,其中經判定之該一或多個半導體器件製造程序參數包含一光罩設計、設計圖案、一光瞳形狀、一劑量或一焦點中之一或多者。 34.  如條項25之方法,其中該選擇資料之該集合包含選擇圖案之一集合。 35.  如條項34之方法,其進一步包含使用該選定圖案以訓練或校準一預測模型。 36.  如條項28之方法,其中經判定之該一或多個半導體器件製造程序參數包含一光罩設計,且其中該方法進一步包含基於來自經再校準之該預測模型之該等預測將該光罩設計自一第一光罩設計調整為一第二光罩設計。 37.  如條項28之方法,其進一步包含基於經判定之該一或多個半導體器件製造參數判定對一半導體器件製造裝置之一調整。 38.  如條項28之方法,其進一步包含基於經判定之該一或多個半導體器件製造參數判定對一半導體器件製造程序之一調整。 39.  如條項25之方法,其中該校準資料包含一校準圖案,該校準圖案係與一基板上之一圖案之幾何特徵相關聯,且其中該預測資料包含一預測圖案,該預測圖案係與該基板上之該圖案之經預測幾何特徵相關聯。 40.  如條項39之方法,其中該選擇資料包含選擇圖案之一集合。 41.  一種電腦程式產品,其包含其上記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施以上如條項1至40中任一項之方法。
雖然本文所揭示之概念可用於在諸如矽晶圓之基板上之晶圓製造,但應理解,所揭示概念可供任何類型之製造系統(例如,用於在除了矽晶圓以外的基板上之製造之製造系統)使用。另外,所揭示元件之組合及子組合可包含單獨的實施例。
以上描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下如所描述進行修改。
10A:微影投影裝置 12A:輻射源 14A:光學件/組件 16Aa:光學件/組件 16Ab:光學件/組件 16Ac:透射光學件/組件 18A:圖案化器件 20A:可調整濾光器或孔徑 21:輻射光束 22:琢面化場鏡面器件 22A:基板平面 24:琢面化光瞳鏡面器件 26:經圖案化光束 28:反射元件 30:反射元件 31:照明模型 32:投影光學件模型 35:設計佈局模型 36:空中影像 37:抗蝕劑模型 38:抗蝕劑影像 81:帶電粒子束產生器 82:聚光透鏡模組 83:探針形成物鏡模組 84:帶電粒子束偏轉模組 85:二次帶電粒子偵測器模組 86:影像形成模組 87:監測模組 89:樣本載物台 90:樣本 91:初級帶電粒子束 92:帶電粒子束探針 93:二次帶電粒子 94:二次帶電粒子偵測信號 210:EUV輻射發射電漿/高度離子化電漿 211:源腔室 212:收集器腔室 220:圍封結構 221:開口 230:選用氣體障壁/污染物截留器/污染截留器/污染物障壁 240:光柵光譜濾光器 251:上游輻射收集器側 252:下游輻射收集器側 253:掠入射反射器 254:掠入射反射器 255:掠入射反射器 300:第一標繪圖 302:經校準模型輸入 304:模型輸出 306:校準資料 308:圖案化程序資料/預測資料 308A:圖案化程序資料之子集 308B:圖案化程序資料之子集 310:候選模型 350:標繪圖 352:校準資料 354:預測資料 400:方法 402:判定/操作 404:判定/操作 406:選擇/操作 408:再校準/操作 410:判定/操作 412:判定/操作 500:選擇 502:再校準 600:判定 600a:選項 600b:選項 602:選擇 604:程序資料之子集 606:校準資料 608:預測資料 700:程序資料之子集 702:候選預測模型 704:候選預測模型 706:候選預測模型 708:提供 710:1k量規 712a:候選模型 714a:候選模型 716a:候選模型 720:3k量規 725:個別模型之經再校準版本 730:4k量規 1310:基板 1312:基板台 1320:電子束檢測裝置 1322:電子源 1324:初級電子束 1326:聚光透鏡 1328:光束偏轉器 1330:E×B偏轉器 1332:物鏡 1334:由二次電子偵測器 1336:類比/數位轉換器 1350:影像處理系統 1352:儲存媒體 1354:顯示器件 1356:記憶體 1358:處理單元 AD:調整構件 B:輻射光束 BD:光束遞送系統 BS:匯流排 C:目標部分 CC:游標控制件 CI:通信介面 CO:聚光器/輻射收集器/近正入射收集器光學件 CS:電腦系統 DS:顯示器 HC:主機電腦 ID:輸入器件 IF:干涉量測構件/虛擬源點/中間焦點 IL:照明系統/照明器/照明光學件單元 IN:積光器 INT:網際網路 LA:雷射 LAN:區域網路 LPA:微影投影裝置 M 1:圖案化器件對準標記 M 2:圖案化器件對準標記 MA:圖案化器件 MM:主記憶體 MT:第一物件台/圖案化器件台/支撐結構 NDL:網路鏈路 O:光軸 P 1:基板對準標記 P 2:基板對準標記 PM:第一定位器 PRO:處理器 PS:投影系統/物品 PS1:位置感測器 PS2:位置感測器 PW:第二定位器 ROM:唯讀記憶體(ROM) SD:儲存器件 SO:輻射源/源收集器模組 W:基板 WT:第二物件台/基板台
併入本說明書中且構成本說明書之一部分的隨附圖式說明一或多個實施例且連同描述一起解釋此等實施例。現在將參看隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部件,且在該等圖式中:
圖1說明根據一實施例的微影投影裝置之各種子系統的方塊圖。
圖2說明根據一實施例的用於模擬微影投影裝置中之微影的例示性流程圖。
圖3說明根據一實施例的經校準模型輸入相對於模型輸出之標繪圖。
圖4說明根據一實施例之本發明方法。
圖5說明根據一實施例選擇預測資料之子集。
圖6說明根據一實施例的判定預測不確定性參數且基於該經判定預測不確定性參數選擇預測資料之子集(例如以用於再校準)的實例。
圖7說明根據一實施例的選擇由一組候選預測模型(例如擬合預測資料及校準資料之預測模型之變化)產生之預測資料之子集,且將預測資料之該選定子集提供至候選預測模型以再校準(或再訓練)預測模型。
圖8為根據一實施例之實例電腦系統的方塊圖。
圖9為根據一實施例之微影投影裝置的示意圖。
圖10為根據一實施例之另一微影投影裝置的示意圖。
圖11為根據一實施例之微影投影裝置的詳細視圖。
圖12為根據一實施例之微影投影裝置之源收集器模組的詳細視圖。
圖13示意性地描繪根據一實施例之電子束檢測裝置的實施例。
圖14示意性地說明根據一實施例之檢測裝置的另一實施例。
300:第一標繪圖
302:經校準模型輸入
304:模型輸出
306:校準資料
308:圖案化程序資料/預測資料
308A:圖案化程序資料之子集
308B:圖案化程序資料之子集
310:候選模型
350:標繪圖
352:校準資料
354:預測資料
500:選擇
502:再校準

Claims (17)

  1. 一種用於選擇與一圖案化程序相關聯之資料之方法,該方法包含: 基於一或多個預測模型來判定預測資料,該一或多個預測模型係運用校準資料(calibration data)而經校準; 基於該預測資料判定一預測不確定性參數(prediction uncertainty parameter),該預測不確定性參數係與該預測資料之變化相關聯; 基於該預測不確定性參數選擇資料之一集合。
  2. 如請求項1之方法,其中資料之該集合包含圖案化程序資料之一子集。
  3. 如請求項2之方法,其進一步包含: 使用該校準資料及該圖案化程序資料之該選定子集再校準(recalibrating)一預測模型。
  4. 如請求項3之方法,其進一步包含: 基於來自經再校準之該預測模型之預測判定一或多個半導體器件製造程序參數。
  5. 如請求項3之方法,其進一步包含反覆地重複(iteratively repeating)判定預測資料、判定該預測不確定性參數、選擇、及再校準步驟直至該預測模型收斂(converge)。
  6. 如請求項5之方法,其中模型收斂包含一模型誤差突破(breaching)一模型誤差臨限值位準(threshold level),該模型誤差為一參考幾何形狀(reference geometry)與自由該預測模型對該圖案化程序之一模擬(simulation)所產生的一經模擬幾何形狀(simulated geometry)之間的一差。
  7. 如請求項6之方法,其中該參考幾何形狀係來自一掃描電子顯微鏡之一經量測幾何形狀。
  8. 如請求項1之方法,其中該預測不確定性參數係與以下各者中之至少一者相關聯: 一基板之臨界尺寸之一值; 與該圖案化程序之一圖案相關聯之一曲率; 該圖案化程序中所使用之一強度;及 與該圖案化程序之一圖案相關聯之一影像斜率(image slope)。
  9. 如請求項4之方法,其中經判定之該一或多個半導體器件製造程序參數包含一光罩設計、設計圖案、一光瞳形狀、一劑量或一焦點中之一或多者。
  10. 如請求項1之方法,其中該選擇資料之該集合包含選擇圖案之一集合。
  11. 如請求項10之方法,其進一步包含使用該選定圖案以訓練或校準一預測模型,該預測模型係一機器學習模型或一非機器學習模型。
  12. 如請求項4之方法,其中經判定之該一或多個半導體器件製造程序參數包含一光罩設計,且其中該方法進一步包含基於來自經再校準之該預測模型之該等預測將該光罩設計自一第一光罩設計調整為一第二光罩設計。
  13. 如請求項4之方法,其進一步包含基於經判定之該一或多個半導體器件製造參數判定對一半導體器件製造裝置之一調整。
  14. 如請求項4之方法,其進一步包含基於經判定之該一或多個半導體器件製造參數判定對一半導體器件製造程序之一調整。
  15. 如請求項1之方法,其中該校準資料包含一校準圖案,該校準圖案係與一基板上之一圖案之幾何特徵相關聯,且其中該預測資料包含一預測圖案,該預測圖案係與該基板上之該圖案之經預測幾何特徵相關聯。
  16. 如請求項15之方法,其中該選擇資料包含選擇圖案之一集合。
  17. 一種電腦程式產品,其包含其上記錄有指令之一非暫時性電腦可讀媒體,該等指令在由一電腦執行時實施請求項1至16中任一項之方法。
TW110148377A 2019-07-10 2020-06-29 用於選擇與圖案化程序相關聯之資料之方法及相關的非暫時性電腦可讀媒體 TWI791357B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962872521P 2019-07-10 2019-07-10
US62/872,521 2019-07-10

Publications (2)

Publication Number Publication Date
TW202215166A true TW202215166A (zh) 2022-04-16
TWI791357B TWI791357B (zh) 2023-02-01

Family

ID=71138719

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109121765A TWI752539B (zh) 2019-07-10 2020-06-29 用於模型校準以減少模型預測不確定性的預測資料選擇
TW110148377A TWI791357B (zh) 2019-07-10 2020-06-29 用於選擇與圖案化程序相關聯之資料之方法及相關的非暫時性電腦可讀媒體

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109121765A TWI752539B (zh) 2019-07-10 2020-06-29 用於模型校準以減少模型預測不確定性的預測資料選擇

Country Status (5)

Country Link
US (1) US20220276563A1 (zh)
KR (1) KR20220018050A (zh)
CN (1) CN114096917B (zh)
TW (2) TWI752539B (zh)
WO (1) WO2021004725A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803339B (zh) * 2022-06-07 2023-05-21 國立成功大學 光點陣列掃描系統及其方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220397515A1 (en) * 2021-06-10 2022-12-15 Applied Materials, Inc. Obtaining substrate metrology measurement values using machine learning
US11965798B2 (en) 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
WO2022261358A1 (en) * 2021-06-10 2022-12-15 Applied Materials, Inc. Substrate process endpoint detection using machine learning
KR20240063109A (ko) * 2021-09-02 2024-05-09 에이에스엠엘 네델란즈 비.브이. 선택된 패턴 세트를 평가하는 방법
US11637582B1 (en) * 2022-02-08 2023-04-25 Qualcomm Incorporated Machine learning-based radio frequency (RF) front-end calibration
CN117722954B (zh) * 2024-02-07 2024-04-19 上海强华实业股份有限公司 精烧产品形貌检测与尺寸分析系统及方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US6883158B1 (en) * 1999-05-20 2005-04-19 Micronic Laser Systems Ab Method for error reduction in lithography
KR100958714B1 (ko) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
WO2007030704A2 (en) 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US7765021B2 (en) * 2008-01-16 2010-07-27 International Business Machines Corporation Method to check model accuracy during wafer patterning simulation
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
NL2008924A (en) * 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
EP3379356A1 (en) * 2017-03-23 2018-09-26 ASML Netherlands B.V. Method of modelling lithographic systems for performing predictive maintenance

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803339B (zh) * 2022-06-07 2023-05-21 國立成功大學 光點陣列掃描系統及其方法

Also Published As

Publication number Publication date
WO2021004725A1 (en) 2021-01-14
US20220276563A1 (en) 2022-09-01
CN114096917B (zh) 2024-04-16
CN114096917A (zh) 2022-02-25
TW202119133A (zh) 2021-05-16
TWI752539B (zh) 2022-01-11
KR20220018050A (ko) 2022-02-14
TWI791357B (zh) 2023-02-01

Similar Documents

Publication Publication Date Title
TWI757663B (zh) 降低於機器學習模型預測中之不確定性之方法
KR102304331B1 (ko) 기계 학습에 의해 공정 모델들을 결정하는 방법들
TWI698723B (zh) 基於機器學習的逆光學接近校正及製程模型校準
TWI753517B (zh) 半導體裝置幾何方法及系統
TWI752539B (zh) 用於模型校準以減少模型預測不確定性的預測資料選擇
TWI564674B (zh) 用於計算任意圖案中之隨機變異之模型
TW201643545A (zh) 影像對數斜率(ils)最佳化
US20230107556A1 (en) Machine learning based subresolution assist feature placement
TW201706724A (zh) 著色感知最佳化
KR102376267B1 (ko) 패터닝 디바이스 상의 유한한 두께들의 구조체들에 의한 방사선의 산란을 결정하는 방법들
TW202307722A (zh) 蝕刻系統、模型、及製造程序
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
TWI786658B (zh) 像差影響系統、模型、及製造程序
KR20190108609A (ko) 공정 모델들을 조정하는 방법들
TW202333079A (zh) 產生擴增資料以訓練機器學習模型以保持物理趨勢
KR20190100350A (ko) 제조 공정 시 공정 모델들의 안내 및 검사 방법들