KR102585064B1 - 결함 확률에 기초한 프로세스 윈도우 - Google Patents

결함 확률에 기초한 프로세스 윈도우 Download PDF

Info

Publication number
KR102585064B1
KR102585064B1 KR1020227030237A KR20227030237A KR102585064B1 KR 102585064 B1 KR102585064 B1 KR 102585064B1 KR 1020227030237 A KR1020227030237 A KR 1020227030237A KR 20227030237 A KR20227030237 A KR 20227030237A KR 102585064 B1 KR102585064 B1 KR 102585064B1
Authority
KR
South Korea
Prior art keywords
parameter
dose
patterning
substrate
feature
Prior art date
Application number
KR1020227030237A
Other languages
English (en)
Other versions
KR20220125373A (ko
Inventor
아브라함 슬라츠터
스테판 훈스체
빔 티보 델
안톤 베른하르트 반 오스텐
콘라드 반 인겐 슈나우
기스베르트 리스펜스
브레넌 페터슨
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220125373A publication Critical patent/KR20220125373A/ko
Application granted granted Critical
Publication of KR102585064B1 publication Critical patent/KR102585064B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Image Analysis (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Image Generation (AREA)

Abstract

방법이 본원에서 설명된다. 방법은 (i) 특징부의 파라미터의 측정들, (ii) 패턴화 프로세스의 프로세스 변수에 관련된 데이터, (iii) 파라미터의 측정들 및 프로세스 변수에 관련된 데이터에 기초하여 프로세스 변수의 함수로서 정의된 파라미터의 함수 거동, (iv) 특징부의 실패율의 측정들, 및 (v) 프로세스 변수의 설정에 대한 프로세스 변수의 확률 밀도 함수를 획득하기 위한 단계, 변환 함수에 기초하여, 프로세스 변수의 확률 밀도 함수를 파라미터의 확률 밀도 함수로 변환하기 위한 단계 - 변환 함수는 프로세스 변수의 함수에 기초하여 결정됨 -, 및 파라미터의 확률 밀도 함수 및 실패율의 측정들에 기초하여 파라미터의 파라미터 제한을 결정하기 위한 단계를 포함한다.

Description

결함 확률에 기초한 프로세스 윈도우{PROCESS WINDOW BASED ON DEFECT PROBABILITY}
관련된 출원들에 대한 상호-참조
이 출원은 그 전체적으로 참조에 의해 본원에 편입되는, 2017년 12월 22일자로 출원되었던 미국 출원 제62/609,755호, 및 2018년 11월 30일자로 출원되었던 미국 출원 제62/773,259호의 우선권을 주장한다.
기술분야
본 개시내용은 디바이스 제조 프로세스의 성능을 개선시키는 기법들에 관한 것이다. 기법들은 리소그래픽 장치(lithographic apparatus) 또는 계측 장치(metrology apparatus)와 관련하여 이용될 수 있다.
리소그래피 장치(lithography apparatus)는 기판의 타겟 부분 상으로 희망된 패턴을 도포하는 머신이다. 리소그래피 장치는 예를 들어, 집적 회로(integrated circuit; IC)들의 제조에서 이용될 수 있다. 그 상황에서, 마스크(mask) 또는 레티클(reticle)로서 대안적으로 지칭되는 패턴화 디바이스(patterning device)는 IC의 개별적인 층에 대응하는 회로 패턴을 생성하기 위하여 이용될 수 있고, 이 패턴은 방사-감지 재료(레지스트(resist))의 층을 가지는 기판(예컨대, 실리콘 웨이퍼) 상의 (예컨대, 하나 또는 몇몇 다이(die)들의 일부를 포함하는) 타겟 부분 상으로 이미징될 수 있다. 일반적으로, 단일 기판은 연속적으로 노출되는 인접한 타겟 부분들의 네트워크를 포함할 것이다. 알려진 리소그래피 장치는 전체 패턴을 한꺼번에 타겟 부분 상으로 노출시킴으로써 각각의 타겟 부분이 조사되는 소위 스테퍼(stepper)들, 및 주어진 방향에 대해 평행하거나 역평행한 기판을 동시에 스캐닝하면서 주어진 방향("스캐닝(scanning)" -방향)으로 빔을 통해 패턴을 스캐닝함으로써 각각의 타겟 부분이 조사되는 소위 스캐너(scanner)들을 포함한다.
회로 패턴을 패턴화 디바이스로부터 기판으로 전사(transfer)하기 이전에, 기판은 프라이밍(priming), 레지스트 코팅(resist coating), 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 거칠 수 있다. 노출 후에, 기판은 전사된 회로 패턴의 노출후 베이크(post-exposure bake; PEB), 현상(development), 하드 베이크(hard bake), 및 측정/검사와 같은 다른 절차들을 겪을 수 있다. 절차들의 이 어레이는 디바이스, 예컨대, IC의 개별적인 층을 만들기 위한 기초로서 이용된다. 기판은 그 다음으로, 디바이스의 개별적인 층을 마감하도록 모두 의도된 에칭, 이온-주입(ion-implantation)(도핑(doping)), 금속배선(metallization), 산화, 화학-기계적 연마(chemo-mechanical polishing) 등과 같은 다양한 프로세스들을 거칠 수 있다. 몇몇 층들이 디바이스에서 요구될 경우에, 전체 절차 또는 그 변형은 각각의 층에 대하여 반복된다. 궁극적으로, 디바이스는 기판 상의 각각의 타겟 부분에서 존재할 것이다. 이 디바이스들은 그 다음으로, 다이싱(dicing) 또는 소잉(sawing)과 같은 기법에 의해 서로로부터 분리되고, 그러므로, 개별적인 디바이스들은 핀(pin)들 등에 연결된 캐리어(carrier) 상에서 장착될 수 있다.
이에 따라, 반도체 디바이스들과 같은 제조 디바이스들은 전형적으로, 디바이스들의 다양한 특징부(feature)들 및 다수의 층들을 형성하기 위하여 다수의 제작 프로세스들을 이용하여 기판(반도체 웨이퍼)을 프로세싱하는 것을 수반한다. 이러한 층들 및 특징부들은 전형적으로, 예컨대, 증착(deposition), 리소그래피, 에치(etch), 화학적-기계적 연마(chemical-mechanical polishing), 및 이온 주입을 이용하여 제조되고 프로세싱된다. 다수의 디바이스들은 기판 상의 복수의 다이들 상에서 제작될 수 있고, 그 다음으로, 개별적인 디바이스들로 분리될 수 있다. 이 디바이스 제조 프로세스는 패턴화 프로세스로 고려될 수 있다. 패턴화 프로세스는 패턴화 디바이스 상의 패턴을 기판으로 전사하기 위하여, 리소그래픽 장치에서의 패턴화 디바이스를 이용하는 광학적 및/또는 나노임프린트(nanoimprint) 리소그래피와 같은 패턴화 단계를 수반하고, 전형적으로, 그러나 임의적으로, 현상 장치에 의한 레지스트 현상, 베이크 도구를 이용하는 기판의 베이킹, 에치 장치를 이용하는 패턴을 이용한 에칭 등과 같은 하나 이상의 관련된 패턴 프로세싱 단계들을 수반한다.
본 개시내용의 실시예에 따르면, 기판 상의 특징부의 파라미터 제한들을 결정하기 위한 방법이 제공된다. 방법은 (i) 특징부의 파라미터의 측정들, (ii) 패턴화 프로세스의 프로세스 변수에 관련된 데이터, (iii) 파라미터의 측정들 및 프로세스 변수에 관련된 데이터에 기초하여 프로세스 변수의 함수로서 정의된 파라미터의 함수 거동, (iv) 특징부의 실패율(failure rate)의 측정들, 및 (v) 프로세스 변수의 설정 당 프로세스 변수의 확률 밀도 함수를 획득하기 위한 단계들을 포함한다. 또한, 방법은 변환 함수에 기초하여 프로세스 변수의 확률 밀도 함수를 프로세스 변수의 설정 당 파라미터의 확률 밀도 함수로 변환하는 단계 - 변환 함수는 프로세스 변수의 함수에 기초하여 결정됨 -, 및 파라미터의 확률 밀도 함수 및 특징부의 실패율의 측정들에 기초하여 파라미터의 파라미터 제한(parameter limit)을 결정하는 단계를 포함한다.
프로세스 변수의 확률 밀도 함수의 결정은 프로세스 변수의 설정 당 파라미터의 측정된 분산(variance) 및 프로세스 변수의 설정 당 결정된 프로세스 변수에 대한 프로세스 변수의 함수의 국소 미분(local derivative)으로부터 연산되는 프로세스 변수의 분산에 기초한다.
변환 함수는 변환 인자(conversion factor)이고, 여기서, 변환 인자는 프로세스 변수의 설정 당 결정된 프로세스 변수의 함수의 역(inverse)의 국소 미분의 절대값이다.
파라미터 제한 및 파라미터의 확률 밀도 함수에 기초하여 특징부의 추정된 실패율을 결정하는 것; 및 특징부의 추정된 실패율이 미리 결정된 임계치 미만이 되도록, 프로세스 변수에 관련된 프로세스 윈도우(process window)를 식별하는 것. 미리 결정된 임계치는 패턴화 프로세스의 선택된 수율(yield)에 기초한다.
실패율은 특징부의 하나 이상의 실패들에 관련되고, 하나 이상의 실패 모드들은 특징부의 물리적 실패(physical failure), 전사 실패(transfer failure), 및/또는 연기된 실패(postponed failure)를 포함한다. 실시예에서, 특징부의 연기된 실패는 패턴화 프로세스에서의 후속 단계 동안에 발생하는 것으로 측정되었던 실패에 기초한 프로세스 파라미터에 대한 규정된 제한(stipulated limit)이다. 특징부의 하나 이상의 실패들은 특징부의 가중화된 실패율을 생성하기 위하여 특정한 실패의 빈도에 기초하여 가중화된다.
방법은 하나 이상의 실패들과 프로세스 변수 사이의 상관(correlation)에 기초하여 프로세스 변수의 가중화된 함수를 획득하기 위한 단계, 프로세스 변수의 가중화된 함수에 기초하여 파라미터의 가중화된 파라미터 제한을 결정하는 단계, 및 가중화된 파라미터 제한에 기초하여 프로세스 윈도우를 결정하는 단계를 더 포함한다.
방법은 레지스트 프로세스와 연관된 연기된 실패들에 기초하여, 시뮬레이션(simulation)에 의해, 레지스트 프로세스의 레지스트 모델을 이용하여 레지스트 두께 및/또는 레지스트 유형을 최적화하기 위한 단계들을 더 포함한다. 레지스트 프로세스와 연관된 실패는 푸팅 실패(footing failure) 및/또는 네킹 실패(necking failure)를 포함한다.
방법은 대응하는 파라미터 제한에 기초하여, 복수의 특징부 유형들의 각각의 특징부 유형에 대한 파라미터 제한, 및 복수의 특징부 유형들의 각각의 특징부 유형의 추정된 실패율을 획득하기 위한 단계, 및 복수의 특징부 유형들의 각각의 특징부 유형의 추정된 실패율의 곱셈(product)에 기초하여 중첩하는 프로세스 윈도우를 결정하는 단계를 더 포함한다.
방법은 복수의 특징부 유형들의 각각의 특징부 유형의 추정된 실패율의 최대치에 기초하여, 모델링 및/또는 시뮬레이션에 의해, 광학적 근접성 정정(optical proximity correction)을 반복적으로 결정하기 위한 단계들을 더 포함한다. 추정된 실패율의 최대치는 가장 낮은 수율을 가지는 특징부 유형에 대응한다.
방법은 파라미터의 측정된 분산으로부터 파라미터의 세분화된 분산을 결정하기 위한 단계들을 더 포함하고, 여기서, 세분화된 분산은 프로세스 변수에 비관련된 인자들로 인한 분산을 참작한다. 세분화된 분산은 측정된 분산으로부터 프로세스 변수에 비관련된 인자들로 인한 분산을 제거함으로써 연산된다. 프로세스 변수에 비관련된 인자들은 계측 잡음(metrology noise), 마스크(mask), 및 배경(background)으로부터의 기여분을 포함한다. 배경의 기여분은 프로세스 변수의 특정한 설정에서 결정된 패턴화 프로세스의 확률론적 성분(stochastic component)이고, 여기서, 측정된 분산은 프로세스 변수에 대한 최소 감도를 가진다.
프로세스 윈도우의 결정은 세분화된 분산에 기초한다.
방법은 패턴화 프로세스의 패턴 전사후 단계(post pattern transfer step)의 전달 함수(transfer function), 및 전달 함수에 기초한 또 다른 프로세스 변수 PDF를 획득하기 위한 단계, 및 또 다른 프로세스 변수 PDF에 기초하여 프로세스 윈도우를 결정하기 위한 단계를 더 포함한다.
패턴화 프로세스의 파라미터는 임계 치수(critical dimension)이고, 프로세스 변수는 도우즈(dose)이다.
프로세스 변수의 설정은 도우즈 값들의 범위 내의 도우즈 값이다.
패턴화 프로세스는 프로세스 윈도우에 기초하여 패턴화 프로세스의 하나 이상의 장치들을 조절하도록 구성된다. 하나 이상의 장치들은 프로세스 윈도우에 기초하여 기판 상에서 패턴화를 수행하도록 구성된 리소그래픽 장치를 포함한다.
또한, 본 개시내용의 실시예에 따르면, 패턴화 프로세스의 프로세스 윈도우를 결정하기 위한 방법이 제공된다. 방법은 (i) 패턴화 프로세스의 실패율 측정들에 기초한 패턴화 프로세스의 파라미터의 파라미터 제한, 및 (ii) 패턴화 프로세스의 프로세스 변수 및 프로세스 변수의 분산의 함수로서 정의된 파라미터의 확률 밀도 함수를 획득하기 위한 단계들을 포함한다. 방법은 파라미터 제한 및 파라미터의 확률 밀도 함수에 기초하여 패턴화 프로세스의 추정된 실패율을 결정하기 위한 단계, 및 파라미터의 추정된 실패율이 선택된 임계치 미만이 되도록, 하드웨어 컴퓨터 시스템에 의해, 프로세스 변수의 측면에서 프로세스 윈도우를 식별하기 위한 단계를 더 포함한다.
프로세스 윈도우의 식별은 추정된 실패율 및 선택된 임계치의 교차부 사이의 프로세스 변수의 범위를 결정하는 것을 수반한다. 교차부는 그래프 상에서 추정된 실패율, 프로세스 변수, 및 선택된 임계치를 도표화함으로써 그래픽으로 결정된다. 선택된 임계치는 패턴화 프로세스의 선택된 수율에 기초한다.
패턴화 프로세스는 프로세스 윈도우에 기초하여 패턴화 프로세스의 하나 이상의 장치들을 조절하도록 구성된다. 하나 이상의 장치들은 프로세스 윈도우에 기초하여 기판 상에서 패턴화를 수행하도록 구성된 리소그래픽 장치를 포함한다.
패턴화 프로세스의 파라미터는 임계 치수이고, 프로세스 변수는 도우즈이다.
프로세스 변수의 설정은 도우즈 값들의 범위 내의 도우즈 값이다.
또한, 패턴화 프로세스의 프로세스 윈도우를 결정하기 위한 방법이 제공되고, 방법은 (i) 패턴화 프로세스의 제1 파라미터의 변동, (ii) 제1 파라미터와 제2 파라미터 사이의 관계에 기초한 제2 파라미터의 변동, 및 (iii) 패턴화 프로세스의 프로세스 모델을 획득하는 단계, 제2 파라미터의 실패율 분포로 귀착되는 제1 파라미터의 변동을 수정하기 위하여, 하드웨어 컴퓨터 시스템에 의해, 제1 파라미터와 제2 파라미터 사이의 관계에서 가우시안 분포(Gaussian distribution)를 삽입하는 단계; 및 프로세스 모델의 메리트 함수(merit function)가 최적화되도록, 프로세스 모델의 시뮬레이션을 통해 프로세스 윈도우를 식별하는 단계 - 메리트 함수는 제2 파라미터의 실패율 분포에 기초한 결함 메트릭(defect metric)을 포함함 - 를 포함한다.
실시예에서, 프로세스 윈도우를 식별하는 단계는 반복 프로세스이고, 반복은 제2 파라미터를 바이어싱(biasing)하는 것; 및 바이어싱에 기초한 실패율 분포에 기초하여 실패 확률을 결정하는 것을 포함한다.
실시예에서, 바이어싱은 제1 파라미터의 값들 또는 패턴화 디바이스의 특성을 조절함으로써 달성된다.
실시예에서, 제1 파라미터는 도우즈이고, 제2 파라미터는 임계 치수이다.
실시예에서, 임계 치수의 바이어싱은 패턴화 디바이스의 특징부의 도우즈 및/또는 치수를 조절하는 것을 포함한다.
실시예에서, 바이어싱은 기판 상에서 인쇄되어야 할 임계 치수를 증가시키거나 감소시키는 것을 포함한다.
실시예에서, 임계 치수의 조절은 패턴화 프로세스의 도우즈를 증가시키거나 감소시킴으로써 달성된다.
실시예에서, 프로세스 윈도우의 식별은 제2 패턴에 관련된 제2 프로세스 윈도우와의 제1 패턴에 관련된 제1 프로세스 윈도우의 중첩에 기초하여 중첩하는 프로세스 윈도우를 결정하는 것을 더 포함한다.
실시예에서, 제1 패턴 및 제2 패턴은 프로세스 윈도우 제한 패턴들이다.
실시예에서, 메리트 함수의 최적화는 하나 이상의 결함들과 연관된 실패율의 최소화를 포함한다.
실시예에서, 하나 이상의 결함은 홀 폐쇄(hole closure)를 포함한다.
실시예에서, 메리트 함수의 최적화는 제1 임계치 미만인 제1 파라미터의 값들로 인한 제1 결함 출현 및/또는 제2 임계치 초과인 제1 파라미터의 값들로 인한 제2 결함 출현 사이의 균형을 확립하는 것을 수반한다.
실시예에서, 제1 임계치는 제2 임계치보다 더 낮다.
실시예에서, 메리트 함수는 포커스(focus), 오버레이(overlay), msdz, 및 도우즈 중의 하나 이상에 관련된 제약들을 더 포함한다.
실시예에서, 제1 파라미터 변동은 패턴화 프로세스의 국소적 파라미터 균일성 모델(local parameter uniformity model)의 시뮬레이션에 기초하여 추정된다.
실시예에서, 국소적 파라미터 균일성 모델은 국소적 임계 치수 균일성 모델(local critical dimension uniformity model)이다.
실시예에서, 프로세스 모델은 소스 최적화(source optimization), 마스크 최적화(mask optimization), 및/또는 소스-마스크 최적화(source-mask optimization) 모델이다.
실시예에서, 가우시안 분포는 3 시그마(three sigma) 이상의 변동을 가진다.
실시예에서, 하나 이상의 결함들은 홀 폐쇄, 네킹, 및 브리징(bridging) 중의 적어도 하나를 포함한다.
실시예에서, 실패율 분포는 제2 파라미터에서의 변경에 대한 결함 출현의 확률을 연산하기 위하여 이용된 확률 밀도 함수이다.
실시예에서, 결함 메트릭은 결함들의 총 수이고, 실패율은 하나 이상의 결함들과 연관된다.
또한, 결함-기반 프로세스 윈도우에 기초하여 소스-마스크 최적화를 수행하기 위한 방법이 제공된다. 방법은 소스-마스크-최적화 모델로부터의 제1 결과 및 제1 결과 내의 프로세스 윈도우 제한 패턴들을 획득하는 단계; 및 결함 메트릭이 감소되도록, 하드웨어 컴퓨터 시스템을 통해, 결함 메트릭에 기초하여 소스 및/또는 마스크의 특성을 조절하는 단계를 포함한다.
실시예에서, 조절은 마스크를 이용하여 인쇄된 기판 상에서 포지티브 바이어스(positive bias)를 생성하기 위하여 마스크를 바이어싱하는 것을 포함한다.
실시예에서, 바이어싱은 패턴 제한 프로세스 윈도우들 내에서의 패턴화에 적용된다.
실시예에서, 방법은 결함 메트릭을 감소시키기 위하여 마스크 상에서 광학적 근접성 정정을 수행하는 단계를 더 포함한다.
실시예에서, 방법은 특징부가 이웃하는 특징부에 상대적으로 근접하거나 이웃하는 특징부를 터치하도록, 특징부의 임계 치수를 증가시키는 단계를 더 포함한다.
도 1은 실시예에 따른 리소그래피 장치를 개략적으로 도시한다.
도 2는 리소그래픽 셀 또는 클러스터의 실시예를 개략적으로 도시한다.
도 3은 일 예의 검사 장치 및 계측 기법을 개략적으로 도시한다.
도 4는 일 예의 검사 장치를 개략적으로 도시한다.
도 5는 검사 장치의 조명 스폿과 계측 타겟 사이의 관계를 예시한다.
도 6은 측정 데이터에 기초하여 복수의 관심 있는 변수들을 유도하는 프로세스를 개략적으로 도시한다.
도 7은 프로세싱 변수들의 일 예의 카테고리들을 도시한다.
도 8은 실시예에 따른, 패턴화 시뮬레이션 방법에 대한 흐름을 개략적으로 도시한다.
도 9는 실시예에 따른, 측정 시뮬레이션 방법에 대한 흐름을 개략적으로 도시한다.
도 10은 실시예에 따른, 결함 기반 프로세스 윈도우를 결정하기 위한 방법에 대한 흐름을 개략적으로 도시한다.
도 11a는 실시예에 따른, 측정된 CD와 도우즈(dose) 사이의 일 예의 관계를 예시한다.
도 11b는 실시예에 따른, 상이한 도우즈 설정들에서의 일 예의 도우즈 PDF들을 예시한다.
도 11c는 실시예에 따른, 상이한 도우즈 설정들에서의 일 예의 CD PDF들을 예시한다.
도 11d는 실시예에 따른, 일 예의 실패 모드를 예시한다.
도 11e는 실시예에 따른, 또 다른 예의 실패 모드를 예시한다.
도 11f는 실시예에 따른, 도우즈 설정에서의 일 예의 파라미터 제한을 예시한다.
도 11g는 실시예에 따른, 상이한 도우즈 설정에서의 일 예의 파라미터 제한 및 관련된 실패 확률들을 예시한다.
도 11h는 실시예에 따른 일 예의 프로세스 윈도우를 예시한다.
도 12a는 실시예에 따른, 제1 특징부를 위한 일 예의 프로세스 윈도우를 예시한다.
도 12b는 실시예에 따른, 제2 특징부를 위한 일 예의 프로세스 윈도우를 예시한다.
도 12c는 실시예에 따른, 도 12a 및 도 12b의 중첩하는 프로세스 윈도우를 예시한다.
도 13은 실시예에 따른 다차원 프로세스 윈도우를 예시한다.
도 14는 실시예에 따른, 프로세스 윈도우를 세분화하기 위한 방법에 대한 흐름을 개략적으로 도시한다.
도 15a는 실시예에 따른, 제1 특징부를 위한 상이한 프로세스 윈도우들의 예들을 예시한다.
도 15b는 실시예에 따른, 제2 특징부를 위한 상이한 프로세스 윈도우들의 예들을 예시한다.
도 16은 실시예에 따른, 프로세스 윈도우를 세분화하기 위한 방법에 대한 흐름을 개략적으로 도시한다.
도 17은 실시예에 따른 방법들의 일 예의 애플리케이션을 예시한다.
도 18은 실시예에 따른, 도 1에서의 서브시스템들에 대응하는 시뮬레이션 모델들의 블록도이다.
도 19는 실시예에 따른, 리소그래피 투영 장치를 최적화하는 일반적인 방법의 플로우차트를 도시한다.
도 20은 실시예에 따른, 모든 설계 변수들의 최적화가 교대로 실행되는 리소그래피 투영 장치를 최적화하는 방법의 플로우차트를 도시한다.
도 21은 실시예에 따른, 최적화의 하나의 예시적인 방법을 도시한다.
도 22는 실시예에 따른, 결함들에 기초하여 프로세스 윈도우를 결정하기 위한 방법의 플로우차트를 도시한다.
도 23a는 실시예에 따른 일 예의 가우시안 분포이다.
도 23b는 실시예에 따른, 제1 파라미터와 제2 파라미터 사이의 일 예의 관계를 예시한다.
도 23c는 실시예에 따른, 상이한 웨이퍼-바이어스에서의 일 예의 확률 분포를 예시한다.
도 24는 실시예에 따른, OPC 프로세스 동안의 마스크의 바이어싱의 예를 예시한다.
도 25a 및 도 25b는 실시예에 따른, 도우즈 분포 및 도우즈-CD 관계로부터 결정된 일 예의 도우즈 분포, 도우즈-CD 관계, 및 CD의 확률 분포를 예시한다.
도 26은 실시예에 따른, 상이한 방법들로부터 획득된 CD의 일 예의 확률 분포들을 예시한다.
도 27은 실시예에 따른, 측정된 실패율들에 따라 방법을 적용함으로써 결정된, EUV 스캐너 상의 예컨대, 24 nm HP 컨택-홀(contact-hole)들에 대한 측정된 데이터에 기초한 일 예의 프로세스 윈도우이다.
도 28a 내지 도 28d는 도 27의 프로세스 윈도우를 연산하기 위하여 이용되는 상이한 도우즈/포커스 값들에서의 일 예의 실패 분포를 예시한다.
도 29는 실시예에 따른 일 예의 컴퓨터 시스템의 블록도이다.
도 30은 실시예에 따른 또 다른 리소그래픽 투영 장치의 개략도이다.
도 31은 실시예에 따른 도 26에서의 장치의 더 상세한 도면이고, 그리고,
도 32는 실시예에 따른, 도 30 및 도 31의 장치의 소스 수집기 모듈의 더 상세한 도면이다.
실시예들을 상세하게 설명하기 전에, 실시예들이 구현될 수 있는 일 예의 환경을 제시하는 것이 유익하다.
도 1은 리소그래픽 장치(LA)의 실시예를 개략적으로 도시한다. 장치는:
- 방사 빔(B)(예컨대, UV 방사 또는 DUV 방사)을 조절하도록 구성된 조명 시스템(조명기)(IL);
- 패턴화 디바이스(예컨대, 마스크)(MA)를 지지하도록 구성되고, 어떤 파라미터들에 따라 패턴화 디바이스를 정확하게 위치결정하도록 구성된 제1 위치결정기(PM)에 연결된 지지 구조체(예컨대, 마스크 테이블)(MT);
- 기판(예컨대, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 어떤 파라미터들에 따라 기판을 정확하게 위치결정하도록 구성된 제2 위치결정기(PW)에 연결된 기판 테이블(예컨대, 웨이퍼 테이블)(WT)(예컨대, WTa, WTb, 또는 양자 모두); 및
- 패턴화 디바이스(MA)에 의해 방사 빔(B)에 부여된 패턴을 기판(W)의 (예컨대, 하나 이상의 다이들을 포함하고 필드(field)들로서 종종 지칭된) 타겟 부분(C) 상으로 투영하도록 구성된 투영 시스템(예컨대, 굴절 투영 렌즈 시스템)(PS) - 투영 시스템은 기준 프레임(RF) 상에서 지지됨 - 을 포함한다.
여기에서 도시된 바와 같이, 장치는 (예컨대, 투과 마스크를 채용하는) 투과형이다. 대안적으로, 장치는 (예컨대, 위에서 지칭된 바와 같은 유형의 프로그래밍가능 미러 어레이를 채용하거나, 반사 마스크를 채용하는) 반사형일 수 있다.
조명기(IL)는 방사 소스(radiation source)(SO)로부터 방사의 빔을 수신한다. 소스 및 리소그래픽 장치는 예를 들어, 소스가 엑시머 레이저(excimer laser)일 때에 별도의 엔티티들일 수 있다. 이러한 경우들에는, 소스가 리소그래픽 장치의 일부를 형성하는 것으로 고려되지는 않고, 방사 빔은 예를 들어, 적당한 지향 미러(directing mirror)들 및/또는 빔 확대기(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우들에는, 예를 들어, 소스가 수은 램프(mercury lamp)일 때, 소스는 장치의 일체부일 수 있다. 소스(SO) 및 조명기(IL)는 요구될 경우에 빔 전달 시스템(BD)과 함께, 방사 시스템으로서 지칭될 수 있다.
조명기(IL)는 빔의 세기 분포(intensity distribution)를 변경할 수 있다. 조명기는 세기 분포가 조명기(IL)의 동공 평면(pupil plane)에서의 환형 영역(annular region) 내에서 비-제로(non-zero)가 되도록, 방사 빔의 방사상 규모(radial extent)를 제한하도록 배열될 수 있다. 추가적으로 또는 대안적으로, 조명기(IL)는 세기 분포가 동공 평면에서의 복수의 동일하게 이격된 섹터(sector)들에서 비-제로가 되도록, 동공 평면에서의 빔의 분포를 제한하도록 동작가능할 수 있다. 조명기(IL)의 동공 평면에서의 방사 빔의 세기 분포는 조명 모드로서 지칭될 수 있다.
따라서, 조명기(IL)는 빔의 (각도/공간적) 세기 분포를 조절하도록 구성된 조절기(AM)를 포함할 수 있다. 일반적으로, 조명기의 동공 평면에서의 세기 분포의 (각각 -외부 및 -내부로서 보편적으로 지칭된) 적어도 외부 및/또는 내부 방사상 규모가 조절될 수 있다. 조명기(IL)는 빔의 각도 분포를 변동시키도록 동작가능할 수 있다. 예를 들어, 조명기는 세기 분포가 비-제로인 동공 평면에서의 섹터들의 수 및 각도 규모를 변경하도록 동작가능할 수 있다. 조명기의 동공 평면에서의 빔의 세기 분포를 조절함으로써, 상이한 조명 모드들이 달성될 수 있다. 예를 들어, 조명기(IL)의 동공 평면에서의 세기 분포의 방사상 및 각도 규모를 제한함으로써, 세기 분포는 예를 들어, 2중극(dipole), 4중극(quadrupole), 또는 6중극(hexapole) 분포와 같은 다중극 분포(multi-pole distribution)를 가질 수 있다. 희망된 조명 모드는 예컨대, 그 조명 모드를 조명기(IL)로 제공하는 광학기기를 삽입함으로써, 또는 공간적 광 변조기를 이용함으로써 획득될 수 있다.
조명기(IL)는 빔의 편광(polarization)을 변경하도록 동작가능할 수 있고, 조절기(AM)를 이용하여 편광을 조절하도록 동작가능할 수 있다. 조명기(IL)의 동공 평면을 가로지르는 방사 빔의 편광 상태는 평면 모드로서 지칭될 수 있다. 상이한 편광 모드들의 이용은 더 큰 콘트라스트(contrast)가 기판(W) 상에서 형성된 이미지에서 달성되는 것을 허용할 수 있다. 방사 빔은 비편광(unpolarize)될 수 있다. 대안적으로, 조명기는 방사 빔을 선형적으로 편광시키도록 배열될 수 있다. 방사 빔의 편광 방향은 조명기(IL)의 동공 평면에 걸쳐 변동될 수 있다. 방사의 편광 방향은 조명기(IL)의 동공 평면에서의 상이한 영역들에서 상이할 수 있다. 방사의 편광 상태는 조명 모드에 따라 선택될 수 있다. 다중극 조명 모드들에 대하여, 방사 빔의 각각의 극(pole)의 편광은 일반적으로, 조명기(IL)의 동공 평면에서의 그 극의 포지션 벡터(position vector)에 대해 수직일 수 있다. 예를 들어, 2중극 조명 모드에 대하여, 방사는 2중극의 2 개의 반대 섹터들을 양분하는 라인에 대해 실질적으로 수직인 방향으로 선형적으로 편광될 수 있다. 방사 빔은, X-편광된 및 Y-편광된 상태들로서 지칭될 수 있는 2 개의 상이한 직교 방향들 중의 하나로 편광될 수 있다. 4중극 조명 모드에 대하여, 각각의 극의 섹터에서의 방사는 그 섹터를 양분하는 라인에 대해 실질적으로 수직인 방향으로 선형적으로 편광될 수 있다. 이 편광 모드는 XY 편광으로서 지칭될 수 있다. 유사하게, 6중극 조명 모드에 대하여, 각각의 극의 섹터에서의 방사는 그 섹터를 양분하는 라인에 대해 실질적으로 수직인 방향으로 선형적으로 편광될 수 있다. 이 편광 모드는 TE 편광으로서 지칭될 수 있다.
추가적으로, 조명기(IL)는 일반적으로, 통합기(integrator)(IN) 및 집속기(condenser)(CO)와 같은 다양한 다른 컴포넌트들을 포함한다. 조명 시스템은 방사를 지향하거나, 성형하거나, 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전, 또는 다른 유형들의 광학적 컴포넌트들, 또는 그 임의의 조합과 같은 다양한 유형들의 광학적 컴포넌트들을 포함할 수 있다.
이에 따라, 조명기는 그 단면에서 희망된 균일성 및 세기 분포를 가지는 방사의 조절된 빔(B)을 제공한다.
지지 구조체(MT)는 패턴화 디바이스의 배향, 리소그래픽 장치의 설계, 및 예를 들어, 패턴화 디바이스가 진공 환경에서 유지되는지 또는 그렇지 않은지 여부와 같은 다른 조건들에 종속되는 방식으로 패턴화 디바이스를 지지한다. 지지 구조체는 패턴화 디바이스를 유지하기 위하여 기계적, 진공, 정전, 또는 다른 클램핑 기법들을 이용할 수 있다. 지지 구조체는 예를 들어, 요구된 바와 같이 고정되거나 이동가능할 수 있는 프레임(frame) 또는 테이블(table)일 수 있다. 지지 구조체는 패턴화 디바이스가 예를 들어, 투영 시스템에 대하여 희망된 포지션(position)에 있다는 것을 보장할 수 있다. 본원에서의 용어들 "레티클" 또는 "마스크"의 임의의 이용은 더 일반적인 용어 "패턴화 디바이스"와 동의어인 것으로 고려될 수 있다.
본원에서 이용된 용어 "패턴화 디바이스"는 기판의 타겟 부분에서 패턴을 부여하기 위하여 이용될 수 있는 임의의 디바이스를 지칭하는 것으로서 폭넓게 해독되어야 한다. 실시예에서, 패턴화 디바이스는 기판의 타겟 부분에서의 패턴을 생성하기 위하여 그 단면에서 패턴을 갖는 방사 빔을 부여하기 위하여 이용될 수 있는 임의의 디바이스이다. 예를 들어, 패턴이 위상-시프팅(phase-shifting) 특징부들 또는 소위 보조 특징부들을 포함할 경우에, 방사 빔에 부여된 패턴은 기판의 타겟 부분에서의 희망된 패턴에 정확하게 대응하지 않을 수 있다는 것이 주목되어야 한다. 일반적으로, 방사 빔에 부여된 패턴은 집적 회로와 같은, 타겟 부분에서 생성되는 디바이스에서의 특정한 기능적 층에 대응할 것이다.
패턴화 디바이스는 투과성 또는 반사성일 수 있다. 패턴화 디바이스들의 예들은 마스크들, 프로그래밍가능 미러 어레이들, 및 프로그래밍가능 LCD 패널들을 포함한다. 마스크들은 리소그래피(lithography)에서 잘 알려져 있고, 2진(binary), 교대하는 위상-시프트, 및 감쇠된 위상-시프트와 같은 마스크 유형들 뿐만 아니라, 다양한 하이브리드 마스크 유형들을 포함한다. 프로그래밍가능 미러 어레이(mirror array)의 예는, 미러들의 각각이 상이한 방향들로 인입 방사 빔을 반사하기 위하여 개별적으로 틸팅(tilt)될 수 있는 작은 미러들의 매트릭스 배열(matrix arrangement)을 채용한다. 틸팅된 미러들은 미러 매트릭스에 의해 반사되는 방사 빔에서 패턴을 부여한다.
본원에서 이용된 용어 "투영 시스템"은, 이용되는 노출 방사를 위하여, 또는 침지 액체(immersion liquid)의 이용 또는 진공의 이용과 같은 다른 인자들을 위하여 적절한 바와 같이, 굴절, 반사, 반사굴절(catadioptric), 자기, 전자기, 및 정전 광학계(optical system)들, 또는 그 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 망라하는 것으로서 폭넓게 해독되어야 한다. 본원에서의 용어 "투영 렌즈"의 임의의 이용은 더 일반적인 용어 "투영 시스템"과 동의어인 것으로서 고려될 수 있다.
투영 시스템(PS)은 기판(W) 상에서 이미징된 패턴에 영향을 줄 수 있는, 불균일할 수 있는 광학적 전달 함수를 가진다. 비편광된 방사에 대하여, 이러한 효과들은 그 동공 평면에서의 포지션의 함수로서, 투영 시스템(PS)을 진출하는 방사의 투과(아포다이제이션(apodization)) 및 상대적인 상(수차(aberration))을 설명하는 2 개의 스칼라 맵(scalar map)들에 의해 상당히 양호하게 설명될 수 있다. 투과 맵(transmission map) 및 상대적 위상 맵(relative phase map)으로서 지칭될 수 있는 이 스칼라 맵들은 기본 함수들의 완전한 세트의 선형 조합으로서 표현될 수 있다. 특히 편리한 세트는 단위 원(unit circle) 상에서 정의된 직교 다항식(orthogonal polynomial)들의 세트를 형성하는 제르니케 다항식(Zernike polynomial)들이다. 각각의 스칼라 맵의 결정은 이러한 확대에서의 계수들을 결정하는 것을 수반할 수 있다. 제르니케 다항식들은 단위 원 상에서 직교적이므로, 제르니케 계수(Zernike coefficient)들은 궁극적으로 각각의 제르니케 다항식과의 측정된 스칼라 맵의 내적(inner product)을 계산함으로써, 그리고 이것을 그 제르니케 다항식의 놈(norm)의 제곱에 의해 제산(divide)함으로써 결정될 수 있다.
투과 맵 및 상대적 위상 맵은 필드 및 시스템 종속적이다. 즉, 일반적으로, 각각의 투영 시스템(PS)은 각각의 필드 포인트에 대한(즉, 그 이미지 평면에서의 각각의 공간적 위치에 대한) 상이한 제르니케 확대(Zernike expansion)를 가질 것이다. 그 동공 평면에서의 투영 시스템(PS)의 상대적 위상은 방사를 투영 시스템(PS)을 통해, 예를 들어, 투영 시스템(PS)의 객체 평면에서의 포인트-유사 소스(point-like source)로부터 투영함으로써, 그리고 파면(wavefront)(즉, 동일한 위상을 갖는 포인트들의 궤적(locus))을 측정하기 위하여 전단가공 간섭계(shearing interferometer)를 이용함으로써 결정될 수 있다. 전단가공 간섭계는 공통 경로 간섭계이고, 그러므로, 유익하게도, 2차적인 기준 빔이 파면을 측정하기 위하여 요구되지 않는다. 전단가공 간섭계는 투영 시스템(즉, 기판 테이블(WT))의 이미지 평면에서의 회절 격자(diffraction grating), 예를 들어, 2 차원 그리드(two dimensional grid), 및 투영 시스템(PS)의 동공 평면에 공액(conjugate)인 평면에서 간섭 패턴을 검출하도록 배열된 검출기를 포함할 수 있다. 간섭 패턴은 전단가공 방향에서의 동공 평면에서의 좌표에 대한 방사의 위상의 미분에 관련된다. 검출기는 예를 들어, 전하 결합 소자(charge coupled device; CCD)들과 같은 감지 엘리먼트들의 어레이를 포함할 수 있다.
리소그래피 장치의 투영 시스템(PS)은 가시적인 줄무늬(fringe)들을 생성하지 않을 수 있고, 그러므로, 파면의 결정의 정확도는 예를 들어, 회절 격자를 이동시키는 것과 같은 위상 스테핑(phase stepping) 기법들을 이용하여 증대될 수 있다. 스테핑은 회절 격자의 평면에서, 그리고 측정의 스캐닝 방향에 대해 수직인 방향으로 수행될 수 있다. 스테핑 범위는 하나의 회절 주기일 수 있고, 적어도 3 개의 (균일하게 분포된) 위상 스텝들이 이용될 수 있다. 이에 따라, 예를 들어, 3 개의 스캐닝 측정들은 y-방향으로 수행될 수 있고, 각각의 스캐닝 측정은 x-방향으로 상이한 포지션에 대하여 수행될 수 있다. 회절 격자의 이 스테핑은 위상 변동들을 세기 변동들로 효과적으로 변환하여, 위상 정보가 결정되는 것을 허용한다. 격자는 검출기를 교정하기 위하여 회절 격자에 대해 수직인 방향(z 방향)으로 스테핑될 수 있다.
회절 격자는, 투영 시스템(PS)의 좌표계의 축들(x 및 y)과 일치할 수 있거나 이 축들에 대해 45 도와 같은 각도일 수 있는 2 개의 수직 방향들로 순차적으로 스캐닝될 수 있다. 스캐닝은 정수의 격자 주기들, 예를 들어, 하나의 격자 주기 동안에 수행될 수 있다. 스캐닝은 하나의 방향에서의 위상 변동을 평균화하여, 다른 방향에서의 위상 변동이 재구성되는 것을 허용한다. 이것은 파면이 양자의 방향들의 함수로서 결정되는 것을 허용한다.
그 동공 평면에서의 투영 시스템(PS)의 투과(아포다이제이션)는 방사를 투영 시스템(PS)을 통해, 예를 들어, 투영 시스템(PS)의 객체 평면(즉, 패턴화 디바이스(MA)의 평면)에서의 포인트-유사 소스로부터 투영함으로써, 그리고 검출기를 이용하여, 투영 시스템(PS)의 동공 평면에 공액인 평면에서 방사의 세기를 측정함으로써 결정될 수 있다. 수차들을 결정하기 위한 파면을 측정하기 위하여 이용된 것과 동일한 검출기가 이용될 수 있다.
투영 시스템(PS)은 복수의 광학적(예컨대, 렌즈) 엘리먼트들을 포함할 수 있고, 수차들(필드 전반에 걸친 동공 평면을 가로지르는 위상 변동들)을 정정하기 위하여 광학적 엘리먼트들 중의 하나 이상을 조절하도록 구성된 조절 메커니즘(adjustment mechanism)(AM)을 더 포함할 수 있다. 이것을 달성하기 위하여, 조절 메커니즘은 하나 이상의 상이한 방식들로 투영 시스템(PS) 내의 하나 이상의 광학적(예컨대, 렌즈) 엘리먼트들을 조작하도록 동작가능할 수 있다. 투영 시스템은 그 광학적 축이 z 방향으로 연장되는 좌표계를 가질 수 있다. 조절 메커니즘은 다음: 하나 이상의 광학적 엘리먼트들을 변위시키는 것; 하나 이상의 광학적 엘리먼트들을 틸팅하는 것; 및/또는 하나 이상의 광학적 엘리먼트들을 변형시키는 것의 임의의 조합을 행하도록 동작가능할 수 있다. 광학적 엘리먼트의 변위는 임의의 방향(x, y, z, 또는 그 조합)일 수 있다. 광학적 엘리먼트의 틸팅은 전형적으로, z 축 주위의 회전이 비-회전 대칭적 비구면 광학적 엘리먼트(non-rotationally symmetric aspherical optical element)를 위하여 이용될 수 있지만, x 및/또는 y 방향들로 축 주위로 회전함으로써, 광학적 축에 대해 수직인 평면 외부이다. 광학적 엘리먼트의 변형은 저주파수 형상(예컨대, 난시(astigmatic)) 및/또는 고주파수 형상(예컨대, 비구면들이 없음)을 포함할 수 있다. 광학적 엘리먼트의 변형은 예를 들어, 광학적 엘리먼트의 하나 이상의 측부들 상에서 힘을 가하기 위하여 하나 이상의 액츄에이터들을 이용함으로써, 및/또는 광학적 엘리먼트의 하나 이상의 선택된 영역들을 가열하기 위하여 하나 이상의 가열 엘리먼트들을 이용함으로써 수행될 수 있다. 일반적으로, 아포다이제이션(동공 평면을 가로지르는 투과 변동)을 정정하기 위하여 투영 시스템(PS)을 조절하는 것이 가능하지 않을 수 있다. 투영 시스템(PS)의 투과 맵은 리소그래피 장치(LA)를 위한 패턴화 디바이스(예컨대, 마스크)(MA)를 설계할 때에 이용될 수 있다. 연산 리소그래피 기법을 이용하면, 패턴화 디바이스(MA)는 아포다이제이션을 적어도 부분적으로 정정하도록 설계될 수 있다.
리소그래픽 장치는 2 개(듀얼 스테이지) 이상의 테이블들(예컨대, 2 개 이상의 기판 테이블들(WTa, WTb), 2 개 이상의 패턴화 디바이스 테이블들, 기판 테이블(WTa) 및, 예를 들어, 측정 및/또는 세정을 용이하게 하는 것에 전용되는 기판을 갖지 않는 투영 시스템 아래의 테이블(WTb) 등)을 가지는 유형일 수 있다. 이러한 "다수 스테이지" 머신들에서는, 추가적인 테이블들이 병렬로 이용될 수 있거나, 준비 단계들이 하나 이상의 다른 테이블들이 노출을 위하여 이용되고 있는 동안에, 하나 이상의 테이블들 상에서 수행될 수 있다. 예를 들어, 정렬 센서(alignment sensor)(AS)를 이용하는 정렬 측정들 및/또는 레벨 센서(LS)를 이용하는 레벨(높이, 틸트 등) 측정들이 행해질 수 있다.
리소그래픽 장치는 또한, 투영 시스템과 기판 사이의 공간을 충전하기 위하여, 기판의 적어도 부분이 상대적으로 높은 굴절률을 가지는 액체, 예컨대, 물에 의해 피복될 수 있는 유형일 수 있다. 침지 액체는 또한, 예를 들어, 패턴화 디바이스와 투영 시스템 사이의, 리소그래픽 장치에서의 다른 공간들에 적용될 수 있다. 침지 기법들은 투영 시스템들의 개구수(numerical aperture)를 증가시키기 위하여 당해 분야에서 잘 알려져 있다. 본원에서 이용된 바와 같은 용어 "침지"는 기판과 같은 구조체가 액체에서 잠수되어야 한다는 것을 반드시 의미하는 것이 아니라, 오히려, 액체가 노출 동안에 투영 시스템과 기판 사이에서 위치된다는 것을 오직 의미한다.
따라서, 리소그래픽 장치의 동작 시에, 방사 빔은 조명 시스템(IL)에 의해 조절되고 제공된다. 방사 빔(B)은, 지지 구조체(예컨대, 마스크 테이블(MT)) 상에서 유지되는 패턴화 디바이스(예컨대, 마스크)(MA) 상에 입사하고, 패턴화 디바이스에 의해 패턴화된다. 패턴화 디바이스(MA)를 횡단한 후에, 방사 빔(B)은 빔을 기판(W)의 타겟 부분(C) 상으로 포커싱하는 투영 시스템(PS)을 통과한다. 제2 위치결정기(PW) 및 포지션 센서(IF)(예컨대, 간섭계측 디바이스, 선형 인코더, 2-D 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예컨대, 방사 빔(B)의 경로에서 상이한 타겟 부분들(C)을 위치결정하기 위하여 정확하게 이동될 수 있다. 유사하게, 제1 위치결정기(PM) 및 (도 1에서 명시적으로 도시되지 않은) 또 다른 포지션 센서는 예컨대, 마스크 라이브러리로부터의 기계적 취출(retrieval) 후에 또는 스캔 동안에, 방사 빔(B)의 경로에 대하여 패턴화 디바이스(MA)를 정확하게 위치결정하기 위하여 이용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은, 제1 위치결정기(PM)의 일부를 형성하는 롱-스트로크 모듈(long-stroke module)(대략적 위치결정) 및 숏-스트로크 모듈(short-stroke module)(미세한 위치결정)의 도움으로 실현될 수 있다. 유사하게, 기판 테이블(WT)의 이동은, 제2 위치결정기(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 이용하여 실현될 수 있다. (스캐너와 대조되는 바와 같은) 스테퍼의 경우에, 지지 구조체(MT)는 숏-스트로크 액츄에이터에 오직 연결될 수 있거나, 고정될 수 있다. 패턴화 디바이스(MA) 및 기판(W)은 패턴화 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 예시된 바와 같은 기판 정렬 마크들은 전용 타겟 부분들을 점유하지만, 이들은 타겟 부분들 사이의 공간들에서 위치될 수 있다(이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로서 알려짐). 유사하게, 하나 초과의 다이(die)가 패턴화 디바이스(MA) 상에서 제공되는 상황들에서, 패턴화 디바이스 정렬 마크들은 다이들 사이에서 위치될 수 있다.
도시된 장치는 다음의 모드들 중의 적어도 하나에서 이용될 수 있다:
1. 스텝 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 필수적으로 정지된 채로 유지되는 반면, 방사 빔에 부여된 전체 패턴은 한 번에 타겟 부분(C) 상으로 투영된다(즉, 단일 정적 노출). 기판 테이블(WT)은 그 다음으로, 상이한 타겟 부분(C)이 노출될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노출 필드(exposure field)의 최대 크기는 단일 정적 노출에서 이미징된 타겟 부분(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 방사 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안에 동시에 스캐닝된다(즉, 단일 동적 노출). 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성들에 의해 결정될 수 있다. 스캔 모드에서, 노출 필드의 최대 크기는 단일 동적 노출에서 타겟 부분의 (비-스캐닝 방향에서의) 폭을 제한하는 반면, 스캐닝 모션(scanning motion)의 길이는 타겟 부분의 (스캐닝 방향에서의) 높이를 결정한다.
3. 또 다른 모드에서, 지지 구조체(MT)는 필수적으로 정지된 채로 유지되어, 프로그래밍가능 패턴화 디바이스를 유지하고, 기판 테이블(WT)은 방사 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안에 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사 소스가 채용되고, 프로그래밍가능 패턴화 디바이스는 기판 테이블(WT)의 각각의 이동 후에, 또는 스캔 동안의 연속적인 방사 펄스들 사이에서 요구된 바와 같이 업데이트된다. 이 동작의 모드는 위에서 지칭된 바와 같은 유형의 프로그래밍가능 미러 어레이와 같은 프로그래밍가능 패턴화 디바이스를 사용하는 무마스크 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
위에서 설명된 이용의 모드들 또는 완전히 상이한 이용의 모드들에 대한 조합들 및/또는 변동들이 또한 채용될 수 있다.
IC들의 제조에서의 리소그래피 장치의 이용에 대해 이 텍스트에서 특정 참조가 행해질 수 있지만, 본원에서 설명된 리소그래피 장치는 통합된 광학계들의 제조, 자기적 도메인 메모리들을 위한 안내 및 검출 패턴들, 액정 디스플레이(liquid-crystal display; LCD)들, 박막 자기 헤드(thin film magnetic head)들 등과 같은 다른 애플리케이션들을 가질 수 있다는 것이 이해되어야 한다. 당업자는 이러한 대안적인 애플리케이션들의 맥락에서, 본원에서의 용어들 "웨이퍼" 또는 "다이"의 임의의 이용이 각각 더 일반적인 용어들 "기판" 또는 "타겟 부분"과 동의어로서 고려될 수 있다는 것을 인식할 것이다. 본원에서 지칭된 기판은 예를 들어, 트랙(track)(전형적으로, 레지스트의 층을 기판에 도포하고 노출된 레지스트를 현상하는 도구) 또는 계측 또는 검사 도구에서, 노출 전 또는 후에 프로세싱될 수 있다. 적용가능할 경우에, 본원에서의 개시내용은 이러한 그리고 다른 기판 프로세싱 도구들에 적용될 수 있다. 또한, 기판은 예를 들어, 다층 IC를 생성하기 위하여 한 번 이상 프로세싱될 수 있어서, 본원에서 이용된 용어 기판은 또한, 다수의 프로세싱된 층들을 이미 포함하는 기판을 지칭할 수 있다.
본원에서 이용된 용어들 "방사" 및 "빔"은 (예컨대, 365, 248, 193, 157, 또는 126 nm의 파장을 가지는) 자외선(ultraviolet; UV) 방사 및 (예컨대, 5 내지 20 nm 범위인 파장을 가지는) 극자외선(extreme ultra-violet; EUV) 방사를 포함하는 모든 유형들의 전자기 방사 뿐만 아니라, 이온 빔들 또는 전자 빔들과 같은 입자 빔들을 망라한다.
패턴화 디바이스 상의, 또는 패턴화 디바이스에 의해 제공된 다양한 패턴들은 상이한 프로세스 윈도우들, 즉, 프로세싱 변수들 하에서 패턴이 사양 내에서 생성될 프로세싱 변수들의 공간을 가질 수 있다. 잠재적인 시스템 결함들에 관련되는 패턴 사양들의 예들은 네킹, 라인 풀 백(line pull back), 라인 박형화(line thinning), CD, 에지 배치, 중첩, 레지스트 상단 손실, 레지스트 언더컷, 및/또는 브리징에 대한 체크들을 포함한다. 패턴화 디바이스 또는 그 면적(area) 상의 모든 패턴들의 프로세스 윈도우는 각각의 개별적인 패턴의 (예컨대, 중첩하는) 프로세스 윈도우들을 병합함으로써 획득될 수 있다. 모든 패턴들의 프로세스 윈도우의 경계는 개별적인 패턴들의 일부의 프로세스 윈도우들의 경계들을 포함한다. 다시 말해서, 이 개별적인 패턴들은 모든 패턴들의 프로세스 윈도우를 제한한다. 이 패턴들은 본원에서 상호 교환가능하게 이용되는 "핫 스폿(hot-spot)들" 또는 "프로세스 윈도우 제한 패턴(process window limiting pattern; PWLP)들"로서 지칭될 수 있다. 패턴화 프로세스의 일부를 제어할 때, 핫 스폿(hot spot)들에 초점을 맞추는 것이 가능하고 경제적이다. 핫 스폿들이 결함이 있지 않을 때, 모든 패턴들이 결함이 있지 않을 가능성이 가장 많다.
도 2에서 도시된 바와 같이, 리소그래픽 장치(LA)는 기판 상에서 노출전(pre-exposure) 및 노출후(post-exposure) 프로세스들을 수행하기 위한 장치들을 또한 포함하는, 리소셀(lithocell) 또는 클러스터(cluster)로 때때로 또한 지칭된 리소그래픽 셀(lithographic cell)(LC)의 일부를 형성할 수 있다. 기존에는, 이들은 하나 이상의 레지스트 층들을 증착하기 위한 하나 이상의 스핀 코터(spin coater)들(SC), 노출된 레지스트를 현상하기 위한 하나 이상의 현상기(developer)들(DE), 하나 이상의 칠 플레이트(chill plate)들(CH), 및/또는 하나 이상의 베이크 플레이트(bake plate)들(BK)을 포함한다. 기판 핸들러(substrate handler) 또는 로봇(RO)은 입력/출력 포트(I/O1, I/O2)로부터 하나 이상의 기판들을 픽업(pick up)하고, 기판들을 상이한 프로세스 장치들 사이에서 이동시키고, 기판들을 리소그래픽 장치의 적재 베이(loading bay)(LB)로 전달한다. 트랙(track)으로서 종종 집합적으로 지칭되는 이 장치들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래픽 장치를 또한 제어하는 감독 제어 시스템(SCS)에 의해 스스로 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있다. 이에 따라, 상이한 장치들은 스루풋 및 프로세싱 효율을 최대화하도록 동작될 수 있다.
리소그래픽 장치에 의해 노출되는 기판이 올바르게 그리고 일관적으로 노출되도록 하기 위하여 및/또는 적어도 하나의 패턴 전사 단계(예컨대, 광학적 리소그래피 단계)를 포함하는 패턴화 프로세스(예컨대, 디바이스 제조 프로세스)의 일부를 모니터링하기 위해서는, 정렬, (예를 들어, 중첩하는 층들에서의 구조체들 사이, 또는 예를 들어, 이중 패턴화 프로세스에 의해 층에 별도로 제공되었던 동일 층에서의 구조체들 사이일 수 있는) 오버레이, 라인 두께, 임계 치수(CD), 포커스 오프셋(focus offset), 재료 성질 등과 같은 하나 이상의 성질들을 측정하거나 결정하기 위하여 기판 또는 다른 객체를 검사하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치되는 제조 설비는 또한 전형적으로, 리소셀 또는 리소셀에서의 다른 객체들에서 프로세싱되었던 기판들(W)의 일부 또는 전부를 측정하는 계측 시스템(MET)을 포함한다. 계측 시스템(MET)은 리소셀(LC)의 일부일 수 있고, 예를 들어, 그것은 (정렬 센서(AS)와 같은) 리소그래픽 장치(LA)의 일부일 수 있다.
하나 이상의 측정된 파라미터들은 예를 들어, 패턴화된 기판에서 또는 그 상에서 형성된 연속적인 층들 사이의 오버레이, 예를 들어, 패턴화된 기판에서 또는 그 상에서 형성된 특징부들의 임계 치수(CD)(예컨대, 임계 라인폭(critical linewidth)), 광학적 리소그래피 단계의 포커스(focus) 또는 포커스 오차(focus error), 광학적 리소그래피 단계의 도우즈 또는 도우즈 오차(dose error), 광학적 리소그래피 단계의 광학적 수차들 등을 포함할 수 있다. 이 측정은 제품 기판 자체의 타겟 상에 대해 및/또는 기판 상에서 제공된 전용 계측 타겟에 대해 수행될 수 있다. 측정은 레지스트의 현상 후에, 그러나 에칭 전에 수행될 수 있거나, 에치 후에 수행될 수 있다.
스캐닝 전자 현미경, 이미지-기반 측정 도구, 및/또는 다양한 특화된 도구들의 이용을 포함하는, 패턴화 프로세스에서 형성된 구조체들의 측정들을 행하기 위한 다양한 기법들이 있다. 위에서 논의된 바와 같이, 특화된 계측 도구의 고속이며 비-침입적(non-invasive) 형태는 방사의 빔이 기판의 표면 상의 타겟 상으로 지향되고 산란된(회절된/반사된) 빔의 성질들이 측정되는 것이다. 기판에 의해 산란된 방사의 하나 이상의 성질들을 평가함으로써, 기판의 하나 이상의 성질들이 결정될 수 있다. 이것은 회절-기반 계측(diffraction-based metrology)으로 칭해질 수 있다. 이 회절-기반 계측의 하나의 이러한 애플리케이션은 타겟 내의 특징부 비대칭성의 측정이다. 이것은 예를 들어, 오버레이(overlay)의 척도로서 이용될 수 있지만, 다른 애플리케이션들이 또한 알려져 있다. 예를 들어, 비대칭성은 회절 스펙트럼의 반대 부분들을 비교함으로써(예를 들어, 주기적 격자의 회절 스펙트럼에서의 -1차 및 +1차를 비교함) 측정될 수 있다. 이것은 위에서 설명된 바와 같이, 그리고 그 전체적으로 참조에 의해 본원에 편입되는 예를 들어, 미국 특허 출원 공개 제2006-066855호에서 설명된 바와 같이 행해질 수 있다. 회절-기반 계측의 또 다른 애플리케이션은 타겟 내에서의 특징부 폭(CD)의 측정이다. 이러한 기법들은 이하에서 설명된 장치 및 방법들을 이용할 수 있다.
이에 따라, 디바이스 제작 프로세스(예컨대, 패턴화 프로세스 또는 리소그래피 프로세스)에서, 기판 또는 다른 객체들은 프로세스 동안 또는 프로세스 후에 다양한 유형들의 측정을 겪을 수 있다. 측정은 특정한 기판이 결함이 있는지 여부를 결정할 수 있거나, 프로세스 및 프로세스에서 이용된 장치들에 대한 조절들(예컨대, 기판 상의 2 개의 층들을 정렬하거나 패턴화 디바이스를 기판에 정렬함)을 확립할 수 있거나, 프로세스 및 장치들의 성능을 측정할 수 있거나, 다른 목적들을 위한 것일 수 있다. 측정의 예들은 광학적 이미징(예컨대, 광학적 현미경), 비-이미징 광학적 측정(예컨대, ASML YieldStar 계측 도구, ASML SMASH 계측 시스템과 같은 회절에 기초한 측정), 기계적 측정(예컨대, 스타일러스(stylus)를 이용한 프로파일링, 원자 힘 현미경법(atomic force microscopy; AFM)), 및/또는 비-광학적 이미징(예컨대, 스캐닝 전자 현미경법(scanning electron microscopy; SEM))을 포함한다. 그 전체적으로 본원에 참조에 의해 편입되는 미국 특허 제6,961,116호에서 설명된 바와 같은 SMASH(SMart Alignment Sensor Hybrid; 스마트 정렬 센서 하이브리드) 시스템은, 정렬 마커의 2 개의 중첩하고 상대적으로 회전된 이미지들을 생성하고, 이미지들의 푸리에 변환(Fourier transform)들이 간섭하도록 야기되는 동공 평면에서 세기들을 검출하고, 간섭된 차수들에서의 세기 변동들로서 나타나는 2 개의 이미지들의 회절 차수들 사이의 위상차로부터 포지션 정보를 추출하는 자기-참조 간섭계(self-referencing interferometer)를 채용한다.
계측 결과들은 감독 제어 시스템(SCS)에 직접적으로 또는 간접적으로 제공될 수 있다. 오차가 검출될 경우에, (특히, 검사가 배치(batch)의 하나 이상의 다른 기판이 여전히 노출되어야 할 정도로 충분히 즉시 그리고 신속하게 행해질 수 있을 경우) 후속 기판의 노출에 대해 및/또는 노출된 기판의 후속 노출에 대해 조절이 행해질 수 있다. 또한, 이미 노출된 기판은 박리될 수 있고, 수율을 개선시키기 위하여 재작업되거나 폐기될 수 있음으로써, 오류인 것으로 알려진 기판 상에서 추가의 프로세싱을 수행하는 것을 회피할 수 있다. 기판의 오직 일부 타겟 부분들이 오류인 경우에는, 추가의 노출들이 양호한 그 타겟 부분들 상에서 오직 수행될 수 있다.
계측 시스템(MET) 내에서, 계측 장치는 기판의 하나 이상의 성질들, 및 특히, 상이한 기판들의 하나 이상의 성질들이 어떻게 변동되는지 또는 동일한 기판의 상이한 층들이 어떻게 층으로부터 층으로 변동되는지를 결정하기 위하여 이용된다. 위에서 언급된 바와 같이, 계측 장치는 리소그래픽 장치(LA) 또는 리소셀(LC) 내로 통합될 수 있거나, 단독형 디바이스일 수 있다.
계측을 가능하게 하기 위하여, 하나 이상의 타겟들이 기판 상에서 제공될 수 있다. 실시예에서, 타겟은 특수하게 설계되고, 주기적 구조체를 포함할 수 있다. 실시예에서, 타겟은 디바이스 패턴의 일부, 예컨대, 디바이스 패턴의 주기적 구조체이다. 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조체(예컨대, 바이폴라 트랜지스터(Bipolar Transistor; BPT), 비트 라인 컨택(Bit Line Contact; BLC) 등의 구조체)이다.
실시예에서, 기판 상의 타겟은, 현상 후에, 주기적인 구조적 특징부들이 고체 레지스트 라인들로 형성되도록 인쇄되는 하나 이상의 1-D 주기적 구조체들(예컨대, 격자들)을 포함할 수 있다. 실시예에서, 타겟은, 현상 후에, 하나 이상의 주기적 구조체들이 레지스트에서의 고체 레지스트 필러(solid resist pillar)들 또는 비아(via)들로 형성되도록 인쇄되는 하나 이상의 2-D 주기적 구조체들(예컨대, 격자들)을 포함할 수 있다. 바아(bar)들, 필러들, 또는 비아들은 대안적으로 기판으로(예컨대, 기판 상의 하나 이상의 층들로) 에칭될 수 있다.
실시예에서, 패턴화 프로세스의 관심 있는 파라미터들 중의 하나는 오버레이이다. 오버레이는 (정반사(specular reflection)에 대응하는) 회절의 제로 차수가 차단되고 오직 더 높은 차수들이 프로세싱되는 암시야(dark field) 산란계를 이용하여 측정될 수 있다. 암시야 계측의 예들은 그 전체적으로 참조에 의해 본원에 편입되는 PCT 특허 출원 공개 제WO 2009/078708호 및 제WO 2009/106279호에서 발견될 수 있다. 기법의 추가의 개발들은 그 전체적으로 참조에 의해 본원에 편입되는 미국 특허 출원 공개들 제2011-0027704호, 제2011-0043791호, 및 제2012-0242970호에서 설명되었다. 회절 차수들의 암시야 검출을 이용하는 회절-기반 오버레이는 더 작은 타겟들 상에서의 오버레이 측정들을 가능하게 한다. 이 타겟들은 조명 스폿보다 더 작을 수 있고, 기판 상의 디바이스 제품 구조체들에 의해 포위될 수 있다. 실시예에서, 다수의 타겟들은 하나의 방사 캡처로 측정될 수 있다.
도 3은 일 예의 검사 장치(예컨대, 산란계(scatterometer))를 도시한다. 그것은 방사를 기판(W) 상으로 투영하는 광대역(백색 광) 방사 투영기(2)를 포함한다. 전환된(redirected) 방사는 예컨대, 하부 좌측에서의 그래프에서 도시된 바와 같이, 전반사된 방사의 스펙트럼(10)(파장의 함수로서의 세기)을 측정하는 분광계 검출기(4)로 전달된다. 이 데이터로부터, 검출된 스펙트럼을 야기시키는 구조체 또는 프로파일은 프로세서(PU)에 의해, 예컨대, 엄격한 결합파 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀(non-linear regression)에 의해, 또는 도 3의 하단 우측에서 도시된 바와 같은 시뮬레이팅된 스펙트럼들의 라이브러리와의 비교에 의해 재구성될 수 있다. 일반적으로, 재구성을 위하여, 구조체의 일반적인 형태가 알려져 있고, 일부 변수들은 구조체가 만들어졌던 프로세스의 지식으로부터 가정되어, 구조체의 오직 약간의 변수들이 측정된 데이터로부터 결정되게 한다. 이러한 검사 장치는 수직-입사 검사 장치 또는 경사-입사 검사 장치로서 구성될 수 있다.
이용될 수 있는 또 다른 검사 장치가 도 4에서 도시된다. 이 디바이스에서, 방사 소스(radiation source)(2)에 의해 방출된 방사는 렌즈 시스템(12)을 이용하여 콜리메이팅(collimate)되고, 간섭 필터(13) 및 편광기(17)를 통해 투과되고, 부분적 반사 표면(16)에 의해 반사되고, 높은 개구수(numerical aperture; NA), 바람직하게는 적어도 0.9 또는 적어도 0.95를 가지는 대물 렌즈(15)를 통해 기판(W) 상의 스폿(S)으로 포커싱된다. (물과 같은 상대적으로 높은 굴절률(refractive index) 유체를 이용하는) 침지 검사 장치는 심지어 1 초과의 개구수를 가질 수 있다.
리소그래픽 장치(LA)에서와 같이, 하나 이상의 기판 테이블들은 측정 동작들 동안에 기판(W)을 유지하기 위하여 제공될 수 있다. 기판 테이블들은 도 1의 기판 테이블(WT)과 형태에 있어서 유사하거나 동일할 수 있다. 검사 장치가 리소그래픽 장치와 통합되는 예에서, 이들은 심지어 동일한 기판 테이블일 수 있다. 대략적 및 미세한 위치결정기들은 측정 광학계와 관련하여 기판을 정확하게 위치결정하도록 구성된 제2 위치결정기(PW)에 제공될 수 있다. 다양한 센서들 및 액츄에이터들은 예를 들어, 관심 있는 타겟의 포지션을 취득하고, 대물 렌즈(15) 하부의 포지션으로 관심 타겟을 가져가기 위하여 제공된다. 전형적으로, 많은 측정들은 기판(W)을 가로지르는 상이한 위치들에서의 타겟들에 대해 행해질 것이다. 기판 지지체는 상이한 타겟들을 취득하기 위하여 X 및 Y 방향들로, 그리고 광학계의 포커스에 대한 타겟의 희망된 위치를 획득하기 위하여 Z 방향으로 이동될 수 있다. 예를 들어, 실제적으로, 광학계가 (전형적으로, X 및 Y 방향들에서, 그러나 아마도 또한, Z 방향에서) 실질적으로 정지된 상태로 유지될 수 있고 오직 기판이 이동할 때, 대물 렌즈가 기판에 대한 상이한 위치들로 가져 가고 있는 것처럼 동작들을 생각하고 설명하는 것이 편리하다. 기판 및 광학계의 상대적인 포지션이 올바르다면, 그것들 중의 하나가 실세계에서 이동하고 있는지, 또는 양자가 이동하고 있는지, 또는 광학계의 일부의 조합이 (예컨대, Z 및/또는 틸트 방향으로) 이동하고 있고 광학계의 나머지는 정지되어 있고 기판은 (예컨대, X 및 Y 방향들로, 그러나 또한 임의적으로, Z 및/또는 틸트 방향으로) 이동하고 있는지는 원칙적으로 중요하지 않다.
기판(W)에 의해 전환된 방사는 그 다음으로, 스펙트럼이 검출되도록 하기 위하여, 부분적으로 반사하는 표면(16)을 통해 검출기(18)로 통과한다. 검출기(18)는 후방-투영된 초점 평면(11)에서(즉, 렌즈 시스템(15)의 초점 길이에서) 위치될 수 있거나, 평면(11)은 검출기(18) 상으로 보조 광학기기들(도시되지 않음)로 리이미징(re-image)될 수 있다. 검출기는 기판 타겟(30)의 2 차원 각도 산란 스펙트럼이 측정될 수 있도록, 2 차원 검출기일 수 있다. 검출기(18)는 예를 들어, CCD 또는 CMOS 센서들의 어레이일 수 있고, 예를 들어, 프레임 당 40 밀리초(millisecond)의 적분 시간(integration time)을 이용할 수 있다.
기준 빔은 예를 들어, 입사 방사의 세기를 측정하기 위하여 이용될 수 있다. 이것을 행하기 위하여, 방사 빔이 부분적으로 반사하는 표면(16) 상에 입사할 때, 방사 빔의 일부는 기준 미러(14)를 향한 기준 빔으로서 부분적으로 반사하는 표면(16)을 통해 투과된다. 기준 빔은 그 다음으로, 동일한 검출기(18)의 상이한 부분 상으로, 또는 대안적으로 상이한 검출기(도시되지 않음) 상으로 투영된다.
하나 이상의 간섭 필터들(13)은 말하자면, 405 내지 790 nm, 또는 200 내지 300 nm와 같은 훨씬 더 낮은 범위에서 관심 있는 파장을 선택하기 위하여 이용가능하다. 간섭 필터는 상이한 필터들의 세트를 포함하는 것이 아니라, 튜닝가능할 수 있다. 격자는 간섭 필터 대신에 이용될 수 있다. 애퍼처 조리개(aperture stop) 또는 공간적 광 변조기(도시되지 않음)는 타겟 상의 방사의 입사 각도의 범위를 제어하기 위하여 조명 경로에서 제공될 수 있다.
검출기(18)는 단일 파장(또는 좁은 파장 범위)에서 전환된 방사의 세기, 다수의 파장들에서 별도로 또는 파장 범위 상에서 통합된 세기를 측정할 수 있다. 또한, 검출기는 횡단 자기-편광된 방사 및 횡단 전기-편광된 방사의 세기 및/또는 횡단 자기-편과오딘 방사와 횡단 전기-편광된 방사 사이의 위상차를 별도로 측정할 수 있다.
기판(W)의 타겟(30)은, 현상 후에, 바아들이 고체 레지스트 라인들로 형성되도록 인쇄되는 1-D 격자일 수 있다. 타겟(30)은, 현상 후에, 격자가 레지스트에서의 고체 레지스트 필러들 또는 비아들로 형성되도록 인쇄되는 2-D 격자일 수 있다. 바아들, 필러들, 또는 비아들은 기판으로 또는 기판 상에서(예컨대, 기판 상의 하나 이상의 층들로) 에칭될 수 있다. (예컨대, 바아들, 필러들, 또는 비아들의) 패턴은 패턴화 프로세스에서의 프로세싱에서의 변경(예컨대, 리소그래픽 투영 장치(특히, 투영 시스템(PS))에서의 광학적 수차, 포커스 변경, 도우즈 변경 등)에 민감하고, 인쇄된 격자에서의 변동으로 나타날 것이다. 따라서, 인쇄된 격자의 측정된 데이터는 격자를 재구성하기 위하여 이용된다. 라인 폭 및/또는 형상과 같은 1-D 격자의 하나 이상의 파라미터들, 또는 필러 또는 비아 폭 또는 길이 또는 형상과 같은 2-D 격자의 하나 이상의 파라미터들은 인쇄 단계 및/또는 다른 검사 프로세스들의 지식으로부터, 프로세서(PU)에 의해 수행된 재구성 프로세스로 입력될 수 있다.
재구성에 의한 파라미터의 측정에 추가적으로, 각도 분해된 산란계측은 제품 및/또는 레지스트 패턴들에서의 특징부들의 비대칭성의 측정에서 유용하다. 비대칭성 측정의 특정한 애플리케이션은 오버레이의 측정을 위한 것이고, 여기서, 타겟(30)은 또 다른 것 상에서 중첩된 주기적 특징부들의 하나의 세트를 포함한다. 도 3 또는 도 4의 수단을 이용하는 비대칭성 측정의 개념들은 예를 들어, 그 전체적으로 본원에 편입되는 미국 특허 출원 공개 제2006-066855호에서 설명된다. 간단하게 기재하면, 타겟의 회절 스펙트럼에서의 회절 차수들의 포지션들이 타겟의 주기성에 의해 오직 결정되지만, 회절 스펙트럼에서의 비대칭성은 타겟을 구성하는 개별적인 특징부들에서의 비대칭성을 표시한다. 도 4의 수단에서, 검출기(18)가 이미지 센서일 수 있을 경우에, 회절 차수들에서의 이러한 비대칭성은 검출기(18)에 의해 레코딩된 동공 이미지에서의 비대칭성으로서 직접적으로 나타난다. 이 비대칭성은 유닛(PU)에서의 디지털 이미지 프로세싱에 의해 측정될 수 있고, 오버레이의 알려진 값들에 대해 교정될 수 있다.
도 5는 전형적인 타겟(30)의 평면도, 및 도 4의 장치에서의 조명 스폿(S)의 규모를 예시한다. 포위하는 구조체들로부터의 간섭이 없는 회절 스펙트럼을 획득하기 위하여, 타겟(30)은 실시예에서, 조명 스폿(S)의 폭(예컨대, 직경)보다 더 큰 주기적 구조체(예컨대, 격자)이다. 스폿(S)의 폭은 타겟의 폭 및 길이보다 더 작을 수 있다. 타겟은 다시 말해서, 조명에 의해 '언더필(underfill)'되고, 회절 신호는 필수적으로, 타겟 자체의 외부의 제품 특징부들 등으로부터의 임의의 신호들이 없다. 조명 배열체(2, 12, 13, 17)는 대물(15)의 후방 초점 평면을 가로질러서 균일한 세기의 조명을 제공하도록 구성될 수 있다. 대안적으로, 예컨대, 조명 경로에서 애퍼처(aperture)를 포함함으로써, 조명은 온 축(on axis) 또는 오프 축(off axis) 방향들로 한정될 수 있다.
도 6은 계측을 이용하여 획득된 측정 데이터에 기초한 타겟 패턴(30')의 관심 있는 하나 이상의 변수들의 값의 결정의 일 예의 프로세스를 개략적으로 도시한다. 검출기(18)에 의해 검출된 방사는 타겟(30')에 대한 측정된 방사 분포(108)를 제공한다.
주어진 타겟(30')에 대하여, 방사 분포(208)는 예를 들어, 수치 맥스웰 솔버(numerical Maxwell solver)(210)를 이용하여 파라미터화된 모델(206)로부터 연산/시뮬레이팅될 수 있다. 파라미터화된 모델(206)은 타겟을 구성하고 타겟과 연관된 다양한 자료들의 일 예의 층들을 도시한다. 파라미터화된 모델(206)은, 변동될 수 있고 유도될 수 있는, 고려 중인 타겟의 부분의 특징부들 및 층들에 대한 변수들 중의 하나 이상을 포함할 수 있다. 도 6에서 도시된 바와 같이, 변수들 중의 하나 이상은 하나 이상의 층들의 두께 t, 하나 이상의 특징부들의 폭 (예컨대, CD), 하나 이상의 특징부들의 높이 h, 및/또는 하나 이상의 특징부들의 측벽 각도 를 포함할 수 있다. 도시되지 않았지만, 변수들 중의 하나 이상은 층들 중의 하나 이상의 굴절률(예컨대, 실수 또는 복소수 굴절률, 굴절률 텐서(tensor) 등), 하나 이상의 층들의 소거 계수(extinction coefficient), 하나 이상의 층들의 흡수, 현상 동안의 레지스트 손실, 하나 이상의 특징부들의 푸팅, 및/또는 하나 이상의 특징부들의 라인 에지 거칠기(line edge roughness)를 더 포함할 수 있지만, 이것으로 제한되지는 않는다. 변수들의 초기 값들은 측정되고 있는 타겟에 대하여 예상된 것들일 수 있다. 측정된 방사 분포(108)는 그 다음으로, 둘 사이의 차이를 결정하기 위하여, 연산된 방사 분포(208)와 212에서 비교된다. 차이가 있을 경우에, 파라미터화된 모델(206)의 변수들 중의 하나 이상의 값들은 변동될 수 있고, 측정된 방사 분포(108)와 연산된 방사 분포(208) 사이의 충분한 정합이 있을 때까지, 새로운 연산된 방사 분포(208)는 측정된 방사 분포(108)에 대하여 계산되고 비교될 수 있다. 그 포인트에서, 파라미터화된 모델(206)의 변수들의 값들은 실제적인 타겟(30')의 기하구조의 양호한 또는 최상의 정합을 제공한다. 실시예에서는, 측정된 방사 분포(108)와 연산된 방사 분포(208) 사이의 차이가 공차 임계치(tolerance threshold) 내에 있을 때에 충분한 정합이 있다.
패턴화 프로세스의 변수들은 "프로세싱 변수들"로 칭해진다. 패턴화 프로세스는 리소그래피 장치에서의 패턴의 실제적인 전사에 대한 상류 및 하류의 프로세스들을 포함할 수 있다. 도 7은 프로세싱 변수들(370)의 일 예의 카테고리들을 도시한다. 제1 카테고리는 리소그래피 프로세스에서 이용된 리소그래피 장치 또는 임의의 다른 장치들의 변수들(310)일 수 있다. 카테고리의 예들은 리소그래피 장치의 조명, 투영 시스템, 기판 스테이지 등의 변수들을 포함한다. 제2 카테고리는 패턴화 프로세스에서 수행된 하나 이상의 절차들의 변수들(320)일 수 있다. 이 카테고리의 예들은 포커스 제어 또는 포커스 측정, 도우즈 제어 또는 도우즈 측정, 대역폭, 노출 기간, 현상 온도, 현상에서 이용된 화학적 조성 등을 포함한다. 제3 카테고리는 패턴화 디바이스에서의, 또는 패턴화 디바이스를 이용하는 설계 레이아웃 및 그 구현예의 변수들(330)일 수 있다. 이 카테고리의 예들은 보조 특징부들의 형상들 및/또는 위치들, 해상도 증대 기법(resolution enhancement technique; RET)에 의해 적용된 조절들, 마스크 특징부들의 CD 등을 포함할 수 있다. 제4 카테고리는 기판의 변수들(340)일 수 있다. 예들은 레지스트 층 하부의 구조체들의 특성들, 레지스트 층의 화학적 조성 및/또는 물리적 치수 등을 포함한다. 제5 카테고리는 패턴화 프로세스의 하나 이상의 변수들의 일시적 변동의 특성들(350)일 수 있다. 이 카테고리의 예들은 고주파수 스테이지 이동(예컨대, 주파수, 진폭 등), 고주파수 레이저 대역폭 변경(예컨대, 주파수, 진폭 등), 및/또는 고주파수 레이저 파장 변경의 특성을 포함한다. 이 고주파수 변경들 또는 이동들은 기초적인 변수들(예컨대, 스테이지 포지션, 레이저 세기)을 조절하기 위하여 메커니즘들의 응답 시간 위에 있는 것들이다. 제6 카테고리는 스핀 코팅, 노출후 베이크(post-exposure bake; PEB), 현상, 에칭, 증착, 도핑, 및/또는 패키징과 같은, 리소그래픽 장치에서의 패턴 전사의 상류 또는 하류의 프로세스들의 특성들(360)일 수 있다.
인식되는 바와 같이, 이 변수들의 전부가 아니더라도, 많은 것은 패턴화 프로세스의 파라미터 및 종종 관심 있는 파라미터에 대한 효과를 가질 것이다. 패턴화 프로세스의 파라미터들의 비-제한적인 예들은 임계 치수(CD), 임계 치수 균일성(critical dimension uniformity; CDU), 포커스, 오버레이, 에지 포지션 또는 배치, 측벽 각도, 패턴 시프트 등을 포함할 수 있다. 종종, 이 파라미터들은 명목 값(예컨대, 설계 값, 평균 값 등)으로부터의 오차를 표현한다. 파라미터 값들은 개별적인 패턴들의 특성의 값들 또는 패턴들의 그룹의 특성의 통계(예컨대, 평균, 분산 등)일 수 있다.
프로세싱 변수들 또는 이에 관련된 파라미터의 일부 또는 전부의 값들은 적당한 방법에 의해 결정될 수 있다. 예를 들어, 값들은 다양한 계측 도구들(예컨대, 기판 계측 도구)로 획득된 데이터로부터 결정될 수 있다. 값들은 패턴화 프로세스에서의 장치의 다양한 센서들 또는 시스템들(예컨대, 리소그래피 장치의 레벨링 센서 또는 정렬 센서와 같은 센서, 리소그래피 장치의 제어 시스템(예컨대, 기판 또는 패턴화 디바이스 테이블 제어 시스템), 트랙 도구에서의 센서 등)로부터 획득될 수 있다. 값들은 패턴화 프로세스의 조작자로부터의 것일 수 있다.
패턴화 프로세스의 일부들을 모델링하고 및/또는 시뮬레이팅하기 위한 예시적인 플로우차트가 도 8에서 예시된다. 인식되는 바와 같이, 모델들은 상이한 패턴화 프로세스를 표현할 수 있고, 이하에서 설명된 모든 모델들을 포함할 필요가 없다. 소스 모델(1200)은 패턴화 디바이스의 조명의 (방사 세기 분포, 대역폭 및/또는 위상 분포를 포함하는) 광학적 특성들을 표현한다. 소스 모델(1200)은, 개구수 설정들, 조명 시그마() 설정들 뿐만 아니라, 임의의 특정한 조명 형상(예컨대, 환형, 4중극, 2중극 등과 같은 오프-축 방사 형상)을 포함하지만, 이것으로 제한되지는 않는 조명의 광학적 특성들을 표현할 수 있고, 여기서, (또는 시그마)는 조명기의 외부 방사상 규모이다.
투영 광학기기들 모델(1210)은 투영 광학기기들의 (투영 광학기기들에 의해 야기된 방사 세기 분포 및/또는 위상 분포에 대한 변경들을 포함하는) 광학적 특성들을 표현한다. 투영 광학기기들 모델(1210)은 수차, 왜곡, 하나 이상의 굴절률들, 하나 이상의 물리적 크기들, 하나 이상의 물리적 치수들 등을 포함하는, 투영 광학기기들의 광학적 특성들을 표현할 수 있다.
패턴화 디바이스/설계 레이아웃 모델 모듈(1220)은 설계 특징부들이 패턴화 디바이스의 패턴에서 어떻게 레이아웃되는지를 캡처하고, 그 전체적으로 참조에 의해 편입되는 예를 들어, 미국 특허 제7,587,704호에서 설명된 바와 같이, 패턴화 디바이스의 상세한 물리적 성질들의 표현을 포함할 수 있다. 실시예에서, 패턴화 디바이스/설계 레이아웃 모델 모듈(1220)은, 패턴화 디바이스 상에서 또는 패턴화 디바이스에 의해 형성된 특징부들의 배열체의 표현인 설계 레이아웃(예컨대, 집적 회로, 메모리, 전자 디바이스 등의 특징부에 대응하는 디바이스 설계 레이아웃)의 (주어진 설계 레이아웃에 의해 야기된 방사 세기 분포 및/또는 위상 분포에 대한 변경들을 포함하는) 광학적 특성들을 표현한다. 리소그래픽 투영 장치에서 이용된 패턴화 디바이스는 변경될 수 있으므로, 적어도 조명 및 투영 광학기기들을 포함하는 리소그래픽 투영 장치의 나머지의 광학적 성질들로부터 패턴화 디바이스의 광학적 성질들을 분리시키는 것이 바람직하다. 시뮬레이션의 목적은 종종, 예를 들어, 디바이스 설계에 대하여 그 이후에 비교될 수 있는 에지 배치들 및 CD들을 정확하게 예측하기 위한 것이다. 디바이스 설계는 일반적으로, 사전-OPC(pre-OPC) 패턴화 디바이스 레이아웃으로서 정의되고, GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷으로 제공될 것이다.
에어리얼 이미지(aerial image)(1230)는 소스 모델(1200), 투영 광학기기들 모델(1210), 및 패턴화 디바이스/설계 레이아웃 모델(1220)로부터 시뮬레이팅될 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사 세기 분포이다. 리소그래픽 투영 장치의 광학적 성질들(예컨대, 조명, 패턴화 디바이스, 및 투영 광학기기들의 성질들)은 에어리얼 이미지를 기술한다.
기판 상의 레지스트 층은 에어리얼 이미지에 의해 노출되고, 에어리얼 이미지는 그 안에서의 잠복성 "레지스트 이미지(resist image)"(RI)로서 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서의 레지스트의 용해도의 공간적 분포로서 정의될 수 있다. 레지스트 이미지(1250)는 레지스트 모델(1240)을 이용하여 에어리얼 이미지(1230)로부터 시뮬레이팅될 수 있다. 레지스트 모델은 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위하여 이용될 수 있고, 그 예는 그 개시내용이 그 전체적으로 참조에 의해 본원에 편입되는 미국 특허 출원 공개 제2009-0157360호에서 발견될 수 있다. 레지스트 모델은 전형적으로, 예를 들어, 기판 상에서 형성된 레지스트 특징부들의 윤곽(contour)들을 예측하기 위하여, 레지스트 노출, 노출후 베이크(PEB), 및 현상 동안에 발생하는 화학적 프로세스들의 효과들을 설명하고, 따라서, 레지스트 모델은 전형적으로, 레지스트 층의 이러한 성질들(예컨대, 노출, 노출후 베이크, 및 현상 동안에 발생하는 화학적 프로세스들의 효과들)에 오직 관련된다. 실시예에서, 레지스트 층의 광학적 성질들, 예컨대, 굴절률, 막 두께, 전파 및 편광 효과들은 투영 광학기기들 모델(1210)의 일부로서 캡처될 수 있다.
따라서, 일반적으로, 광학적 및 레지스트 모델 사이의 연결은, 기판 상으로의 방사의 투영, 레지스트 계면에서의 굴절, 및 레지스트 막 적층체에서의 다수의 반사들로부터 발생하는, 레지스트 층 내의 시뮬레이팅된 에어리얼 이미지 세기이다. 방사 세기 분포(에어리얼 이미지 세기)는, 확산 프로세스들 및 다양한 적재 효과들에 의해 추가로 수정되는 입사 에너지의 흡수에 의해 잠복성 "레지스트 이미지"로 변환된다. 전체-칩 애플리케이션들을 위하여 충분히 고속인 효율적인 시뮬레이션 방법들은 2 차원 에어리얼(및 레지스트) 이미지에 의해 레지스트 적층체에서의 현실적인 3 차원 세기 분포를 근사화한다.
실시예에서, 레지스트 이미지는 패턴 전사후 프로세스 모델 모듈(post-pattern transfer process model module)(1260)에 대한 입력으로서 이용될 수 있다. 패턴 전사후 프로세스 모델(1260)은 하나 이상의 레지스트 현상후(post-resist development) 프로세스들(예컨대, 에치, 현상 등)의 성능을 정의한다.
패턴화 프로세스의 시뮬레이션은 예를 들어, 레지스트 및/또는 에칭된 이미지에서의 윤곽들, CD들, 에지 배치(예컨대, 에지 배치 오차) 등을 예측할 수 있다. 이에 따라, 시뮬레이션의 목적은 예를 들어, 인쇄된 패턴의 에지 배치, 및/또는 에어리얼 이미지 세기 경사, 및/또는 CD 등을 정확하게 예측하기 위한 것이다. 이 값들은 예컨대, 패턴화 프로세스를 정정하고, 결함이 어디에서 발생하는 것으로 예측되는지를 식별하는 등을 위하여, 의도된 설계에 대하여 비교될 수 있다. 의도된 설계는 일반적으로, GDSII 또는 OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 사전-OPC 설계 레이아웃으로서 정의된다.
이에 따라, 모델 공식(model formulation)은 전체적인 프로세스의 알려진 물리학 및 화학의 전부는 아니더라도 대부분을 설명하고, 모델 파라미터들의 각각은 바람직하게는, 분명한 물리적 또는 화학적 효과에 대응한다. 모델 공식은 이에 따라, 모델이 전체적인 제조 프로세스를 시뮬레이팅하기 위하여 어떻게 양호하게 이용될 수 있는지에 대한 상한(upper bound)을 설정한다.
계측 프로세스를 모델링하고 및/또는 시뮬레이팅하기 위한 예시적인 플로우차트는 도 9에서 예시된다. 인식되는 바와 같이, 다음의 모델들은 상이한 계측 프로세스를 표현할 수 있고, 이하에서 설명된 모든 모델들을 포함할 필요가 없다(예컨대, 일부는 조합될 수 있음). 소스 모델(source model)(1300)은 계측 타겟의 조명의 (방사 세기 분포, 방사 파장, 편광 등을 포함하는) 광학적 특성들을 표현한다. 소스 모델(1300)은, 파장, 편광, 조명 시그마() 설정들(여기서, (또는 시그마)은 조명기에서의 조명의 방사상 규모임), 임의의 특정한 조명 형상(예컨대, 환형, 4중극, 2중극 등과 같은 오프-축 방사 형상) 등을 포함하지만, 이것으로 제한되지는 않는 조명의 광학적 특성들을 표현할 수 있다.
계측 광학기기들 모델(1310)은 계측 광학기기들의 (계측 광학기기들에 의해 야기된 방사 세기 분포 및/또는 위상 분포에 대한 변경들을 포함하는) 광학적 특성들을 표현한다. 계측 광학기기들(1310)은 계측 광학기기들에 의한 계측 타겟의 조명의 광학적 특성들, 및 계측 타겟으로부터 계측 장치 검출기로의 전환된 방사의 전달의 광학적 특성들을 표현할 수 있다. 계측 광학기기들 모델은, 수차, 왜곡, 하나 이상의 굴절률들, 하나 이상의 물리적 크기들, 하나 이상의 물리적 치수들 등을 포함하는, 타겟의 조명 및 계측 타겟으로부터 검출기로의 전환된 방사의 전달을 수반하는 다양한 특성들을 표현할 수 있다.
계측 타겟 모델(1320)은 (계측 타겟에 의해 야기된 조명 방사 세기 분포 및/또는 위상 분포에 대한 변경들을 포함하는) 계측 타겟에 의해 전환되는 조명의 광학적 특성들을 표현할 수 있다. 이에 따라, 계측 타겟 모델(1320)은 계측 타겟에 의한 전환된 방사로의 조명 방사의 변환을 모델링할 수 있다. 이에 따라, 계측 타겟 모델은 계측 타겟으로부터의 전화된 방사의 결과적인 조명 분포를 시뮬레이팅할 수 있다. 계측 타겟 모델은, 하나 이상의 굴절률들, 계측의 하나 이상의 물리적 크기들, 계측 타겟의 물리적 레이아웃 등을 포함하는, 타겟의 조명 및 계측으로부터의 전환된 방사의 생성을 수반하는 다양한 특성들을 표현할 수 있다. 이용된 계측 타겟은 변경될 수 있으므로, 적어도 조명 및 투영 광학기기들 및 검출기를 포함하는 계측 장치의 나머지의 광학적 성질들로부터 계측 타겟의 광학적 성질들을 분리시키는 것이 바람직하다. 시뮬레이션의 목적은 종종, 오버레이, CD, 포커스 등과 같은, 패턴화 프로세스의 관심 있는 파라미터를 유도하기 위하여 그 후에 이용될 수 있는 예를 들어, 세기, 위상 등을 정확하게 예측하기 위한 것이다.
동공 또는 에어리얼 이미지(1330)는 소스 모델(1300), 계측 광학기기들 모델(1310), 및 계측 타겟 모델(1320)로부터 시뮬레이팅될 수 있다. 동공 또는 에어리얼 이미지는 검출기 레벨에서의 방사 세기 분포이다. 계측 광학기기들 및 계측 타겟의 광학적 성질들(예컨대, 조명, 계측 타겟, 및 계측 광학기기들의 성질들)은 동공 또는 에어리얼 이미지를 기술한다.
계측 장치의 검출기는 동공 또는 에어리얼 이미지에 노출되고, 동공 또는 에어리얼 이미지의 하나 이상의 광학적 성질들(예컨대, 세기, 위상 등)을 검출한다. 검출 모델 모듈(1320)은 계측 광학기기들로부터의 방사가 어떻게 계측 장치의 검출기에 의해 검출되는지를 표현한다. 검출 모델은 검출기가 동공 또는 에어리얼 이미지를 어떻게 검출하는지를 설명할 수 있고, 신호 대 잡음(signal to noise), 검출기 상의 입사 방사에 대한 감도 등을 포함할 수 있다. 따라서, 일반적으로, 계측 광학기기들과 검출기 모델 사이의 연결은, 광학기기들에 의한 계측 타겟의 조명, 타겟에 의한 방사의 전환, 및 검출기들로의 전환된 방사의 전달로부터 발생하는 시뮬레이팅된 동공 또는 에어리얼 이미지이다. 방사 분포(동공 또는 에어리얼 이미지)는 검출기 상에서의 입사 에너지의 흡수에 의해 검출 신호로 변환된다.
계측 프로세스의 시뮬레이션은 예를 들어, 동공 또는 에어리얼 이미지의 검출기에 의한 검출에 기초하여, 오버레이, CD 등의 값과 같은, 검출 시스템으로부터의 검출기 또는 다른 계산된 값들에서의 공간적 세기 신호들, 공간적 위상 신호들 등을 예측할 수 있다. 이에 따라, 시뮬레이션의 목적은 예를 들어, 계측 타겟에 대응하는 오버레이, CD와 같은 검출기 신호들 또는 유도된 값들을 정확하게 예측하기 위한 것이다. 이 값들은 예컨대, 패턴화 프로세스를 정정하고, 결함이 어디에서 발생하는 것으로 예측되는지를 식별하는 등을 위하여, 의도된 설계 값에 대하여 비교될 수 있다.
이에 따라, 모델 공식은 전체적인 계측 프로세스의 알려진 물리학 및 화학의 전부는 아니더라도 대부분을 설명하고, 모델 파라미터들의 각각은 바람직하게는, 계측 프로세스에서의 분명한 물리적 및/또는 화학적 효과에 대응한다.
패턴화 디바이스 상의, 또는 패턴화 디바이스에 의해 제공된 다양한 패턴들은 상이한 프로세스 윈도우들, 즉, 프로세싱 변수들 하에서 패턴이 사양 내에서 생성될 프로세싱 변수들의 공간을 가질 수 있다. 잠재적인 시스템 결함들에 관련되는 패턴 사양들의 예들은 네킹, 라인 풀 백, 라인 박형화, CD, 에지 배치, 중첩, 레지스트 상단 손실, 레지스트 언더컷, 및/또는 브리징에 대한 체크들을 포함한다. 전형적으로, 프로세스 윈도우는 패턴화 후에 획득된 CD가 패턴의 특징부의 희망된 CD의 ±10 % 이내일 수 있도록, 2 개의 프로세싱 변수들, 즉, 도우즈 및 포커스 상에서 정의된다. 패턴화 디바이스 또는 그 면적 상의 모든 패턴들의 프로세스 윈도우는 각각의 개별적인 패턴의 (예컨대, 중첩하는) 프로세스 윈도우들을 병합함으로써 획득될 수 있다.
전형적으로, 패턴은 패턴을 형성하기 위한 어떤 방식으로 배열된 하나 이상의 특징부들의 몇몇 사례들(예컨대, 특징부 A의 106 사례들, 특징부 B의 104 사례들 등)을 포함할 수 있다. 패턴화 프로세스 동안에, 하나 이상의 특징부들은 예를 들어, 어떤 도우즈/포커스 설정들에서 실패할 수 있어서, 기판에서의 결함으로 이어짐으로써, 패턴화 프로세스의 수율에 영향을 줄 수 있다. 이 때문에, 도우즈/포커스 값들의 적절한 범위 또는 프로세싱 윈도우의 선택은 희망된 수율 또는 선택된 수율을 달성하도록 희망된다(또는 선택됨). 예를 들어, 높은 수율(예컨대, 99.9 %)이 선택될 수 있거나, 수율의 범위(예컨대, 98 % 내지 99.99 %)가 예를 들어, 설계자 또는 제조자에 의해 선택될 수 있다.
본 개시내용은 하나 이상의 특징부들에 대한 희망된 수율 및/또는 결함 기준들에 기초하여 프로세스 윈도우를 획득하기 위한 방법을 설명한다. 예를 들어, 프로세스 윈도우는 개별적인 특징부들의 실패들 및/또는 패턴화 프로세스의 희망된 수율에 민감한 도우즈 및/또는 포커스 값들의 세트(또한, 도우즈/포커스 설정들로서 지칭됨)일 수 있다.
도 10은 패턴화 프로세스의 희망된 다이 수율 및/또는 실패율에 기초하여 프로세스 윈도우를 결정하기 위한 프로세스(1600)의 플로우차트이다. 프로세스(1600)는 패턴화 프로세스의 파라미터(1602)(예컨대, CD, 오버레이 등) 및 프로세스 변수(1604)(예컨대, 도우즈, 포커스 등)의 측정들이 획득될 때에 시작된다. 예를 들어, 프로세스 변수의 측정들은 대략 20 도우즈 설정들(즉, 도우즈 값들의 범위)을 포함할 수 있고, (예를 들어, 패턴에서 106 회 나타나는) 특징부의 파라미터의 측정들은 도우즈의 설정 당 특징부의 대략 1000 사례에 대한 CD 값들을 포함할 수 있다. 이 때문에, 대략 1000x20 CD 값들이 측정될 수 있다. 본 개시내용은 측정들의 총 수로 제한되지는 않는다. 사례들의 수는 분산의 희망된 정확도에 도달하도록 선택될 수 있다. 예를 들어, 큰 수의 사례들 및 정상적인 분포들에 대하여, 표준 편차의 표준 편차는 대략 1/√(2 * 사례들의 수)이어서, 1000 사례들의 표준 편차는 99.7 % 신뢰 구간(즉, 3)을 갖는 대략 2 %의 추정된 표준 편차에서의 오차로 이어진다.
파라미터(예컨대, CD) 및 프로세스 변수(도우즈)의 측정들에 기초하여, 프로세스(P10)에서는, 파라미터(예컨대, CD)와 프로세스 변수(예컨대, 도우즈) 사이의 함수 관계(1610)가 결정될 수 있고 및/또는 획득될 수 있다. 예를 들어, 함수 관계(1610)는 선형 회귀 분석과 같은 통계적 분석에 기초한 수학적 모델일 수 있다. 실시예에서, 함수 관계는 선형일 수 있거나, 다항식, 삼각법(trigonometric) 등과 같은 비선형일 수 있다. 다음은 CD와 도우즈 사이의 일 예의 함수 관계이다.
일 예의 함수 관계 (1)에서, (i) 은 도우즈(d)의 함수로서 정의된 파라미터 CD를 지칭하고, (ii) n은 도우즈 다항식(d)을 지칭하고, (iii) 은 n 번째 도우즈 다항식 에 대한 CD의 감도를 지칭한다. 실시예에서, 함수 관계 (1)은 예를 들어, 측정된 값들과 맞추어진 값들 사이의 평균 제곱 오차를 최소화하는 곡선 맞춤 알고리즘(curve fitting algorithm)에 기초할 수 있다. 실시예에서, 함수 관계는 프로세스 변수의 선형, 다학식, 또는 다른 비선형 함수일 수 있다. 실시예에서, 측정들(1602)은 또한, 파라미터 값들에서의 분산에서 잡음을 억압하기 위한 의도를 갖는 예컨대, 상이한 함수 관계로 파라미터 값들에서의 분산을 결정하기 위하여 이용될 수 있다.
또 다른 예에서, 도 11a는 측정된 CD와 도우즈 값들 사이의 관계를 정의하는 (함수 관계(1610)의 예인) 보썽 곡선(Bossung curve)(1702)을 예시한다. 보썽 곡선(1702)은 다양한 도우즈 설정들, 예를 들어, 40 mJ/cm2 내지 60 mJ/cm2 사이의 20 도우즈 설정들에 대하여 획득될 수 있다. 각각의 도우즈 설정에 대하여, 1000 CD 값들이 획득될 수 있고, 이것은 대략 12 nm 내지 24 nm 사이일 수 있다. 실시예에서, 보썽 곡선은 패턴화 프로세스의 모델링 및/또는 시뮬레이션을 이용하여 획득될 수 있다.
도 10을 다시 참조하면, 프로세스(P20)에서는, 프로세스 변수의 설정 당 확률 밀도 함수(probability density function; PDF)(1620)가 연산될 수 있다. 이하, 프로세스 변수의 설정 당 확률 밀도 함수(PDF)(1620)는 개념들의 이해 및 논의의 단순화의 목적들을 위하여 프로세스 변수 PDF(1620)로서 지칭된다. 프로세스 변수 PDF(1620)는 프로세스 변수의 함수 및 프로세스 변수의 변동으로서 정의된다. 프로세스 변수 PDF(1620)는 파라미터에 궁극적으로 영향을 줄 수 있는 도우즈의 설정에서의 임의의 변동들의 영향을 캡처하는 것을 가능하게 한다. 설정 당 프로세스 변수에서의 변동들은 예를 들어, 패턴화 프로세스 동안의 특정한 시간의 순간에서 발생할 수 있거나, 프로세스 변수(예컨대, 도우즈)에 내재적일 수 있다. 이러한 변동들은 패턴화 프로세스의 파라미터에 영향을 줄 수 있어서, 예를 들어, 일부 경우들에는 특징부의 실패로 이어질 수 있다. 실시예에서, 프로세스 변수 PDF(1620)는 정상적인 분포, 푸아송 분포(Poisson distribution), 또는 다른 표준 분포들일 수 있다.
실시예에서, 프로세스 변수 PDF(1620)는 파라미터와 프로세스 변수 사이의 함수 관계(예컨대, )에 기초하여 연산된 분포일 수 있다. 연산은 연산된 분산으로 예를 들어, 표준 분포(예컨대, 정상적인 분포)의 분산을 수정/치환함으로써 수행될 수 있다. 연산된 분산은 파라미터의 분산(예컨대, ) 및 함수 관계(예컨대, )로부터 연산될 수 있다. 예를 들어, 파라미터 CD 및 도우즈 d의 경우에, 도우즈의 분산은 다음의 분산 수학식 (2)를 이용하여 정의될 수 있다:
위의 분산 수학식 (2)에서, (i) 은 도우즈의 표준 편차(또한, 분산을 지칭하기 위하여 상호 교환가능하게 이용됨)이고; (ii) 은 CD의 표준 편차(또한, 분산을 지칭하기 위하여 상호 교환가능하게 이용됨)이고; (iii) 편미분 항(partial derivative term) 은 이러한 도우즈 설정에서의 도우즈의 분산으로의, 특정한 도우즈 설정에서의 CD에서의 분산의 변환을 제공한다.
예를 들어, 도 11b를 참조하면, 제1 도우즈 설정 45 mJ/cm2에서의 제1 도우즈 PDF(1721), 제2 도우즈 설정 50 mJ/cm2에서의 제2 도우즈 PDF(1722), 및 도우즈 설정 55 mJ/cm2에서의 제3 도우즈 PDF(1723) 등이 획득될 수 있다. 도우즈 설정 당 CD에서의 변동들에 기초하여, 도우즈 변동이 관찰될 수 있다. 예를 들어, 더 낮은 도우즈에서(예컨대, 45 mJ/cm2에서), 변동(예컨대, )은 대략 3.2 mJ/cm2인 반면, 더 높은 도우즈(예컨대, 55)에서, 변동(예컨대, )은 대략 2.5 mJ/cm2이다. 이에 따라, 도우즈에서의 변동의 역방향 결정은 특정된 도우즈 설정에서의 CD 값들에 기초하여 획득될 수 있다.
프로세스 변수(예컨대, 도우즈)의 PDF를 연산하기 위하여 이용된 (예컨대, 수학식 (2)에서의) 이러한 연산된 분산은 파라미터에서의 편차들을 야기시키는 패턴화 프로세스에서의 확률론적 변동들(즉, 예를 들어, 장치의 물리학에 의해 설명될 수 없는 변동들)을 참작하는 것을 가능하게 할 수 있다. 프로세스 변수 PDF는 패턴화 프로세스의 개선된 도우즈 설정의 결정을 가능하게 할 수 있고, 궁극적으로, 결함들을 감소시킬 수 있고 패턴화 프로세스의 수율을 개선시킬 수 있다.
도 10을 다시 참조하면, 프로세스(P30)에서, 프로세스 변수의 설정 당 패턴화 프로세스의 파라미터의 확률 밀도 함수(PDF)(1630)는 (예컨대, 프로세스(P20)로부터의) 프로세스 변수의 설정 당 프로세스 변수 PDF(1620), 및 (예컨대, 프로세스(P10)로부터의) 함수 관계에 기초하여 결정될 수 있고 및/또는 획득될 수 있다. 이하, 프로세스 변수의 설정 당 파라미터의 확률 밀도 함수(PDF)(1630)는 개념들의 이해 및 논의의 단순화의 목적들을 위하여 파라미터 PDF(1630)로서 지칭된다.
실시예에 따르면, 파라미터 PDF(1630)는 프로세스 변수 PDF(1620)로부터 계산될 때에 비-표준 분포일 수 있다. 이러한 비-표준 분포를 이용하는 것은 또한, 파라미터에서의 편차들을 야기시키는 패턴화 프로세스에서의 임의의 확률론적 변동들을 참작할 수 있고, 이에 따라, 파라미터(예컨대, CD) 값이 결함들의 확률 및 프로세싱 윈도우를 식별하여 결함들을 감소시키고 수율을 효과적으로 개선시키기 위하여 추가로 이용될 수 있는 패턴화 프로세스의 프로세스 변수 설정(예컨대, 도우즈) 당 희망된 범위 내에 있을 확률의 개선된 추정치를 제공할 수 있다.
실시예에서, 파라미터 PDF(1630), 예를 들어, CD PDF는 도우즈 PDF, 및 다음의 수학식 (3)에 기초하여 도우즈 PDF를 CD PDF로 변환하는 변환 함수(또는 변환 인자)를 이용하여 연산될 수 있다:
위의 수학식 (3)에서, (i) 은 (파라미터 PDF(1630)의 예인) CD PDF를 지칭하고, 도우즈(d)의 함수이고, (ii) 은 도우즈와 CD 사이의 (예컨대, 수학식 (1)에서의) 함수 관계(1610)의 역함수이고, (iii) 은 프로세스 변수 PDF(1620)이고, 여기서, 은 예를 들어, 수학식 (2)와 유사한 수학식을 이용하여 연산된 에 기초한 연산된 분산이고, 여기서, 변환 함수(또는 변환 인자)는 의 편미분일 수 있고, (iv) 편미분 항(즉, )의 절대값은 특정한 도우즈 설정에서 프로세스 변수 PDF를 파라미터 PDF로 변환하는 변환 함수(또는 변환 인자)이다.
이에 따라, 도우즈 PDF는 CD가 도우즈 설정 당 희망된 범위 내에 있을 확률, 패턴화 프로세스의 실패 확률들, 또는 다른 통계적으로 흥미 있는 값들을 연산하기 위하여 추가로 이용될 수 있는 CD PDF로 변환된다. 본 개시내용에 따르면, 실패 확률들(또는 실패율)의 연산은 프로세스(P60)에 대하여 추가로 논의된다.
실시예에서, 원래의 함수 관계(1610)가 비-단조적(non-monotonic)(즉, 하나의 다수의 프로세스 값들이 동일한 파라미터로 이어질 수 있음)일 수 있을 때, 수학식 3의 우측 부분은 다수의 프로세스-값들(예컨대, 도우즈)에 대한 합에 의해 대체될 것이다.
도 11c는 예를 들어, 위의 수학식 (3)을 이용하여 상이한 도우즈 설정들에서 획득된 CD PDF(1630)의 예들을 예시한다. 예를 들어, 제1 도우즈 설정 45 mJ/cm2에서의 제1 CD PDF(1731), 제2 도우즈 설정 50 mJ/cm2에서의 제2 CD PDF(1732), 및 도우즈 설정 55 mJ/cm2에서의 제3 CD PDF(1733) 등이 획득될 수 있다. 도 11c는 또한, 전형적으로 정상적인 동작 조건을 가정하는 표준 또는 정상적인 분포로부터의 연산된 CD PDF의 편차를 예시하기 위하여 각각의 도우즈 설정들에서의 표준 정상적인 CD PDF를 예시하는 한편, 실제적인 동작 조건들은 정상적인 조건들과 상이할 수 있고; 이 때문에, 이러한 CD PDF(예컨대, 1731, 1732, 1733)는 가정된 정상적인 동작과 비교하여 (예컨대, 실패 확률들의) 더 현실적인 추정치들을 제공한다.
도 10을 다시 참조하면, 프로세스(P40)에서는, 파라미터(예컨대, CD)를 참조한 특징부들의 실패율들은 프로세스 변수(예컨대, 도우즈)의 설정 당 획득/측정될 수 있다. 본 개시내용에서, 용어 특징부의 실패율 및 실패 확률은 상호 교환가능하게 이용될 수 있다. 실시예에서, 개별적인 특징부의 실패율은 예를 들어, 패턴의 특징부의 백만 분의 1(part per million; ppm) 또는 10억 분의 1(part per billion; ppb)로서 표현될 수 있다. 다시 말해서, 1 ppm은 특징부의 1 백만 출현들 중에서 1 특징부가 실패할 것으로 예상된다는 것을 의미할 수 있다. 실시예에서, 실패율들은 개별적인 특징부들과 연관된 물리적 실패, 전사 실패, 및 연기된 실패와 같은 상이한 실패 모드들에 대응할 수 있다. 특징부의 실패는 예를 들어, 기판의 SEM 이미지의 실패 분석에 기초하여, 또는 전기적 측정들에 의해 결정될 수 있다.
실시예에서, 물리적 실패는 특징부의 물리적 양태에 기초하여 수량화될 수 있는 실패를 지칭할 수 있고, 예를 들어, 레지스트는 어떤 CD에서 기계적으로 실패하고 및/또는 어떤 CD를 가지는 특징부는 기판으로 전사하지 않는다. 예를 들어, 필러의 종횡비(aspect ratio)(즉, 수평 폭에 대한 수직 두께 비율), 레지스트의 두께, 컨택 홀의 크기 등, 또는 다른 측정가능한 파라미터들. 측정들에 기초하여, 물리적 실패는 특징부의 CD가 특징부가 실패하게 하는 임계치(예컨대, >3)보다 더 큰 종횡비를 가진다는 것을 표시할 수 있다. 예를 들어, 도 11d에서, 종횡비가 3보다 더 크므로, 필러(1771)는 패턴 전사 프로세스 또는 레지스트 현상 후에 기판에 대한 각도로 절곡(bend)된다. 이 때문에, 필러가 기판으로 전사되지만, 물리적 변형이 있다.
어떤 경우들에는, 희망된 패턴이 기판으로 오직 부분적으로 전사될 수 있거나 기판으로 전혀 전사되지 않을 수 있다. 이러한 실패는 전사 실패로서 지칭될 수 있다. 예를 들어, 20 컨택 홀들 중에서, 오직 15개가 전사될 수 있고, 5 개의 홀들은 누락될 수 있고, 양자 모두는 원래 레지스트에서 존재한다. 이러한 누락 홀들은 전사 실패들로서 칭해질 수 있다. 실시예에서, 전사 실패는 파라미터가 패턴화 프로세스의 임계치 제한들 외부에 있는 것에 기인할 수 있다. 예를 들어, 도 11e에서, 컨택 홀은 너무 작을 수 있고(예컨대, 5 nm 미만), 레지스트 층은 상대적으로 두꺼울 수 있고, 이것은 이러한 작은 컨택 홀들의 전사를 불허용한다. 이 때문에, 컨택 홀이 기판에서 막힐 수 있을 경우에 관찰될 수 있는 푸팅(1772)과 같은, 패턴의 불완전한 전사가 관찰될 수 있다. 또 다른 실시예에서는, 기판에서, 불완전한 홀이 형성될 수 있는 동안에 레지스트의 상단 층이 제거되지 않을 수 있는 네킹(1173)이 관찰될 수 있다. 어느 경우에도, 홀은 레지스트의 전반에 걸쳐 기판에 이르기까지 형성되지 않는다. 이러한 전사 실패는 예를 들어, 컨택 홀이 다음 층으로 전사하기 위하여 너무 작은 것에 기인할 수 있거나, 레지스트 두께 또는 레지스트 유형으로 인해 과도한 에치-적재(etch-loading)가 있을 수 있다.
특징부의 연기된 실패는 현재의 프로세싱 단계에서의 그 규정된 경계들 외부의 파라미터(예컨대, CD)로 인해 패턴화 프로세스의 다음 단계에서 발생하는 실패일 수 있다. 예를 들어, 특징부의 실패는 패턴화 프로세스 후에 현상 스테이지에서 발생한다.
본 개시내용은 실패의 유형으로 제한되지 않는다는 것이 인식될 수 있다. 또한, 일부 경우들에는, 실패들의 유형들은 일반적으로 실패를 의미하기 위하여 상호 교환가능하게 이용될 수 있다. 실시예에서, 전사 실패는 또한, 물리적 실패로서 지칭될 수 있거나, 연기된 실패는 또한, 물리적 실패로서 지칭될 수 있다. 본 개시내용은 실패의 유형으로 제한되지 않고, 일반적으로, 어떤 임계치를 넘어서는 설계 의도로부터의 임의의 편차는 실패로서 고려될 수 있다.
실시예에서, 실패율들은 파라미터와 프로세스 변수 사이에서 맞추어진 곡선의 단부들에서 발생하는 실패들에 대하여 측정될 수 있다. 예를 들어, 도 11f 및 도 11g에서 도시된 바와 같이, 실패율들은 프로세스 파라미터들 R1, R2, R3, R4, 및 R5에서 측정될 수 있다. 실패 측정 위치들은 예를 들어, 경험 또는 이전에 관찰된 실패들에 기초한 도우즈의 어떤 값들을 넘어서는 곡선(1702) 상의 위치로서 정의될 수 있다. 본 예에서, 실패율 측정들은 즉, 대략 55 mJ/cm2 초과 및 43 mJ/cm2 미만 또는 주위의 도우즈 값들을 가지는 곡선의 양쪽 단부들에서 정의된다. 실시예에서, 특징부의 하나 이상의 실패들은 특징부의 가중화된 실패율을 생성하기 위하여 특정한 실패의 빈도에 기초하여 가중화될 수 있다. 예를 들어, 컨택 홀 실패가 대략 43 mJ/cm2의 도우즈 설정에서 더 자주 발생할 경우에, 더 높은 가중치가 그 도우즈 설정에서의 이러한 실패들에 배정될 수 있다. 또 다른 실시예에서, 프로세스 변수의 가중화된 함수는 하나 이상의 실패들과 프로세스 변수 사이의 상관에 기초하여 획득/생성될 수 있다. 예를 들어, 낮은 도우즈(예컨대, 본원에서의 예들에서 40 mJ/cm2 미만)는 더 높은 가중치를 배정받을 수 있는데, 이것은 더 높은 실패들이 다른 도우즈들과 비교하여 이러한 도우즈들에서 관찰될 수 있기 때문이다. 따라서, 파라미터의 가중화된 파라미터 제한 및 추후에 프로세스 윈도우는 프로세스 변수의 가중화된 함수에 기초하여 연산될 수 있다.
실시예에서, 실패율의 측정들은 프로세스 변수 및 파라미터 값들에 기초하여 선택적인 방식으로 수행될 수 있다. 또한, 하나 이상의 특징부의 실패는 (예컨대, 선형 회귀 또는 다른 통계적 기법들에 의해) 파라미터 및/또는 프로세스 변수에 상관될 수 있다. 예를 들어, 하나 이상의 특징부들은 더 높은 도우즈에 민감할 수 있고, 하나 이상의 특징부들은 더 낮은 도우즈에 민감할 수 있다. 다시 말해서, 예를 들어, 특징부 A는 45 mJ/cm2 도우즈에서와 비교하여, 50 mJ/cm2 도우즈에서 더 높은 실패의 확률을 가질 수 있다. 패턴화 프로세스 동안에는, 이러한 동일한 특징부(예컨대, 특징부 A)가 특징부의 실패 확률 및 이 때문에, 상이한 다이들의 실패 확률들에 궁극적으로 영향을 주는 상이한 도우즈들에서 노출될 수 있으므로, 도우즈는 상이한 다이들 사이에서 변동될 수 있다. 이와 같이, 도우즈 및 다이에서의 특징부의 사례들의 수에 따라, 실패 확률은 상이한 다이들 사이에서 변동될 수 있다. 다시 말해서, 예를 들어, 특정한 특징부가 높은 도우즈에서 실패할 가능성이 더 많고 기판의 다이가 106 개의 이러한 특징부들을 포함할 경우에, 다이의 실패율은 상대적으로 더 낮은 도우즈에서 노출된 다이와 대조적으로 높을 수 있다(예컨대, 104 당 1).
추가적으로 또는 대안적으로, 실패는 CD와 같은 파라미터에 관련될 수 있다. 예를 들어, 컨택 홀의 CD는 푸팅(즉, 홀은 기판으로 전사되지 않음)을 야기시킬 정도로 너무 작을 수 있거나(예컨대, 10 nm 미만과 같은 임계치 미만), 필러의 CD는 필러가 절곡되게 할 정도로 너무 클 수 있거나, 레지스트 층의 CD(즉, 두꼐)는 네킹을 야기시킬 정도로 너무 크거나, CD는 무작위적 비아 컨택들이 관찰될 정도로 너무 큰 등과 같다. 이러한 상관은 또한, 파라미터 및/또는 프로세스 변수의 함수로서의 각각의 개별적인 특징부의 실패율의 결정을 가능하게 한다. 이 때문에, 특징부의 실패율에 기초하여, 샘플링 방식은 최적화된 측정들을 위하여 정의될 수 있다.
실시예에서, 특징부(예컨대, 특징부 A)의 실패율 및 다이 및/또는 기판을 가로지르는 스캐너 데이터(예컨대, 도우즈 값들)에 기초하여, 실패율 맵은 실패율과 프로세스 변수(예컨대, 도우즈) 사이의 관계의 모델링 및/또는 시뮬레이션에 의해 생성/획득될 수 있다. 유사하게, 전체 기판에 대한 실패율의 맵은 패턴 및/또는 다이의 실패율에 기초하여 결정될 수 있다. 이러한 실패율 맵에 기초하여, 샘플링 방식은 기판 상에서의 측정들을 위하여 정의될 수 있다. 예를 들어, 샘플링 방식은 상대적으로 더 높은 실패의 확률을 가지는 기판 상의 어떤 위치들에서의 어떤 도우즈 값들에서 더 많은 측정들을 취하도록 수정될 수 있고, 이에 따라, 계측 부담을 감소시킬 수 있고 패턴화 프로세스의 효율을 개선시킬 수 있다. 또한, 하나 이상의 특징부들의 실패율에 기초하여, 프로세스 변수, 예컨대, 도우즈 값들은 수율을 최대화하도록 수정될 수 있다.
실시예에 따르면, 실패율, 프로세스 변수, 및 파라미터가 관련될 수 있다. 예를 들어, 실패율, 도우즈 값들, 및 CD가 관련되고, 이 때문에, CD 제한은 또한, 실패들을 제한하고 패턴화 프로세스의 수율을 증가시키기 위하여, 각각의 도우즈 값에 대하여 결정될 수 있다. 이러한 CD 제한 또는 파라미터 제한을 일반적으로 결정하는 프로세스는 다음으로 논의된다.
프로세스(P50)에서, 파라미터 제한은 프로세스 변수의 설정 당 측정된 실패율들 및 1630과 같은 파라미터 PDF에 기초하여 연산될 수 있다. 파라미터 제한은 특징부들의 미리 결정된 수 또는 백분율(예컨대, 50 %) 미만이 도 11f 및 도 11g에 대하여 추가로 논의된 프로세스 변수의 설정 당 실패하는 보편적인 이론적 제한일 수 있다. 파라미터 제한은 파라미터 PDF의 누적 분포에 기초하여 반복적 방식으로 결정될 수 있다. CD 제한을 결정하기 위한 일 예의 수학식은 다음의 수학식 (4)에서 제공된다:
위의 수학식 (4)에서, (i) 은 프로세스 변수, 즉, 도우즈의 설정 당 특징부의 실패율이고; (ii) 은 프로세스(P30)에서 획득된 PDF(1630)와 같은 파라미터 PDF를 지칭하고; (iii) 에서 그리고 을 넘어서는 총 실패의 확률을 제공하는 의 누적 분포 함수(cumulative distribution function)이다. 실시예에서, 은 더 이전에 논의된 바와 같이 정상적인 분포일 수 있다. 파라미터 제한은, 실패하는 특징부들이 서로의 실패율에 영향을 주지 않지만(즉, 누군가가 각각의 실패를 "격리된 실패"로서 취급할 수 있음), 이들의 충분한 양은 측정된 또는 결정된 실패율의 분산을 제한하기 위하여 존재하는 대응하는 실패율들을 갖는 프로세스 변수들(예컨대, 도우즈)의 하나 이상의 설정들에서 결정될 수 있다. 전형적인 레이트는 대략 1 % 주위일 수 있다. 실시예에서, 파라미터 제한은 공통 파라미터 제한일 수 있고, 공통 파라미터 제한은 파라미터의 복수의 확률 밀도 함수들에 기초하여 결정될 수 있고, 파라미터의 각각의 확률 밀도 함수는 예를 들어, 도 11g에서 예시된 바와 같이, 프로세스 변수의 특정한 설정에서 결정될 수 있다.
수학식 (4)를 이용한 파라미터 제한의 결정은 도 11f 및 도 11g에 대한 파라미터 CD에 대하여 추가로 그래픽으로 설명된다. 예에서, 설정 당 미리 결정된 임계치를 초과하는(예컨대, 50 % 이상) 측정된 실패율들은 도우즈 당 특징부의 을 결정하기 위하여 을 이용하여 연산된 총 실패율과 비교될 수 있다. 실패의 이러한 은, 특정한 도우즈에서, 특징부의 CD 값이 을 초과하지 않을 수 있고, 그렇지 않을 경우에, 높은 실패율이 관찰될 수 있다는 것을 제안한다. 예를 들어, 은 23.5 nm일 수 있다. 50 % 실패율에서 설정된 파라미터 제한은 확률론들의 부재 시의 학술적인 프로세스-제한을 표현한다. 다수의 프로세스 변수들에서 을 결정함으로써, 누군가는 프로세스-변수들이 관련된 경함-모드에 예상된 방식으로 관련된다는 것을 실증할 수 있다.
도 11f는 곡선(1702)의 단부에서, 특히, 23.5의 에 대한 58 mJ/cm2의 상대적으로 높은 도우즈 값 주위에서, 실패율(음영처리된 영역)은 파라미터 PDF(1630)를 이용하여 연산될 때에 6.3 %(또는 CD의 명목 분포를 가정하면 8.1 %)일 수 있다는 것을 도시한다. 유사하게, 도 11g는 23.5 nm의 에 대하여, 도우즈 설정 당 실패율들이 희망된 제한 내에 있을 수 있다는 것을 도시한다. 예를 들어, 실패율들은 도우즈 57에서 0.2 %; 도우즈 57.5에서 1.4 %; 도우즈 58에서 6.3 %; 58.5에서 19 %; 그리고 도우즈 59에서 43 %이다. 이에 따라, 23.5 nm의 은 몇몇 도우즈들에 대한 실패율 사양을 만족시킨다.
또 다른 예에서, 실패율들은 또한, 제2 으로 귀착될 수 있는 상대적으로 더 낮은 도우즈 값에서(예컨대, 44 mJ/cm2 주위, 도 11h 참조) 노출된 특징부에 대하여 연산될 수 있다. 이 때문에, 2 개의 상이한 에 기초한 실패율 수학식은 다음과 같이, 곡선(1702)의 더 낮은 단부에서의 특징부의 레지스트 두께 및 곡선(1702)의 높은 단부에서의 실패율의 합일 수 있다:
도 10을 다시 참조하면, 프로세스(P60)에서, 파라미터 제한이 결정된 후에, (4) 및 (5)와 같은 실패율 수학식들은 또한, 임의의 도우즈 값들에 대한 실패율들을 추정하기 위하여 이용될 수 있다. 다시 말해서, 파라미터 제한들은 실패율 수학식(예컨대, 수학식 4 또는 5)에서 치환될 수 있고, 실패율은 미지의 것으로서 취급된다. 실패율이 미지의 것인 이러한 수학식은 추정된 실패율로서 지칭된다. 실패율은 프로세스 변수(예컨대, 도우즈)의 상이한 값들에 대하여 추정/결정(또는 구해짐)될 수 있다.
추정된 실패율은 프로세스 변수(예컨대, 도우즈) 상에서의 프로세스 윈도우를 결정하기 위하여 추가로 이용될 수 있다. 예를 들어, 프로세스 윈도우는 추정된 실패율이 10-9 미만일 수 있는 도우즈 값들의 범위일 수 있다. 실시예에서, 희망된 실패율은 예를 들어, 이하의 수학식 (6)을 이용하여 희망된 수율(예컨대, 106 특징부들에 대한 99.9 %)로부터 결정될 수 있다:
실시예에서, 프로세스 윈도우는 도 11h에서 도시된 바와 같이, 추정된 실패율 수학식을 도표화함으로써 그래픽으로 결정될 수 있다. 예를 들어, 추정된 실패율 그래프는 프로세스 변수(예컨대, 도우즈)에 대하여 도표화될 수 있다. 그 다음으로, 수평 라인은 추정된 실패율과 교차할 수 있는 희망된 실패율(예컨대, 10-9)에서 그려질 수 있고; 교차 포인트들은 도우즈 값들의 범위, 즉, 프로세스 윈도우(PW)를 제공한다.
실시예에서, 수율은 다음과 같이, (예컨대, 수학식 4 또는 5에서의) 추정된 실패율을 이용하여 연산될 수 있다:
위의 수학식 (7)에서, 은 N 개의 개별적인 특징부들에 대한 특정한 도우즈(d)에서의 수율이고, 은 (예컨대, 수학식 4 또는 5에서의) 추정된 실패율이다. 이러한 연산된 수율에 기초하여, 연산된 수율이 희망된 수율(예컨대, 99.9 %) 이상인 프로세스 윈도우가 선택될 수 있다.
실시예에서, 수율에 기초한 프로세스 윈도우는 또한, 그래픽으로 결정될 수 있고, 도 11h를 참조한다. 예를 들어, 연산된 수율 그래프(또는 추정된 실패율)는 프로세스 변수(예컨대, 도우즈)에 대하여 도표화될 수 있다. 그 다음으로, 라인은 수율 그래프(또는 추정된 실패율)와 교차할 수 있는 희망된 수율(예컨대, 99.9 %)에서 그려질 수 있고, 그 다음으로, 교차 포인트들은 도우즈 값들의 범위, 즉, 프로세스 윈도우(PW)를 제공한다. 이에 따라, 프로세스 윈도우는 패턴화 프로세스로부터 획득될 수 있는 특징부의 파라미터의 제한들을 정의할 뿐만 아니라, 이러한 제한들 내에서, 특징부가 희망된 수율 또는 실패율을 갖는다는 것을 보장한다.
또한, 위의 방법은 각각의 개별적인 특징부를 위한 프로세스 윈도우를 연산하도록 확장될 수 있고, 상이한 특징부들의 중첩하는 프로세스 윈도우는 패턴화 프로세스를 위한 효과적인 프로세스 윈도우를 식별하기 위하여 결정될 수 있다. 추가적으로, 프로세스 윈도우는 다수의 프로세스 변수들 상에서 정의될 수 있고, 예를 들어, 위의 방법은 포커스(또는 오버레이, 수차들, 트랙-온도 등)와 같은 상이한 대안적인 프로세스-설정들에서 수행될 수 있다. 여기서, 양자의 함수 형태들(1610, 1620, 1630)(예컨대, ) 뿐만 아니라 파라미터 제한들 은 추가적인 차원들로서 이 여분의 파라미터들을 가질 수 있다(예컨대, 은 또한, 포커스 를 포함함). 그 다음으로, 2 차원 도우즈-포커스 프로세스 윈도우가 결정될 수 있다. 상이한 특징부들을 위한 이러한 2 차원 도우즈-프로세스 윈도우는 도 12a 및 도 12b에서 예시되고, 또한, 중첩하는 프로세싱 윈도우는 도 12c에서 예시된다.
도 12a는 제1 특징부(예컨대, 대략 8 nm의 직경을 가지는 동일-컨택 홀(iso-contact hole) 특징부들)를 위한 프로세스 윈도우를 예시한다. 프로세스 윈도우(1901)는 제1 특징부를 위한 것일 수 있고, 프로세스 윈도우(1903)는 N 개의 특징부들을 위한 것일 수 있다. 또한, 프로세스 윈도우(1903)는 타원형 프로세스 윈도우(1905)가 프로세스 윈도우(1903)의 경계에서의 정확도와 비교하여, 특히, 타원의 경계에서, 예를 들어, CD의 상대적으로 더 높은 정확도(또는 더 낮은 변동)를 가지도록, 프로세스 윈도우(1903)의 경계들 내에서 타원형 프로세스 윈도우(1905)를 결정함으로써 추가로 세분화될 수 있다. 본 개시내용은 타원형 맞춤으로 제한되지는 않고, 직사각형 맞춤과 같은 다른 적절한 맞춤이 다른 프로세스 변수들 또는 제한들에 따라 적용될 수 있다(예컨대, 프로세스 파라미터들 사이의 강한 상관을 위하여, 누군가는 직사각형 맞춤들을 이용할 수 있는 반면, 비-상관된 프로세스 파라미터들을 위하여, 타원형 맞춤이 이용될 수 있음)는 것이 인식될 수 있다.
유사하게, 도 12b에서, 제2 특징부(대략 13 nm의 직경을 가지는 밀집된-컨택 홀들)를 위한 프로세스 윈도우가 결정될 수 있다. 프로세스 윈도우(1911)는 단일의 이러한 특징부를 위한 것일 수 있고, 프로세스 윈도우(1913)는 N(104) 개의 이러한 특징부들을 위한 것일 수 있고, 타원형 윈도우(1915)는 패턴화 프로세스의 수용가능한 프로세스-윈도우의 개선된 정확도를 위하여 프로세스 윈도우(1913) 내에서 맞추어질 수 있다.
그 다음으로, 도 12c에서 도시된 바와 같이, 중첩하는 프로세스 윈도우(1920)는 제1 특징부의 프로세스 윈도우(1903) 및 제2 윈도우의 프로세스 윈도우(1913)로부터 결정될 수 있다. 중첩하는 프로세스 윈도우(1920)는 프로세스 윈도우(1903 및 1913) 내의 공통 영역이다. 예를 들어, 중첩하는 프로세스 윈도우(1920)는 제1 프로세스 윈도우(1903) 및 제2 프로세스 윈도우(1913)가 이로부터 결정된 개별적인 수율들의 곱셈을 연산함으로써 결정될 수 있고, 여기서, 각각의 프로세스 윈도우는 포커스 및 도우즈의 함수일 수 있다. 또 다른 예에서, 교차 동작이 수행될 수 있고, 여기서, 프로세스 윈도우들(1903 및 1913)은 예를 들어, 도우즈 및/또는 포커스의 값들의 세트의 측면에서 표현된다.
동작은 수율-곱셈들 또는 교차를 계산하는 것으로 제한되지는 않고, 임의의 다른 적절한 수학적 연산/그래픽 접근법/시뮬레이션이 중첩하는 프로세스 윈도우(1920)를 결정하기 위하여 수행될 수 있다는 것이 당해 분야의 당업자에 의해 인식될 수 있다. 다수의 특징부들 사이의 이러한 중첩하는 프로세스 윈도우(1920)는 최소 결함들을 가지는 희망된 수율을 획득하기 위하여 패턴화 프로세스에서 이용된 전체 기판을 위한 프로세스 윈도우로서 이용될 수 있다.
또한, 본 개시내용은 2 차원 프로세스 윈도우(예컨대, 도우즈-포커스 프로세스 윈도우)로 제한되지는 않는다. 방법은 다차원 프로세스 윈도우를 제공하도록 확장될 수 있다. 예를 들어, 제3 변수인 오버레이가 고려될 수 있다. 그 다음으로, 수율 및/또는 실패율들은 도우즈, 포커스, 오버레이, 또는 그 조합의 함수일 수 있다. 따라서, 프로세스 윈도우는 모든 이러한 프로세스 변수들에 기초한 제한들 또는 사양이 만족되도록 결정될 수 있다. 일 예의 다차원 수율 기반 프로세스 윈도우가 도 13에서 예시된다. 도 13에서는, 제1 수율 곡선 Y1이 오버레이와 도우즈 사이에서 정의될 수 있고, 제2 수율 곡선 Y2가 포커스와 도우즈 사이에 있을 수 있다. 그 다음으로, 타원형 맞춤은 타원이 제1 수율 곡선 Y1 및 제2 수율 곡선 Y2에 의해 경계 설정되도록, 3 개의 변수들(즉, 도우즈, 포커스, 및 오버레이)의 함수로서 수행될 수 있다. 그 다음으로, 타원형 프로세스 윈도우는 다차원 프로세스 윈도우로서 고려될 수 있다. 용어 곡선은 오직 시각적 명료성 및 이해의 목적들을 위하여 이용되고 제한하고 있지는 않다는 것이 인식될 수 있다. 곡선은 일반적으로 임의의 함수일 수 있다. 또한, 타원형 맞춤은 또한 예시적이고, 다른 적절한(예컨대, 직사각형) 맞춤은 프로세스 윈도우에 영향을 주는 프로세스 조건들에 따라 수행될 수 있다.
또 다른 실시예에서, 도 10의 방법은 각각이 기판의 다이 당 다수 회 발생하는 몇몇 특징부들을 가지는 다이의 전체 층을 위한 프로세스 윈도우를 제공하도록 추가로 확장될 수 있다. 예를 들어,
위의 수학식 (8)에서, 수율 은 CD 제한 을 가지는 개별적인 특징부 i의 희망된 수율을 지칭하고, N은 특정한 층 상의 특징부들의 총 수이다. 그 다음으로, 층의 수율은 개별적인 특징부 수율의 곱셈이다. 층의 수율은 예를 들어, 수학식들 (6) 및 (7)을 이용하여, 단계(P60)에 대하여 논의된 것과 유사한 방식으로 프로세스 윈도우를 연산하기 위하여 추가로 이용될 수 있다. 특징부들은 그 파라미터 제한들 및 PDFCD가 애플리케이션들에서의 현실적인 이유들로 평가(예컨대, 컨택-홀 및 라인)를 단순화하기 위하여 유사할 때, "유형들" 또는 "클래스(class)들"로 그룹화될 수 있다.
실시예에서, 결함 기반(또는 수율 기반) 프로세스 윈도우를 결정하는 위의 방법은 더 정확한 결과들을 제공하기 위하여 추가로 세분화될 수 있다. 예를 들어, 프로세스 변수 PDF를 연산하기 위하여 이용된 파라미터의 변동을 세분화함으로써. 세분화된 프로세스 변수 PDF는 더 정확한 프로세싱 윈도우를 제공할 수 있는 세분화된 파라미터 PDF를 연산하기 위하여 추가로 이용될 수 있다. 다시 말해서, 파라미터에서의 분산의 더 양호한 추정치는 패턴화 프로세스 내의 상이한 인자들에 의해 야기된 알려진 및/또는 확률론적 분산들에 대하여 조절함으로써, 위의 방법과 비교하여 더 정확한 결과들(즉, 프로세스 윈도우)을 추가로 생성하기 위하여 획득될 수 있다.
도 14는 파라미터의 변동 및 궁극적으로 프로세스 윈도우를 수정하기 위하여 이용된 예시적인 방법의 플로우차트이다. 도 10에 대하여 논의된 바와 같이, 파라미터(1602)(예컨대, CD, 오버레이 등) 및 그 분산의 측정들, 및 프로세스 변수(1604)(예컨대, 도우즈, 포커스 등)가 획득될 수 있고, 파라미터와 프로세스 변수 사이의 함수 관계는 예를 들어, 프로세스(P10)에서 논의된 바와 같이 확립될 수 있다. 함수 관계는 하나 이상의 프로세스 변수(예컨대, 도우즈, 포커스 등)의 함수(예컨대, 수학식 (1))인 파라미터(예컨대, CD)일 수 있다는 것이 인식될 수 있다. 또한, 함수는 또한, 하나 이상의 관련된 파라미터들(예컨대, 오버레이)을 포함할 수 있다. 파라미터(예컨대, CD)의 측정들(1602)은 또한, 파라미터의 측정된 분산(예컨대, )을 제공한다.
파라미터(예컨대, CD)의 이러한 측정된 분산(예컨대, )은 프로세스 변수(예컨대, 도우즈)와 연관된 분산 뿐만 아니라, 프로세스 변수(예컨대, 도우즈)에 관련되지 않을 수 있는 소스들에 의해 야기된 다른 분산을 포함한다. 예를 들어, 파라미터의 측정된 분산에 기여할 수 있는 프로세스 변수 이외의 소스들은 계측 관련, 마스크 관련, 광학적 근접성 정정, (예컨대, SEM 기반 이미지 분석에서 수반된) 이미징 오차 등일 수 있다. 이 때문에, 프로세스 변수 PDF가 다른 분산들을 참작하지 않고, 측정된 분산을 이용하여 연산되고, 이러한 프로세스 변수 PDF는 결함 기반 프로세스 윈도우를 추정하기 위하여 추가로 이용될 때, 결과적인 프로세스 윈도우는 정확하지 않을 수 있다.
결함 기반 프로세스 윈도우의 정확도를 추가로 개선시키기 위하여, 프로세스(P211)에서, 세분화된 분산(2111)은 다른 소스들로부터의 분산 기여분을 제거하고 및/또는 참작함으로써, 파라미터의 측정된 분산으로부터 연산될 수 있어서, 파라미터의 세분화된 분산(2111)은 관심 있는 프로세스 변수(예컨대, 도우즈)로부터의 기여분들과 주로 연관된다. 세분화된 분산은 위의 프로세스(P20)에서 논의된 바와 같이, 프로세스 변수 PDF를 결정하기 위하여 추가로 이용될 수 있다.
실시예에서, 세분화된 분산(2111)은 다음의 수학식 (9)를 이용하여 연산될 수 있다:
위의 수학식 (9)에서, (i) 은 CD에서의 측정된 부산이고; (ii) 은 계측 잡음으로 인한 분산이고; (iii) 은 기판으로의 마스크 패턴의 스케일링(예컨대, 스케일링 다운) 효과를 참작하기 위하여 마스크 오차 증대 인자(Mask Error Enhancement Factor)인 MEEF에 의해 추가로 승산되는, 마스크와 연관된 분산이다.
위의 분산의 개별적인 기여자들은 예를 들어, 마스크 비아들에서의 변동을 포함하는 것에 의해 고전적인 매트릭스 CD(E,F)를 확장함으로써, 그리고 측정들을 반복함으로써 측정될 수 있다. 그 경우에, 매트릭스는 CD(E,F,마스크,반복들)로 확장된다. 후자의 데이터는 적어도 CD(E,F) 설정들의 쌍에 대하여 희망된다. 여기서, 마스크는 상이한 마스크-비아들에서의 동일한 특징부의 2 이상의 측정들을 상징하고, 동일한 특징부가 측정되는 횟수에 대하여 반복한다. MEEF는 그 다음으로, (CD+mask - CD-mask) 또는 Δ(CD)mask에 의해 정의된다. 마스크 분포는 이것에 대하여 데이터를 정정하는 것에 의해 MEEF 및 리소그래픽 전사에 대하여 정정함으로써 시각화/검사될 수 있다: CD(mask) = CD(E,F,mask)-mean(CD(E,F,mask))/MEEF(E,F)
마스크-제조에서의 확률론적 변동의 영향은 마스크 치수에 대한 리소그래픽 시스템의 비선형 응답의 정정을 포함함으로써 세분화될 수 있다. 다수의 마스크-CD들을 포함하는 것에 의해 비선형 마스크 변동을 측정함으로써, 누군가는 예컨대, 다항식 관계를 통해 비선형 응답을 모델링할 수 있다:
CD(E,F,mask-delta(CD)) = sum aj(E,F) * mask^j. 마스크의 실제적인 분포는 위에서 추정되었고 이 응답은 알려져 있으므로, 누군가는 (예컨대, 도 25a에서 도시된) 이 실시예에서 설명된 것과 동일한 방법론을 적용함으로써 프로세스-파라미터 설정(예컨대, E,F) 당 비선형 마스크 분포를 계산할 수 있다.
위의 분산은 본 개시내용을 이해할 시의 명료성을 위하여 예로서 제시된다는 것이 주목되어야 한다. 그러나, 본 개시내용은 분산의 위에서 식별된 소스들(예컨대, 마스크 및 계측 잡음)로 제한되지는 않고, 다른 소스들은 세분화된 분산 을 결정할 시에 유사하게 포함될 수 있다.
실시예에서, 분산은 패턴화 프로세스 전반에 걸쳐 나타나는 시스템 성분, 및/또는 패턴화 프로세스에서의 시간의 주기 동안에 야기된 드리프트(drift)에 기인할 수 있다. 예를 들어, 시스템 또는 드리프트 관련된 성분들은 OPC 수렴 잔차(convergence residual)들, SEM 감도 오차, SEM 드리프트, 스캐너 필드-종속적 효과들(예컨대, 수차들)일 수 있다.
실시예에서, 측정된 분산은 또한, 도우즈에 관련되지 않을 수 있는 배경 변동을 참작할 수 있다. 예를 들어, 배경 변동은 패턴화 프로세스의 레지스트 관련된 파라미터들 또는 다른 미지의 변수들에 기인하여 야기될 수 있다. 레지스트 관련된 배경 정정의 경우에, 배경은 패턴화 프로세스에서의 레지스트 층의 물리학/화학에 기초하여 모델링될 수 있고 및/또는 시뮬레이팅될 수 있다. 예에서, 레지스트 프로세스는 레지스트 프로세스가 푸아송 분포를 따른다는 것을 가정함으로써 통계적으로 모델링/시뮬레이팅될 수 있다. 그 다음으로, 특징부의 측벽 상의 레지스트의 폴리머(polymer)들의 블록들의 수에 관련된 푸아송 통계들(예컨대, 평균, 분산 등)은 특징부의 CD에서 배경 분산을 야기시킬 수 있다. 배경 분산에 기초하여, 측정된 분산은 다음과 같이 조절될 수 있다:
위의 수학식 (9)에서, (i) 은 파라미터 CD의 세분화된 분산이고; (ii) 은 배경 분산이다.
배경 변동은 몇몇 방식들로 결정될 수 있다. 예를 들어, 파라미터의 측정된 분산(예컨대, ) 및/또는 도우즈-감도(dose-sensitivity; DS)가 최소인 도우즈-곡선(예컨대, 곡선(1702)) 상의 단일 포인트에서 큰 양의 특징부들을 측정한다. 특정한 도우즈에서의 도우즈-감도는 특정한 설정에서의 CD와 도우즈 사이의 함수 관계(예컨대, 1610)의 편미분(예컨대, )을 취함으로써 연산될 수 있다. 이 때문에, 도우즈 감도가 최소일 경우에, 그것은 그 특정한 도우즈에서의 CD의 분산에서의 기여분이 도우즈와 연관되지 않고 (예컨대, 배경으로 인한) 이러한 기여분은 CD의 측정된 분산으로부터 제거될 수 있다는 것을 암시한다.
또 다른 예에서, CD의 측정된 분산을 향한 배경 기여분은 도우즈-감도(또는 일반적으로, 프로세스 윈도우가 결정되어야 하는 프로세스 변수에 대한 감도)와 연관된 제1 PDF, 및 분산을 가지는 배경에 관련되고 정상적인 분포를 가지도록 가정된 제2 PDF와 같은 2 개의 PDF들의 콘볼루션(convolution)에 기초하여 연산될 수 있다. 제1 PDF 및 제2 PDF는 파라미터 PDF(예컨대, CD의 PDF)를 결정하기 위하여 콘볼루션될 수 있고, 콘볼루션된 PDF는 그 다음으로, 측정된 CD 값들의 PDF와 비교될 수 있다. 또 다른 실시예에서, 몬테 카를로(Monte Carlo) 시뮬레이션은, 콘볼루션 시에, 측정들 또는 그 시뮬레이션으로부터 획득된 CD의 PDF와 맞추어질 수 있는 제1 PDF 및 제2 PDF의 상이한 변동들로 수행될 수 있다. 맞춤(또는 그 결여)에 기초하여 , 제1 PDF에서의 변동이 배경 PDF보다 상대적으로 더 양호한 맞춤으로 이어지는지 또는 그 반대도 마찬가지인지 여부의 결정이 행해질 수 있다. 예를 들어, 배경 PDF에서의 변동이 상대적으로 더 양호한 맞춤으로 이어질 경우에, 그것은 배경 분산이 지배적일 수 있고 특정한 도우즈 설정에서의 파라미터(즉, CD)의 분산에서 참작될 수 있다는 것을 표시한다. 예에서, 2 개 이상의 PDF들의 콘볼루션에 기초한 배경 기여분은 예를 들어, 다음의 수학식을 이용하여 결정될 수 있다:
위의 수학식 (11)에서, (i) 은 예를 들어, 파라미터의 측정들 또는 모델링/시뮬레이션으로부터 결정된 파라미터 PDF일 수 있고; (ii) 은 위에서 논의된 바와 같이, 도우즈-감도(DS)에 기초하여 모델링/시뮬레이션에 의해 결정된 프로세스 변수 PDF이고; (iii) 은 예를 들어, 표준 곡선-맞춤(예컨대, 최대 가능성 맞춤 또는 평균 제곱 오차 기반 맞춤) 및/또는 몬테 카를로 시뮬레이션 기반 맞춤에 의해 결정될 수 있는 배경 분산 을 가지는 파라미터 PDF이고; (iv) 은 콘볼루션 연산자이다. 수학식 (11)을 이용하여 결정된 배경 분산 은 예를 들어, 수학식 (9)를 이용하여 세분화된 분산을 연산하기 위하여 추가로 이용될 수 있다. 본 개시내용은 위의 항들로 제한되지는 않고, 또한, 수학식 (11)은 파라미터 PDF들, 또는 PDFCD와 같은 마스크 관련된 PDF(MEEF x )의 추가적인 콘볼루션 항들을 포함할 수 있다.
일단 파라미터의 세분화된 분산(즉, )이 결정되면, 세분화된 분산은 예를 들어, 도 10의 프로세스(P20) 및 그 안의 수학식(들)에서 논의된 바와 같이, 세분화된 프로세스 변수 PDF를 결정하기 위하여 추가로 이용될 수 있다. 또한, 세분화된 파라미터 PDF는 예를 들어, 도 10의 프로세스(P30) 및 그 안의 수학식(들)에서 논의된 바와 같이 연산될 수 있다. 또한, 세분화된 파라미터 PDF는 예를 들어, 도 10의 프로세스들(P40, P50, 및 P60) 및 그 안의 수학식(들)을 따름으로써, 유사한 방식으로 결함 프로세스 윈도우를 결정하기 위하여 이용될 수 있다. 이 때문에, 효과적으로, 세분화된 프로세싱 윈도우는 파라미터의 측정된 분산이 프로세싱 변수(예컨대, 도우즈)에 관련되지 않은 성분들에 대한 임의의 정정들/조절들 없이 이용될 때에 획득된 프로세스 윈도우와 비교하여 획득될 수 있다.
도 15a 및 도 15b는 각각 제1 특징부 및 제2 특징부에 대하여 획득된 세분화된 프로세스 윈도우들(2206 및 2216)을 각각 예시한다. 도 15a는 제1 프로세스 윈도우(2202), 제2 프로세스 윈도우(2204), 및 제3 프로세스 윈도우(2206) 사이의 차이에 의해 보여질 수 있는 바와 같이, 프로세스 윈도우에 대한 도 10 및 도 14에서의 방법들의 결과들을 예시한다. 제1 프로세스 윈도우(2202)는 표준 분포를 고려하여 획득될 수 있고, 즉, 프로세스 변수 PDF는 표준(예컨대, 정상적인 분포)인 것으로 가정되고, 도 10에서 논의된 바와 같이, 파라미터의 분산으로부터 연산된다. 제2 프로세스 윈도우(2204)는 도 10에서의 방법을 이용하여 획득될 수 있다. 제2 프로세스 윈도우(2204)는 상대적으로 더 낮은 도우즈 값들을 향해 제1 윈도우(2202)로부터 오프셋되고, 이에 따라, 패턴화 프로세스의 파라미터(예컨대, CD)의 측정들에 기초하여, (대략 유사한 포커스에서의) 더 낮은 도우즈 값들은 제1 윈도우(2202)와 비교하여 더 적절할 수 있다는 것을 표시한다. 유사하게, 배경 변동 및/또는 프로세스 변수(예컨대, 도우즈)에 비관련된 변동에 기초한 제2 프로세스 윈도우의 추가의 세분화는 도 14의 방법에서 논의된 바와 같이, 제3 프로세스 윈도우(2206)를 산출한다. 제3 프로세스 윈도우(2206)는 제2 프로세스 윈도우(2204)의 서브세트(subset)인 것으로 보이고, 이것은 예를 들어, 도우즈 값들의 서브세트가 제1 또는 제2 프로세스 윈도우와 비교하여 더 적절하다는 것을 표시한다.
유사하게, 도 15b는 도 15a에서의 프로세스 윈도우들과 상이할 수 있는 제1 프로세스 윈도우(2212), 제2 프로세스 윈도우(2214), 및 제3 프로세스 윈도우(2216)를 획득하기 위하여, 도 15a에서 이용된 것과 상이한 제2 특징부에 대한 도 16 및 도 14의 방법들의 결과들을 예시한다. 마찬가지로 제2 특징부에 대하여, 제3 프로세스 윈도우(2216)는 제2 프로세스 윈도우(2214)의 서브세트인 것으로 보이고, 이것은 예를 들어, 도우즈 값들의 서브세트가 제1 또는 제2 프로세스 윈도우와 비교하여 더 적절하다는 것을 표시한다.
위에서 논의된 결과들 및 관찰들은 시각적 명료성을 제공하기 위하여 오직 예시의 목적들을 위한 것이고, 본 개시내용에서 논의된 방법들을 제한하지 않는다.
도 10 및 도 14의 위의 방법들은 패턴 전사 단계를 뒤따르는 에칭 프로세스 후(예컨대, 현상 후)와 같은 하나 이상의 패턴 전사후 단계들에서 관찰될 수 있는 결함들에 기초하여 프로세스 윈도우를 결정하도록 추가로 확장될 수 있다. 다시 말해서, 측정들은 현상 검사후(after development inspection; ADI) 단계, (중간) 마스크 검사후(after (intermediate) mask inspection; AMI) 뿐만 아니라, 에치 검사후(after etch inspection; AEI) 단계에서 수행될 수 있다. 이러한 AEI 측정들은 예를 들어, 일반적으로 CD 제한들 및 프로세스 윈도우를 결정하기 위하여 이용될 수 있다. 어떤 상황들에서, 특징부에서의 결함은 예컨대, 현상 검사 후에 관찰될 수 있고, 결함은 (예컨대, 트리밍(trimming)에 의해) 에칭 프로세스 동안 및/또는 그 후에 여전히 수리될 수 있다. 하지만, 어떤 상황들에서는, 패턴 또는 특징부가 현상 후에 임의의 결함을 가지지 않을 수 있지만, 실패 또는 결함 패턴은 에칭 프로세스 후에 관찰될 수 있다. 이에 따라, 파라미터(예컨대, CD)의 측정들은 상이한 프로세스들 사이의 상호종성질(예컨대, AEI에 대한 ADI 종성질 및/또는 AMI에 대한 ADI)에 기초하여 파라미터 제한들 및 프로세스 윈도우를 결정하고 및/또는 식별하기 위하여 패턴화 프로세스의 상이한 단계들에서 취해질 수 있다.
이러한 프로세스 윈도우는 패턴화 프로세스의 상이한 프로세스들 사이의 전달 함수, 예를 들어, 현상후 및 에치 프로세스후 사이의 전달 함수를 고려함으로써 결정될 수 있다. 이러한 전달 함수는 현상 후에 측정된 파라미터 값들과 에칭 프로세스 후에 측정된 것들 사이의 관계를 확립하는 함수이다. 이 때문에, 에칭 프로세스 후에 측정된 파라미터에 대한 프로세스 변수(예컨대, 도우즈)의 이러한 전달 영향을 이용하는 것은 수량화될 수 있다. 다시 말해서, 에칭 프로세스 후에 관찰된(또는 관찰되지 않은) 결함들은 파라미터 제한들 및 이 때문에, 프로세스 윈도우를 결정하기 위하여 이용될 수 있다.
도 16은 실시예에 따른, 프로세스 윈도우를 결정하기 위한 확장된 방법의 플로우차트이다. 실시예에서, 현상 후의 파라미터의 측정들(1602), 프로세스 변수(1604), 및 에칭 후의 파라미터의 측정들(2306)은 예를 들어, 패턴화 프로세스에서 이용된 계측 도구들로부터 획득될 수 있다. 측정들(1602, 1604, 및 2306)은 위의 프로세스(P10)에서 논의된 것과 유사하게, 함수 관계를 결정하기 위하여 추가로 이용될 수 있다. 실시예에서, 프로세스(P10)에서는, 제1 함수 관계(1610)가 더 이전에 논의된 수학식 (1)을 이용하여, 측정들(1602 및 1604) 사이에서 확립될 수 있다.
추가적으로, 프로세스(P231)에서, 제2 함수 관계(2320)는 예를 들어, 이하의 수학식 (12)를 이용하여, 파라미터와 프로세스 변수(2306) 사이에서 확립될 수 있다:
제2 함수 관계 (12)에서, (i) 은 패턴이 마스크로 전사(예컨대, 에칭)된 후에 측정되고 도우즈(d)의 함수로서 정의된 파라미터 CD를 지칭하고, (ii) h는 에칭 프로세스 및/또는 시뮬레이션의 물리학/화학에 기초하여 결정된 전달 함수이고, (iii) 은 현상 후에 측정된 파라미터 CD를 지칭한다. 또한, 수학식 (1)에 따른 을 치환하면, 제2 함수 관계는 이하의 수학식 (13)에 의해 주어질 수 있다:
이에 따라, 예를 들어, 수학식 (13)에서의 제2 관계(2320)는 전달 함수 h를 통한 에칭 프로세스에 기인한 파라미터 변동들을 캡처한다.
또한, 프로세스 변수 PDF(1620)는 프로세스(P20)에서 논의된 것과 유사한 방식으로 연산될 수 있다. 예를 들어, 도우즈 PDF(1620)는 수학식 (2)를 이용하여 연산된 도우즈 분산을 이용하여 획득될 수 있고, 여기서, 제1 함수 관계 및 현상 검사 후의 측정들로부터 획득된 파라미터에서의 분산이 이용된다. 이에 따라, 도우즈 PDF는 현상 프로세스 후의 CD 분산을 참작한다. 다시 말해서, 도우즈 PDF는 도우즈의 특정한 설정에서의 CD 변동의 확률을 예측할 수 있다.
또한, 프로세스(P30)에서, 파라미터 PDF(2330), 예를 들어, CD PDF는 도우즈 PDF를 이용하여 연산될 수 있고, 여기서, 도우즈 PDF는 제2 함수 관계를 이용하여, 그리고 다음의 수학식 (14)에 기초하여 결정(또는 도우즈 PDF(1620)로부터 수정)될 수 있다:
위의 수학식 (14)에서, (i) 은 프로세스 변수, 즉, 도우즈(d)의 함수인 CD PDF(2330)를 지칭하고; (ii) 은 도우즈와 CD 사이의 (예컨대, 수학식 (13)에서의) 제2 함수 관계의 역함수이고; (iii) 은 프로세스 변수 PDF(2330)이고, 여기서, 은 예를 들어, 수학식 (2)와 유사한 수학식을 이용하여 연산된 에 기초한 연산된 분산이고, 여기서, 변환 함수(또는 변환 인자)는 의 편미분일 수 있고, (iv) 편미분 항(즉, )의 절대값은 특정한 도우즈 설정에서 프로세스 변수 PDF를 파라미터 PDF로 변환하는 변환 함수(또는 변환 인자)이다.
이에 따라, 에칭 프로세스로 인한 특징부의 실패의 확률을 결정할 수 있는 CD PDF(2330)가 획득될 수 있다. CD PDF(2330)는 CD가 도우즈 설정 당 희망된 범위 내에 있을 확률, 패턴화 프로세스의 실패 확률들, 또는 다른 통계적으로 흥미 있는 값들을 연산하기 위하여 추가로 이용될 수 있다. 본 개시내용에 따르면, 실패 확률들(또는 실패율)의 연산은 프로세스(P60)에서 논의된 것과 유사한 방식으로 결정될 수 있다.
또한, 파라미터 PDF(2330)는 예를 들어, 도 10의 프로세스들(P40, P50, 및 P60) 및 그 안의 수학식(들)을 따름으로써, 유사한 방식으로 결함 프로세스 윈도우를 결정하기 위하여 이용될 수 있다. 이 때문에, 효과적으로, 후속 프로세스(들)(예컨대, AEI)에서 발생할 수 있는 결함들을 참작하는 프로세싱 윈도우가 획득될 수 있다.
실시예에서, 위의 방법들은 레지스트 디스커밍(de-scumming), 또는 다른 패턴화후(post patterning) 프로세스 및/또는 레지스트-유형 최적화를 결정하기 위하여 적용될 수 있다. 예를 들어, 도 17에서 도시된 바와 같이, 실패의 푸팅(2402) 및 네킹(2412) 유형은 도우즈/포커스와 같은 프로세스 변수의 어떤 설정에 대하여 관찰될 수 있다. 푸팅의 경우에, 디스커밍은 기판에서 푸트(2404)를 제거하기 위하여 수행될 수 있다. 네킹(2412)의 경우에, 레지스트 두께는 상단 층(2414)을 제거함으로써 감소될 수 있다. 이에 따라, 결함-기반 프로세스 윈도우는 레지스트를 희생하면서 개선될 수 있다. 실시예에서, 모델링/시뮬레이션은 (즉, 희망된 수율을 가지는) 프로세스 윈도우를 변경/절충하지 않으면서 최적 두께를 결정하기 위하여 수행될 수 있고, 따라서, 더 적은 결함들(예컨대, 네킹/푸팅)이 관찰될 수 있다.
또 다른 애플리케이션에서, 위의 방법들은 모델링/시뮬레이션에 의해 OPC 교정 동안에 적용될 수 있다. 예를 들어, 희망된 수율, 특징부들의 총 수, 및 그 개개의 실패의 확률들에 대하여, (예컨대, Tachyon을 이용하는) 시뮬레이션은 가장 낮은 수율 특징부를 위한 OPC를 최적화하기 위하여 수행될 수 있다.
광학적 근접성 정정(OPC)은 리소그래픽 투영 장치에 의한 임의의 축소에 추가적으로, 기판 상에 투영된 패턴화 디바이스 패턴의 이미지의 최종적인 크기 및 배치가 패턴화 디바이스 상의 대응하는 패턴화 디바이스 패턴 특징부들과 동일하지 않거나, 간단하게 패턴화 디바이스 상의 대응하는 패턴화 디바이스 패턴 특징부들의 크기 및 배치에 오직 종속될 것이라는 사실을 해결한다. 용어들 "마스크", "레티클", "패턴화 디바이스"는 본원에서 상호 교환가능하게 사용된다는 것이 주목된다. 또한, 당해 분야의 당업자는 특히, 리소그래피 시뮬레이션/최적화의 맥락에서, 용어들 "마스크 패턴", "레티클 패턴", 및 "패턴화 디바이스 패턴"이 리소그래피 시뮬레이션/최적화에서와 같이, 상호 교환가능하게 이용될 수 있고, 물리적 패턴화 디바이스가 반드시 이용되는 것이 아니라, 패턴화 디바이스 패턴이 물리적 패턴화 디바이스를 표현하기 위하여 이용될 수 있다는 것을 인식할 것이다. 일부 패턴화 디바이스 패턴들 상에서 존재하는 작은 특징부 크기들 및 높은 특징부 밀도들에 대하여, 주어진 특징부의 특정한 에지의 포지션은 다른 인접한 특징부들의 존재 또는 부재에 의해 어떤 한도까지 영향받을 것이다. 이 근접성 효과들은 하나의 특징부로부터 또 다른 것으로 결합된 미세한 양들의 방사 및/또는 회절 및 간섭과 같은 비-기하학적 광학적 효과들로부터 발생한다. 유사하게, 근접성 효과들은 노출후 베이크(PEB), 레지스트 현상, 및 리소그래피를 일반적으로 뒤따르는 에칭 동안의 확산 및 다른 화학적 효과들로부터 발생할 수 있다.
패턴화 디바이스 패턴의 투영된 이미지가 주어진 타겟 설계의 요건들에 따른다는 것을 보장하기 위하여, 근접성 효과들은 패턴화 디바이스 패턴의 정교한 수치 모델들, 정정들, 또는 사전-왜곡들을 이용하여 예측되고 보상되어야 한다. 논문 ["Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", C. Spence, Proc. SPIE, Vol. 5751, pp 1-14 (2005)]은 현재의 "모델-기반" 광학적 근접성 정정 프로세스들의 개요를 제공한다. 전형적인 하이-엔드(high-end) 설계에서, 패턴화 디바이스 패턴의 거의 모든 특징부는 타겟 설계에 대한 투영된 이미지의 높은 충실도를 달성하기 위하여 일부 수정을 가진다. 이 OPC 수정들은 에지 포지션들 또는 라인 폭들의 시프팅 또는 바이어싱, 및/또는 다른 특징부들의 투영을 보조하도록 의도되는 "보조" 특징부들의 적용을 포함할 수 있다.
타겟 설계에 대한 모델-기반 OPC의 적용은 수 백만 개의 특징부들이 전형적으로 디바이스 설계에서 존재한다면, 양호한 프로세스 모델들 및 상당한 연산 자원들을 수반한다. 그러나, OPC를 적용하는 것은 일반적으로 정확한 과학이 아니라, 모든 가능한 근접성 효과들을 항상 보상하지는 않는 경험적인 반복 프로세스이다. 그러므로, OPC의 효과, 예컨대, OPC 및 임의의 다른 RET의 적용 후의 패턴화 디바이스 패턴들은 설계 결점들이 패턴화 디바이스 패턴 내로 구축될 가능성을 감소시키거나 최소화하기 위하여, 설계 검사, 예컨대, 교정된 수치 프로세스 모델들을 이용하는 집약적 전체-칩 시뮬레이션에 의해 검증되어야 한다. 이것은 수 백만 달러 범위에 걸쳐 있는, 하이-엔드 패턴화 디바이스들을 제조하는 막대한 비용에 의해, 그리고 일단 패턴화 디바이스들이 제조된다면, 실제적인 패턴화 디바이스들을 재작업하거나 수리하는 것에 의한 턴-어라운드 시간(turn-around time)에 대한 영향에 의해 압박된다. 양자의 OPC 및 전체-칩 RET 검증은 예를 들어, 그 전체적으로 참조에 의해 본원에 편입되는 미국 특허 제7,003,758호, 및 Y. Cao 등, Proc. SPIE, Vol. 5754, 405 (2005)에 의한 "Optimized Hardware and Software For Fast, Full Chip Simulation"라는 명칭의 논문에서 설명된 바와 같은 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
OPC의 가장 간단한 형태들 중의 하나는 선택적인 바이어스이다. CD 대 피치 데이터(pitch data)가 주어지면, 상이한 피치들의 전부는 패턴화 디바이스 레벨에서 CD를 변경함으로써, 적어도 최상의 포커스 및 노출에서 동일한 CD를 생성하도록 강제될 수 있다. 이에 따라, 특징부가 기판 레벨에서 너무 작게 인쇄할 경우에, 패턴화 디바이스 레벨 특징부는 명목적인 것보다 약간 더 크도록 바이어싱될 것이고, 그 반대도 마찬가지이다. 패턴화 디바이스 레벨로부터 기판 레벨로의 패턴 전사 프로세스는 비선형이므로, 바이어스의 양은 간단하게, 최상의 포커스 및 노출에서의 측정된 CD 오차 X 감소 비율이 아니고, 모델링 및 실험으로, 적절한 바이어스가 결정될 수 있다. 선택적인 바이어스는 특히, 그것이 명목적인 프로세스 조건에서 오직 적용될 경우에, 근접성 효과들의 문제에 대한 불완전한 해결책이다. 이러한 바이어스가 원칙적으로, 최상의 포커스 및 노출에서 균일한 CD 대 피치 곡선들을 제공하기 위하여 적용될 수 있지만, 일단 노출 프로세스가 명목적인 조건으로부터 변동되면, 각각의 바이어싱된 피치 곡선은 상이하게 응답할 것이어서, 상이한 특징부들을 위한 상이한 프로세스 윈도우들로 귀착될 것이다. 프로세스 윈도우는 2 개 이상의 프로세스 파라미터들(예컨대, 리소그래픽 장치에서의 포커스 및 방사 도우즈)의 값들의 범위이고, 이 범위 하에서, 특징부는 충분히 적절하게 생성된다(예컨대, 특징부의 CD는 ±10 % 또는 ±5 %와 같은 어떤 범위 내에 있음). 그러므로, 동일한 CD 대 피치를 제공하기 위한 "최상의" 바이어스는 심지어, 전체적인 프로세스 윈도우에 대한 부정적인 영향을 가질 수 있어서, 포커스 및 노출 범위를 확대하는 것이 아니라 감소시키고, 이 포커스 및 노출 범위 내에서, 타겟 특징부들의 전부는 희망된 프로세스 공차 내에서 기판 상에서 인쇄한다.
다른 더 복잡한 OPC 기법들은 위의 1 차원 바이어스 예를 넘어서는 애플리케이션을 위하여 개발되었다. 2 차원 근접성 효과는 라인 단부 단축이다. 라인 단부들은 노출 및 포커스의 함수로서, 그 희망된 단부 포인트 위치로부터 "풀백(pull back)"하기 위한 경향을 가진다. 많은 경우들에는, 긴 라인 단부의 단부 단축의 정도가 대응하는 라인 좁힘(line narrowing)보다 몇 배 더 클 수 있다. 이 유형의 라인 단부 풀백은 라인 단부가 소스-드레인 영역 상부의 폴리실리콘 게이트 층과 같은, 라인 단부가 피복하도록 의도되었던 하지층(underlying layer) 상에서 완전히 교차하는 것에 실패할 경우에, 제조되고 있는 디바이스들의 파국적 실패로 귀착될 수 있다. 이 유형의 패턴은 포커스 및 노출에 고도로 민감하므로, 라인 단부를 설계 길이보다 더 길도록 간단하게 바이어싱하는 것은 최상의 포커스 및 노출에서의, 또는 과소노출된 조건에서의 라인이 과도하게 길 것이어서, 확장된 라인 단부가 이웃하는 구조체와 터치할 때의 단락 회로들, 또는 더 많은 공간이 회로에서의 개별적인 특징부들 사이에 추가될 경우에 불필요하게 큰 회로 크기들 중의 어느 하나로 귀착될 것이기 때문에 부적당하다. 디바이스 설계 및 제조의 목적들 중의 하나는 종종, 칩 당 요구된 면적을 최소화하면서 기능적인 엘리먼트들의 수를 최대화하기 위한 것이므로, 과도한 이격을 추가하는 것은 바람직하지 않은 해결책이다.
2 차원 OPC 접근법들은 라인 단부 풀백 문제를 해결하는 것을 도울 수 있다. "해머헤드(hammerhead)들" 또는 "세리프(serif)들"과 같은 여분의 구조체들(또한, "보조 특징부들"로서 알려짐)은 이들을 정위치에 효과적으로 앵커링(anchor)하고 전체 프로세스 윈도우 상에서 감소된 풀백을 제공하기 위하여 라인 단부들에 추가될 수 있다. 심지어 최상의 포커스 및 노출에서도, 이 여분의 구조체들은 분해되지 않지만, 이들은 그 자신 상에서 완전히 분해되지 않으면서, 주요 특징부의 외관을 변경한다. 본원에서 이용된 바와 같은 "주요 특징부"는 프로세스 윈도우에서의 일부 또는 모든 조건들 하에서 기판 상에서 인쇄하도록 의도된 특징부를 의미한다. 보조 특징부들은 패턴화 디바이스 상의 패턴이 더 이상 간단하게, 감소 비율에 의해 크기증가된 희망된 기판 패턴이 아닌 정도까지, 라인 단부들에 추가된 간단한 해머헤드들보다 훨씬 더 공격적인 형태들을 취할 수 있다. 세리프들과 같은 보조 특징부들은 라인 단부 풀백을 간단하게 감소시키는 것보다 더 많은 상황들에 대하여 적용될 수 있다. 내부 또는 외부 세리프들은 코너 라운딩(corner rounding) 또는 에지 압출(edge extrusion)들을 감소시키기 위하여 임의의 에지, 특히 2 차원 에지들에 적용될 수 있다. 모든 크기들 및 극성들의 충분한 선택적인 바이어싱 및 보조 특징부들로, 패턴화 디바이스 상의 특징부들은 기판 레벨에서 희망된 최종적인 패턴에 대한 점점 더 적은 유사성을 지닌다. 일반적으로, 패턴화 디바이스 패턴은 기판-레벨 패턴의 사전-왜곡된 버전이 되고, 여기서, 왜곡은 가능한 한 설계에 의해 의도된 것에 근접한 기판 상의 패턴을 생성하기 위하여, 제조 프로세스 동안에 발생할 패턴 변형을 중화시키거나 반전시키도록 의도된다.
또 다른 OPC 기법은 주요 특징부들에 연결된 그 보조 특징부들(예컨대, 세리프들) 대신에 또는 이에 추가적으로, 완전히 독립적이고 비-분해가능한 보조 특징부들을 이용하는 것을 수반한다. 여기에서의 용어 "독립적"은 이 보조 특징부들의 에지들이 주요 특징부들의 에지들에 연결되지 않는다는 것을 의미한다. 이 독립적인 보조 특징부들은 기판 상에서 특징부들로서 인쇄하도록 의도되거나 설계되는 것이 아니라, 오히려, 그 주요 특징부의 인쇄가능성 및 프로세스 공차를 증대시키기 위하여 인근의 주요 특징부의 에어리얼 이미지를 수정하도록 의도된다. 이 보조 특징부들(종종, "산란 바아(scattering bar)들" 또는 "SBAR"로서 지칭됨)은 주요 특징부들의 에지들 외부의 특징부들인 서브-해상도 보조 특징부들(sub-resolution assist features; SRAF), 및 주요 특징부들의 에지들 내부로부터 스쿱 아웃(scoop out)된 특징부들인 서브-해상도 역 특징부들(sub-resolution inverse features; SRIF)을 포함할 수 있다. SBAR의 존재는 복잡도의 또 다른 층을 패턴화 디바이스 패턴에 추가한다. 산란 바아들의 이용의 간단한 예는, 비-분해가능한 산란 바아들의 규칙적인 어레이가 밀집된 라인들의 어레이 내에서 단일 라인을 더 많이 나타내기 위하여, 에어리어 이미지 관점으로부터, 격리된 라인이 나타나게 하는 효과를 가지는 격리된 라인 특징부의 양 측부들 상에서 인출되어, 밀집된 패턴의 프로세스 윈도우에 포커스 및 노출 공차에 있어서 훨씬 더 근접한 프로세스 윈도우로 귀착될 경우이다. 이러한 장식된 격리된 특징부와 밀집된 패턴 사이의 공통 프로세스 윈도우는 패턴화 디바이스 레벨에서 격리된 바와 같이 인출된 특징부의 공통 공차보다, 포커스 및 노출 변동들에 대한 더 큰 공통 공차를 가질 것이다.
보조 특징부는 패턴화 디바이스 상의 특징부들과 패턴화 디바이스 패턴에서의 특징부들 사이의 차이로서 관측될 수 있다. 용어들 "주요 특징부" 및 "보조 특징부"는 패턴화 디바이스 상의 특정한 특징부가 하나 또는 다른 것으로서 라벨 붙여져야 한다는 것을 암시하지는 않는다.
패턴화 디바이스 패턴들(예컨대, OPC)에 대한 최적화에 추가적으로, 또는 이에 대안적으로, 조명 모드는 전체적인 리소그래피 충실도를 개선시키기 위한 노력으로, 패턴화 디바이스 최적화와 합동으로 또는 별도로 중의 어느 하나로 최적화될 수 있다. 환형, 4중극, 및 2중극과 같은 많은 오프-축 조명 모드들이 이용되었고, OPC 설계에 대한 더 많은 자유를 제공함으로써, 이미징 결과들을 개선시킨다. 알려진 바와 같이, 오프-축 조명은 패턴화 디바이스에서 제약된 미세한 구조체들(즉, 타겟 특징부들)을 분해하기 위한 입증된 방식이다. 그러나, 전통적인 조명 모드와 비교할 때, 오프-축 조명 모드는 에어리얼 이미지(AI)를 위한 더 적은 방사 세기를 통상적으로 제공한다. 이에 따라, 더 미세한 해상도와 감소된 방사 세기 사이의 최적의 균형을 달성하기 위하여 조명 모드를 최적화하는 것을 시도하는 것이 바람직해진다. 몇몇 조명 최적화 접근법들은 예를 들어, 그 전체적으로 참조에 의해 본원에 편입되는, ["Optimum Mask and Source Patterns to Print a Given Shape", Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, (2002)]라는 명칭의 Rosenbluth 등에 의한 논문에서 발견될 수 있다.
계속 감소하는 설계 규칙들의 압력은 반도체 칩메이커들이 낮은 k1 리소그래피로 더 깊게 이동할 것을 압박한다. 더 낮은 k1을 향한 리소그래피는 RET, 노출 도구들, 및 리소-친화적 설계에 대한 필요성에 대한 과중한 수요들을 부과한다. 따라서, 디바이스 설계가 작업가능한 프로세스 윈도우로 기판 상으로 생성될 수 있다는 것을 보장하는 것을 돕기 위하여, 조명 모드 - 패턴화 디바이스 패턴 최적화(일부 경우들에는, 소스-마스크 최적화(source-mask optimization) 또는 SMO로서 지칭됨) - 는 예컨대, 더 작은 특징부들을 달성하기 위하여 상당한 RET로 되고 있다.
따라서, 낮은 k1 포토리소그래피에 대하여, 양자의 조명 모드 및 패턴화 디바이스 패턴의 최적화는 임계적인 디바이스 패턴들의 투영을 위한 존속가능한 프로세스 윈도우를 보장하기 위하여 유용하다. (예컨대, 그 전체적으로 참조에 의해 본원에 편입되는, Socha 등, "Simultaneous Source Mask Optimization (SMO)," Proc. SPIE Vol. 5853, 180-193 (2005)에서 설명된 바와 같은) 일부 알고리즘들은 조명을 독립적인 조명 분포 포인트들로, 그리고 패턴화 디바이스 패턴을 공간적 주파수 도메인에서의 회절 차수들로 이산화(discretize)하고, 조명 분포 포인트 세기들 및 패턴화 디바이스 패턴 회절 차수들로부터 광학적 이미징 모델들에 의해 예측될 수 있는 노출 관용도(exposure latitude)와 같은 프로세스 윈도우 메트릭들에 기초하여 (선택된 설계 변수들의 함수로서 정의되는) 목적 함수(objective function)를 별도로 공식화(formulate)한다.
제약들 없이 그리고 예측가능한 양의 시간 내에서 목적 함수를 이용하여 조명 모드 및 패턴화 디바이스 패턴의 동시 최적화를 허용하는 추가의 조명 모드 및 패턴화 디바이스 패턴 최적화 방법 및 시스템은, 그 전체적으로 참조에 의해 본원에 편입되는, "Fast Freeform Source and Mask Co-Optimization Method"라는 명칭의 PCT 특허 출원 공개 제WO 2010/059954호에서 설명된다. 조명 분포의 픽셀들을 조절함으로써 조명을 최적화하는 것을 수반하는 또 다른 조명 및 패턴화 디바이스 최적화 방법 및 시스템은, 그 전체적으로 참조에 의해 본원에 편입되는, "Source-Mask Optimization in Lithographic Apparatus"라는 명칭의 미국 특허 출원 공개 제2010/0315614호에서 설명된다.
이제, 위에서 지칭된 패턴화 디바이스는 하나 이상의 패턴화 디바이스 패턴들을 포함할 수 있다. 패턴화 디바이스 패턴은 CAD(computer-aided design; 컴퓨터-보조된 설계) 프로그램을 사용하여 생성될 수 있고, 이 프로세스는 종종 EDA(electronic design automation; 전자 설계 자동화)로서 지칭된다. 대부분의 CAD 프로그램들은 패턴화 디바이스를 위한 기능적인 패턴화 디바이스 패턴들을 생성하기 위하여 미리 결정된 설계 규칙들의 세트를 따른다. 이 규칙들은 프로세싱 및 설계 제한들에 의해 설정된다. 예를 들어, 설계 규칙들은 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않는다는 것을 보장하기 위하여, (게이트들, 커패시터들 등과 같은) 디바이스 특징부들 또는 상호연결 라인들 사이의 공간 공차를 정의한다. 설계 규칙 제한은 "임계 치수들"(CD)로서 지칭될 수 있다. 디바이스의 임계 치수는 라인 또는 홀의 가장 작은 폭, 또는 2 개의 라인들 또는 2 개의 홀들 사이의 가장 작은 공간으로서 정의될 수 있다. 이에 따라, CD는 설계된 디바이스의 전체적인 크기 및 밀도를 결정한다. 디바이스 제작 시의 목적들 중의 하나는 (패턴화 디바이스를 통해) 기판 상에서 원래의 디바이스 설계를 충실하게 재현하기 위한 것이다.
또한, 시스템의 최적화 프로세스에서, 시스템의 장점의 표상은 목적 함수(예컨대, 비용 함수(cost function) 또는 메리트 함수(merit function))로서 표현될 수 있다. 최적화 프로세스는 전형적으로, 목적 함수를 최소화하거나 최대화하는 시스템의 파라미터들(설계 변수들)의 세트를 구하는 프로세스가 된다. 목적 함수는 최적화의 목적에 따라, 임의의 적당한 형태를 가질 수 있다. 예를 들어, 목적 함수는 이 특성들의 의도된 값들(예컨대, 이상적인 값들)에 대한 시스템의 어떤 특성들(평가 포인트들)의 편차들의 가중화된 평균 제곱근(root mean square; RMS)일 수 있고; 목적 함수는 또한, 이 편차들의 최대치일 수 있다. 본원에서 이용된 바와 같은 용어 "설계 변수들"은 (예컨대, 리소그래픽 프로세스, 리소그래픽 투영 장치 등의) 패턴화 프로세스의 파라미터들, 예를 들어, 리소그래픽 투영 장치의 사용자가 조절할 수 있는 파라미터들의 세트를 포함한다. 조명 모드의 특성들, 패턴화 디바이스 패턴(또는 패턴화 디바이스 구성), 투영 광학기기들, 및/또는 레지스트 특성들을 포함하는, 패턴화 프로세스의 임의의 특성들은 최적화에서의 설계 변수들 사이에 있을 수 있다는 것이 인식되어야 한다. 목적 함수는 종종 설계 변수들의 비선형 함수이다. 그 다음으로, 표준적인 최적화 기법들은 예컨대, 목적 함수를 최소화하거나 최대화하기 위하여 이용된다. 본원에서의 용어 "평가 포인트들"은 시스템 또는 프로세스의 임의의 특성들을 포함하도록 폭넓게 해독되어야 한다. 시스템의 설계 변수들은 유한한 범위들로 구속될 수 있고 및/또는 시스템 또는 프로세스의 구현예들의 실현가능성으로 인해 독립적일 수 있다. 리소그래픽 투영 장치의 경우에, 제약들은 종종 조율가능한 범위들과 같은 하드웨어의 물리적 성질들 및 특성들, 및/또는 패턴화 디바이스 제조가능성 설계 규칙(manufacturability design rule; MRC)들과 연관되고, 평가 포인트들은 기판 상의 레지스트 이미지 상의 물리적 포인트들 뿐만 아니라, 도우즈 및 포커스와 같은 비물리적인 특성들을 포함할 수 있다.
따라서, 리소그래픽 투영 장치에서, 조명 시스템은 조명(즉, 방사)을 패턴화 디바이스에 제공하고, 투영 광학기기들은 조명을 패턴화 디바이스로부터 기판 상으로 지향한다. 실시예에서, 투영 광학기기들은 기판 상의 방사 세기 분포인 에어리얼 이미지(AI)의 형성을 가능하게 한다. 기판 상의 레지스트 층은 노출되고, 에어리얼 이미지는 그 안에서의 잠복성 "레지스트 이미지"(RI)로서 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서의 레지스트의 용해도의 공간적 분포로서 정의될 수 있다. 실시예에서, 리소그래피 프로세스의 시뮬레이션은 에어리얼 이미지 및/또는 레지스트 이미지의 생성을 시뮬레이팅할 수 있다.
패턴화 프로세스의 일부들을 모델링하고 및/또는 시뮬레이팅하기 위한 예시적인 플로우차트가 도 18에서 예시된다. 인식되는 바와 같이, 모델들은 상이한 패턴화 프로세스를 표현할 수 있고, 이하에서 설명된 모든 모델들을 포함할 필요가 없다.
조명 모델(31)은 패턴화된 방사 빔을 생성하기 위하여 이용된 조명 모드의 (방사 세기 분포 및/또는 위상 분포를 포함하는) 광학적 특성들을 표현한다. 조명 모델(31)은, 개구수 설정들, 조명 시그마() 설정들 뿐만 아니라, 임의의 특정한 조명 형상(예컨대, 환형, 4중극, 2중극 등과 같은 오프-축 방사 형상)을 포함하지만, 이것으로 제한되지는 않는 조명의 광학적 특성들을 표현할 수 있고, 여기서, (또는 시그마)는 조명기의 외부 방사상 규모이다.
투영 광학기기들 모델(32)은 투영 광학기기들의 (투영 광학기기들에 의해 야기된 방사 세기 분포 및/또는 위상 분포에 대한 변경들을 포함하는) 광학적 특성들을 표현한다. 투영 광학기기들 모델(32)은 다양한 인자들, 예를 들어, 투영 광학기기들의 컴포넌트들의 가열, 투영 광학기기들의 컴포넌트들의 기계적 연결들에 의해 야기된 응력(stress) 등에 의해 야기된 광학적 수차들을 포함할 수 있다. 투영 광학기기들 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수, 흡수 등으로부터 선택된 하나 이상을 포함하는, 투영 광학기기들의 광헉적 특성들을 표현할 수 있다. 리소그래픽 투영 장치의 광학적 성질들(예컨대, 조명, 패턴화 디바이스 패턴, 및 투영 광학기기들의 성질들)은 에어리얼 이미지를 기술한다. 리소그래픽 투영 장치에서 이용된 패턴화 디바이스 패턴은 변경될 수 있으므로, 적어도 조명 및 투영 광학기기들을 포함하는 리소그래픽 투영 장치의 나머지의 광학적 성질들로부터 패턴화 디바이스 패턴의 광학적 성질들을 분리시키는 것이 바람직하다. 조명 모델(31) 및 투영 광학기기들 모델(32)은 투과 교차 계수(transmission cross coefficient; TCC) 모델로 조합될 수 있다.
패턴화 디바이스 패턴 모델(33)은 패턴화 디바이스 상에서 또는 패턴화 디바이스에 의해 형성된 특징부들의 배열체의 표현인, 패턴화 디바이스 패턴(예컨대, 집적 회로, 메모리, 전자 디바이스 등의 특징부에 대응하는 디바이스 설계 레이아웃)의 (주어진 패턴화 디바이스 패턴에 의해 야기된 방사 세기 분포 및/또는 위상 분포에 대한 변경들을 포함하는) 광학적 특성들을 표현한다. 패턴화 디바이스 모델(33)은 설계 특징부들이 패턴화 디바이스의 패턴에서 어떻게 레이아웃되는지를 캡처하고, 그 전체적으로 참조에 의해 편입되는 예를 들어, 미국 특허 제7,587,704호에서 설명된 바와 같이, 패턴화 디바이스 및 패턴화 디바이스 패턴의 상세한 물리적 성질들의 표현을 포함할 수 있다.
레지스트 모델(37)은 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위하여 이용될 수 있다. 이러한 레지스트 모델의 예는 그 전체적으로 참조에 의해 본원에 편입되는 미국 특허 제8,200,468호에서 발견될 수 있다. 레지스트 모델은 전형적으로, 예를 들어, 기판 상에서 형성된 레지스트 특징부들의 윤곽들을 예측하기 위하여, 레지스트 노출, 노출후 베이크(PEB), 및 현상 동안에 발생하는 화학적 프로세스들의 효과들을 설명하고, 따라서, 레지스트 모델은 전형적으로, 레지스트 층의 이러한 성질들(예컨대, 노출, 노출후 베이크, 및 현상 동안에 발생하는 화학적 프로세스들의 효과들)에 오직 관련된다. 실시예에서, 레지스트 층의 광학적 성질들, 예컨대, 굴절률, 막 두께, 전파 및 편광 효과들은 투영 광학기기들 모델(32)의 일부로서 캡처될 수 있다.
이 모델들을 가진다면, 에어리얼 이미지(36)는 조명 모델(31), 투영 광학기기들 모델(32), 및 패턴화 디바이스 패턴 모델(33)로부터 시뮬레이팅될 수 있다. 에어리얼 이미지(AI)는 기판 레벨에서의 방사 세기 분포이다. 리소그래픽 투영 장치의 광학적 성질들(예컨대, 조명, 패턴화 디바이스, 및 투영 광학기기들의 성질들)은 에어리얼 이미지를 기술한다.
기판 상의 레지스트 층은 에어리얼 이미지에 의해 노출되고, 에어리얼 이미지는 그 안에서의 잠복성 "레지스트 이미지"(RI)로서 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서의 레지스트의 용해도의 공간적 분포로서 정의될 수 있다. 레지스트 이미지(38)는 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 시뮬레이팅될 수 있다. 따라서, 일반적으로, 광학적 및 레지스트 모델 사이의 연결은, 기판 상으로의 방사의 투영, 레지스트 계면에서의 굴절, 및 레지스트 막 적층체에서의 다수의 반사들로부터 발생하는, 레지스트 층 내의 시뮬레이팅된 에어리얼 이미지 세기이다. 방사 세기 분포(에어리얼 이미지 세기)는, 확산 프로세스들 및 다양한 적재 효과들에 의해 추가로 수정되는 입사 에너지의 흡수에 의해 잠복성 "레지스트 이미지"로 변환된다. 전체-칩 애플리케이션들을 위하여 충분히 고속인 효율적인 시뮬레이션 방법들은 2 차원 에어리얼(및 레지스트) 이미지에 의해 레지스트 적층체에서의 현실적인 3 차원 세기 분포를 근사화한다.
실시예에서, 레지스트 이미지는 패턴 전사후 프로세스 모델(39)에 대한 입력으로서 이용될 수 있다. 패턴 전사후 프로세스 모델(39)은 하나 이상의 레지스트 현상후 프로세스들(예컨대, 에치, CMP 등)의 성능을 정의하고, 에치후(post-etch) 이미지를 생성할 수 있다.
이에 따라, 모델 공식은 전체적인 프로세스의 알려진 물리학 및 화학의 전부는 아니더라도 대부분을 설명하고, 모델 파라미터들의 각각은 바람직하게는, 분명한 물리적 또는 화학적 효과에 대응한다. 모델 공식은 이에 따라, 모델이 전체적인 제조 프로세스를 시뮬레이팅하기 위하여 어떻게 양호하게 이용될 수 있는지에 대한 상한을 설정한다.
패턴화 프로세스의 시뮬레이션은 예를 들어, 에어리얼, 레지스트 및/또는 에칭된 이미지에서의 윤곽들, CD들, 에지 배치(예컨대, 에지 배치 오차), 패턴 시프트 등을 예측할 수 있다. 이에 따라, 시뮬레이션의 목적은 예를 들어, 인쇄된 패턴의 에지 배치, 및/또는 윤곽들, 및/또는 패턴 시프트, 및/또는 에어리얼 이미지 세기 기울기, 및/또는 CD 등을 정확하게 예측하기 위한 것이다. 이 값들은 예컨대, 패턴화 프로세스를 정정하고, 결함이 어디에서 발생하는 것으로 예측되는지를 식별하는 등을 위하여, 의도된 설계에 대하여 비교될 수 있다. 의도된 설계는 일반적으로, GDSII 또는 OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 사전-OPC 설계 레이아웃으로서 정의된다.
패턴화 디바이스 패턴을 다양한 리소그래픽 이미지들(예컨대, 에어리얼 이미지, 레지스트 이미지 등)로 변환하고, 그 기법들 및 모델들을 이용하여 OPC를 적용하고, (예컨대, 프로세스 윈도우의 측면에서) 성능을 평가하기 위하여 이용된 기법들 및 모델들의 세부사항들은, 각각의 개시내용이 그 전체적으로 참조에 의해 본원에 편입되는 미국 특허 출원 공개 제2008-0301620호, 제2007-0050749호, 제2007-0031745호, 제2008-0309897호, 제2010-0162197호, 제2010-0180251호, 및 제2011-0099526호에서 설명된다.
모델들을 평가하는 속력을 촉진시키기 위하여, 패턴화 디바이스 패턴으로부터, "클립(clip)들"로서 지칭되는 하나 이상의 부분들이 식별될 수 있다. 특정 실시예에서는, 패턴화 디바이스 패턴에서의 정교한 패턴들(임의의 수의 클립들이 이용될 수 있지만, 전형적으로 약 50 내지 1000 클립들)을 표현하는 클립들의 세트가 추출된다. 당해 분야의 당업자들에 의해 인식되는 바와 같이, 이 패턴들 또는 클립들은 설계의 작은 부분들(즉, 회로들, 셀들, 또는 패턴들)을 표현하고, 특히, 클립들은 특정한 주의 및/또는 검증이 필요한 작은 부분들을 표현한다. 다시 말해서, 클립들은 패턴화 디바이스 패턴의 부분들일 수 있거나, 유사할 수 있거나, 임계적인 특징부들이 (고객에 의해 제공된 클립들을 포함하는) 경험에 의해, 시험 및 오차에 의해, 또는 전체-칩 시뮬레이션을 작동시키는 것에 의한 것 중의 어느 하나로 식별되는 패턴화 디바이스 패턴의 부분들의 유사한 거동을 가질 수 있다. 클립들은 통상적으로 하나 이상의 테스트 패턴들 또는 게이지 패턴(gauge pattern)들을 포함한다. 클립들의 초기의 더 큰 세트는 특정한 이미지 최적화를 요구하는 패턴화 디바이스 패턴에서의 알려진 임계적인 특징부 면적들에 기초하여 고객에 의해 선험적으로 제공될 수 있다. 대안적으로, 또 다른 실시예에서, 클립들의 초기의 더 큰 세트는 임계적인 특징부 면적들을 식별하는 일부 종류의 (머신 비전(machine vision)과 같은) 자동화된 또는 수동적인 알고리즘을 이용함으로써 전체 패턴화 디바이스 패턴으로부터 추출될 수 있다.
또한, 패턴화 디바이스 상의, 또는 패턴화 디바이스에 의해 제공된 다양한 패턴들은 상이한 프로세스 윈도우들, 즉, 프로세싱 변수들 하에서 패턴이 사양 내에서 생성될 프로세싱 변수들의 공간을 가질 수 있다. 잠재적인 시스템 결함들에 관련되는 패턴 사양들의 예들은 네킹, 라인 풀 백, 라인 박형화, CD, 에지 배치, 중첩, 레지스트 상단 손실, 레지스트 언더컷, 및/또는 브리징에 대한 체크들을 포함한다. 패턴화 디바이스 또는 그 면적 상의 모든 패턴들의 프로세스 윈도우는 각각의 개별적인 패턴의 (예컨대, 중첩하는) 프로세스 윈도우들을 병합함으로써 획득될 수 있다. 모든 패턴들의 프로세스 윈도우의 경계는 개별적인 패턴들의 일부의 프로세스 윈도우들의 경계들을 포함한다. 다시 말해서, 이 개별적인 패턴들은 모든 패턴들의 프로세스 윈도우를 제한한다. 이 패턴들은 본원에서 상호 교환가능하게 이용되는 "핫 스폿들" 또는 "프로세스 윈도우 제한 패턴(PWLP)들"로서 지칭될 수 있다. 예를 들어, 본원에서 설명된 모델링을 이용하여 패턴화 프로세스의 이룹를 설계하고, 수정하는 등을 할 때, 핫 스폿들에 초점을 맞추는 것이 가능하고 경제적이다. 핫 스폿들이 결함이 있지 않을 때, 모든 패턴들이 결함이 있지 않을 가능성이 가장 많다.
패턴화 프로세스의 모델링으로 다시 돌아가면, 최적화는 예를 들어, 다음과 같은 목적 함수를 이용하여 수행될 수 있고,
(15)
여기서, 은 N 개의 설계 변수들 또는 그 값들이고; 의 설계 변수들의 값들의 세트에 대한 p-번째 평가 포인트에서의 결함 메트릭의 함수일 수 있다. 은 p-번째 평가 포인트에 배정된 가중치 상수이다. 다른 것들보다 더 임계적인 평가 포인트 또는 패턴은 더 높은 값을 배정받을 수 있다. 더 큰 수의 출현들을 갖는 패턴들 및/또는 평가 포인트들은 또한, 더 높은 값을 배정받을 수 있다. 평가 포인트들의 예들은 기판 상의 임의의 물리적 포인트 또는 패턴, 또는 패턴화 디바이스 패턴 상의 임의의 포인트, 또는 레지스트 이미지, 또는 에어리얼 이미지일 수 있다.
목적 함수는 리소그래픽 투영 장치 또는 기판의 패턴화 프로세스와 같은, 패턴화 프로세스의 임의의 적당한 특성들, 예를 들어, 포커스, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전 등을 표현할 수 있다. 예를 들어, 목적 함수는 다음의 리소그래픽 메트릭들: 에지 배치 오차, 임계 치수, 레지스트 윤곽 거리, 최악의 결함 크기, 패턴 시프트, 확률론적 효과, 패턴화 디바이스의 3 차원 효과, 레지스트의 3 차원 효과, 최상의 포커스 시프트, 동공 충전 인자, 노출 시간, 및/또는 스루풋 중의 하나 이상의 함수일 수 있다. 기판 상의 패턴을 종종 기술하는 것은 레지스트 이미지이므로, 목적 함수는 레지스트 이미지의 일부 특성들을 표현하는 함수들을 종종 포함한다. 예를 들어, 이러한 평가 포인트의 은 간단하게, 레지스트 이미지에서의 결함들의 총 수일 수 있다. 설계 변수들은 조명 모드, 패턴화 디바이스 패턴, 투영 광학기기들, 도우즈, 포커스 등의 조절가능한 파라미터들과 같은 임의의 조절가능한 파라미터들일 수 있다.
리소그래픽 장치는 파면 및 세기 분포의 형상들 및/또는 방사 빔의 위상 시프트를 조절하기 위하여 이용될 수 있는 "파면 조작기(wavefront manipulator)"로 집합적으로 칭해진 하나 이상의 컴포넌트들을 포함할 수 있다. 파면 및 세기 분포는 패턴화 디바이스 이전, 동공 평면 근처, 이미지 평면 근처, 또는 초점 평면 근처와 같은, 리소그래픽 투영 장치의 광학적 경로를 따른 임의의 위치에서 조절될 수 있다. 투영 광학기기들은 예를 들어, 조명, 패턴화 디바이스, 리소그래픽 투영 장치에서의 온도 변동, 및/또는 리소그래픽 투영 장치의 컴포넌트들의 열팽창에 의해 야기된 파면 및 세기 분포의 어떤 왜곡들을 정정하거나 보상하기 위하여 이용될 수 있다. 파면 및 세기 분포를 조절하는 것은 평가 포인트들 및 목적 함수의 값들을 변경할 수 있다. 이러한 변경들은 모델로부터 시뮬레이팅될 수 있거나 실제적으로 측정될 수 있다.
의 정상적인 가중화된 평균 제곱근(RMS)은 으로서 정의되고, 그러므로, 예를 들어, 의 가중화된 RMS를 최소화하는 것은 수학식 15에서 정의된 목적 함수 = 를 최소화하는 것과 동등하다는 것이 주목되어야 한다. 이에 따라, 의 가중화된 RMS 및 수학식 15는 본원에서의 표기 단순화를 위하여 상호 교환가능하게 사용될 수 있다.
또한, 프로세스 윈도우(PW)가 최대화될 경우에, 상이한 PW 조건들로부터의 동일한 물리적 위치를 수학식 15에서의 목적 함수에서의 상이한 평가 포인트들로서 고려하는 것이 가능하다. 예를 들어, N 개의 PW 조건들이 고려될 경우에, 평가 포인트들은 그 PW 조건들에 따라 카테고리화될 수 있고, 목적 함수들은 다음으로서 기재될 수 있다:
여기서, 은 u-번째 PW 조건 u = 1, …, U 하에서, 의 설계 변수들의 값들의 세트에 대한 pi-번째 평가 포인트의 결함 메트릭의 함수이다. 이 결함 메트릭이 실패율일 때, 위의 목적 함수를 최소화하는 것은 PW를 최대화하는 것으로 이어질 수 있는, 다양한 PW 조건들 하에서 결함들을 최소화하는 것과 동등하다. 특히, PW가 또한, 상이한 패턴화 디바이스 바이어스를 포함할 경우에, 위의 목적 함수를 최소화하는 것은 또한, 기판 EPE와 유도된 패턴화 디바이스 패턴 특징부 에지 바이어스 사이의 비율로서 정의되는 마스크 오차 증대 인자(MEEF)의 최소화를 포함한다.
설계 변수들은 로서 표현될 수 있는 제약들을 가질 수 있고, 여기서, Z은 설계 변수들의 가능한 값들의 세트이다. 제약들은 예컨대, 리소그래픽 투영 장치의 하드웨어 구현예에서의 물리적 한정들을 표현할 수 있다. 제약들은 조율 범위, 패턴화 디바이스 제조가능성을 지배하는 규칙들(MRC), 및/또는 2 개 이상의 설계 변수들 사이의 독립성으로부터 선택된 하나 이상을 포함할 수 있다.
그러므로, 최적화 프로세스는 예컨대, 목적 함수를 최소화하는 제약들 하에서, 설계 변수들의 값들의 세트를 구하기 위한 것이고, 즉, 다음을 구하기 위한 것이다.
실시예에 따른, 리소그래피 투영 장치를 최적화하는 일반적인 방법이 도 19에서 예시된다. 이 방법은 복수의 설계 변수들의 멀티-변수 목적 함수(multi-variable objective function)를 정의하는 단계(302)를 포함한다. 설계 변수들은 조명 모드의 하나 이상의 특성들(300A)(예컨대, 동공 충전 비율, 즉, 동공 또는 애퍼처를 통과하는 조명의 방사의 백분율), 투영 광학기기들의 하나 이상의 특성들(300B), 및/또는 패턴화 디바이스 패턴의 하나 이상의 특성들(300C)로부터 선택된 임의의 적당한 조합을 포함할 수 있다. 예를 들어, 설계 변수들은 투영 광학기기들의 특성들(300B)이 아니라, 조명 모드의 특성들(300A) 및 패턴화 디바이스 패턴의 특성들(300C)(예컨대, 전역적 바이어스(global bias))을 포함할 수 있고, 이것은 SMO로 이어진다. 대안적으로, 설계 변수들은 조명 모드의 특성들(300A), 투영 광학기기들의 특성들(300B), 및 패턴화 디바이스 패턴의 특성들(300C)을 포함할 수 있고, 이것은 조명, 패턴화 디바이스 패턴, 및 투영 광학기기들의 최적화(때때로, 소스-마스크-렌즈 최적화(source-mask-lens optimization; SMLO)로서 지칭됨)로 이어진다. 단계(304)에서, 설계 변수들은 목적 함수가 수렴을 향해 이동되도록 동시에 조절된다. 단계(306)에서는, 미리 정의된 종결 조건이 만족되는지 여부가 결정된다. 미리 결정된 종결 조건은 다양한 가능성들을 포함할 수 있고, 예컨대, 목적 함수는 이용된 수치 기법에 의해 요구된 바와 같이 최소화될 수 있거나 최대화될 수 있고, 목적 함수의 값은 임계치 값과 동일하거나 임계치 값을 교차하였고, 목적 함수의 값은 사전설정된 오차 제한 내에 도달하였고, 및/또는 목적 함수 평가의 사전설정된 수의 반복들에 도달한다. 단계(306)에서의 종결 조건이 만족될 경우에, 방법은 종료된다. 단계(306)에서의 종결 조건이 만족되지 않을 경우에, 단계들(304 및 306)은 희망된 결과가 획득될 때까지 되풀이하여 반복된다. 동공 충전 인자, 레지스트 화학, 스루풋 등과 같은 인자들에 의해 야기된 물리적 규제들이 있을 수 있으므로, 최적화는 반드시 설계 변수들에 대한 값들의 단일 세트로 이어지지는 않는다. 최적화는 설계 변수들에 대한 값들의 다수의 세트들 및 연관된 성능 특성들(예컨대, 스루풋)을 제공할 수 있고, 리소그래픽 장치의 사용자가 하나 이상의 세트들을 택하는 것을 허용한다.
실시예에서는, 조명 시스템 및/또는 투영 광학기기들의 광학적 특성들에 대한 효과를 계산하고 및/또는 결정하는 것 대신에 또는 이에 추가적으로, 조명 시스템 및/또는 투영 광학기기들의 조절가능한 광학적 특성들이 설계 변수들 내에 포함될 수 있다는 것이 상상된다. 예시적인 조절가능한 광학적 특성들은 하나 이상의 렌즈 조작기들, 온도 데이터, 또는 조명 시스템 및/또는 투영 시스템의 광학적 엘리먼트의 온도를 제어하기 위하여 사용된 하나 이상의 디바이스들, 예컨대, 히터의 온도 데이터와 연관된 신호, 하나 이상의 제르니케 계수들 등을 포함할 수 있다. SMO 또는 SMLO 절차가 그 다음으로 수행될 수 있고, 조절가능한 광학적 특성들을 포함하는 설계 변수들은 목적 함수가 수렴을 향해 이동되도록 동시에 조절될 수 있다.
도 19에서, 모든 설계 변수들의 최적화는 동시에 실행된다. 이러한 흐름은 동시 최적화, 합동 최적화, 또는 공동-최적화로 칭해질 수 있다. 본원에서 이용된 바와 같은 용어들 "동시적인", "동시에", "합동", 및 "합동으로"는 조명 모드, 패턴화 디바이스, 투영 광학기기들, 및/또는 임의의 다른 설계 변수들의 특성들의 설계 변수들이 동시에 변경되도록 허용된다는 것을 의미한다.
대안적으로, 모든 설계 변수들의 최적화는 도 20에서 예시된 바와 같이 교대로 실행된다. 이 흐름에서, 각각의 단계에서는, 일부 설계 변수들이 고정되는 반면, 다른 설계 변수들은 목적 함수의 평가의 일부로서 최적화되고; 그 다음으로, 다음 단계에서는, 변수들의 상이한 세트가 고정되는 반면, 다른 것들은 목적 함수의 평가의 일부로서 최적화된다. 이 단계들은 수렴 또는 어떤 종결 조건들이 충족될 때까지 교대로 실행된다. 도 20의 비-제한적인 예의 플로우차트에서 도시된 바와 같이, 먼저, 패턴화 디바이스 패턴(단계(402))이 획득되고, 그 다음으로, 조명 모드 최적화의 단계가 단계(404)에서 실행되고, 여기서, 조명 모드의 모든 설계 변수들은 적당한 목적 함수의 평가의 일부로서 최적화(SO)되는 반면, 모든 다른 설계 변수들은 고정된다. 그 다음으로, 다음 단계(406)에서는, 마스크 최적화(MO)가 수행되고, 여기서, 패턴화 디바이스의 모든 설계 변수들은 적당한 목적 함수의 평가의 일부로서 최적화되는 반면, 모든 다른 설계 변수들은 고정된다. 이 2 개의 단계들은 어떤 종결 조건들이 단계(408)에서 충족될 때까지 교대로 실행된다. 목적 함수의 값이 임계치 값과 동일해지는 것, 목적 함수의 값이 임계치 값을 교차하는 것, 목적 함수의 값이 사전설정된 오차 제한 내에 도달하는 것, 또는 목적 함수의 평가의 사전설정된 수의 반복들에 도달하는 것 등과 같은 다양한 종결 조건들이 이용될 수 있다. SO-MO-교대-최적화는 대안적 흐름을 위한 예로서 이용된다는 것에 주목한다. 교대 흐름은 SO-LO-MO-교대-최적화와 같은 많은 상이한 형태들을 취할 수 있고, 여기서, SO, LO(렌즈 최적화)가 실행되고, MO는 교대로 그리고 반복적으로 실행되거나; 제1 SMO는 한번 실행될 수 있고, 그 다음으로, LO 및 MO를 교대로 그리고 반복적으로 실행할 수 있는 등과 같다. 최종적으로, 최적화 결과의 출력은 단계(410)에서 획득되고, 프로세스는 정지된다.
이전에 논의된 바와 같은 패턴 선택 알고리즘은 동시 또는 교대 최적화와 통합될 수 있다. 예를 들어, 교대 최적화가 채택될 때, 먼저, 전체-칩 SO가 수행될 수 있고, '핫-스폿들' 및/또는 '웜 스폿(warm spot)들'이 식별되고, 그 다음으로, MO가 수행된다. 본 개시내용을 고려하면, 하위-최적화들의 수 많은 치환들 및 조합들은 희망된 최적화 결과들을 달성하기 위하여 가능하다.
도 21은 최적화의 하나의 예시적인 방법을 도시하고, 여기서, 목적 함수가 정의되고 평가된다. 단계(502)에서는, 만약 존재할 경우에, 그 조율 범위들을 포함하는 설계 변수들의 초기 값들이 획득된다. 단계(504)에서는, 멀티-변수 목적 함수가 셋업된다. 단계(506)에서, 목적 함수는 제1 반복 단계를 위한 설계 변수들의 시작 포인트 값 주위의 충분히 작은 이웃 내에서 확대된다(i=0). 단계(508)에서, 표준적인 멀티-변수 최적화 기법들은 목적 함수를 최소화하거나 최대화하기 위하여 적용된다. 최적화는 508에서의 최적화 프로세스 동안에, 또는 최적화 프로세스에서의 더 이후의 스테이지에서, 조율 범위들과 같은 제약들을 가질 수 있다는 것에 주목한다. 각각의 반복은 리소그래픽 프로세스를 최적화하도록 선택되었던 식별된 평가 포인트들에 대한 주어진 테스트 패턴들(또한, "게이지(gauge)들"로서 알려짐)을 이용하여 평가된다. 단계(510)에서는, 리소그래픽 응답(예컨대, 에어리얼 이미지의 하나 이상의 어떤 특성들, 레지스트 이미지의 하나 이상의 어떤 특성들, 또는 프로세스 윈도우와 같은 리소그래픽 프로세스의 하나 이상의 어떤 특성들)이 예측된다. 단계(512)에서는, 단계(510)의 결과가 희망된 또는 이상적인 리소그래픽 응답 값과 비교된다. 종결 조건이 단계(514)에서 만족될 경우에, 즉, 최적화는 희망된 값에 충분히 근접한 리소그래픽 응답 값을 생성하고, 그 다음으로, 설계 변수들의 최종적인 값이 단계(518)에서 출력된다. 출력 단계는 또한, 동공 평면(또는 다른 평면들)에서의 파면 수차-조절된 맵, 최적화된 조명 모드 맵, (예컨대, 광학적 근접성 정정들을 포함하는) 최적화된 패턴화 디바이스 패턴 등을 출력하는 것과 같이, 설계 변수들의 최종적인 값들을 이용하여 다른 함수들을 출력하는 것을 포함할 수 있다. 종결 조건이 만족되지 않을 경우에, 단계(516)에서는, 설계 변수들의 값들이 i-번째 반복의 결과로 업데이트되고, 프로세스는 다시 단계(506)로 간다. 가우스-뉴튼(Gauss-Newton) 알고리즘, 레벤버그-마쿼트(Levenberg-Marquardt) 알고리즘, 경도 하강(gradient descent) 알고리즘, 시뮬레이팅된 어닐링(simulated annealing), 유전자 알고리즘(genetic algorithm) 등과 같은 알고리즘은 목적 함수를 평가하고 풀기 위하여 적용될 수 있다.
패턴화 프로세스를 최적화하는 것은 프로세스 윈도우를 확대할 수 있다. 더 큰 프로세스 윈도우는 프로세스 설계 및 디바이스 설계에 있어서 더 많은 신축성을 제공한다. 프로세스 윈도우는 포커스 및 도우즈 값들의 세트로서 정의될 수 있고, 포커스 및 도우즈 값들의 세트에 대하여, 레지스트 이미지는 레지스트 이미지의 설계 타겟의 어떤 제한 내에 있다. 여기에서 논의된 모든 방법들은 또한, 노출 도우즈 및 디포커스(defocus)에 추가적인, 또는 노출 도우즈 및 디포커스 이외의, 상이한 또는 추가적인 기본 파라미터들에 의해 확립될 수 있는 일반화된 프로세스 윈도우 정의로 확장될 수 있다. 이것들은 NA, 광학적 시그마, 광학적 수차들, 편광, 또는 레지스트 층의 광학적 상수들과 같은 광학적 설정들을 포함할 수 있지만, 이것으로 제한되지는 않는다. 예를 들어, 더 이전에 설명된 바와 같이, PW가 또한 상이한 마스크 바이어스를 수반할 경우에, 최적화는 MEEF의 최소화를 포함할 수 있다. 포커스 및 도우즈 값들에 대해 정의된 프로세스 윈도우는 이 개시내용에서의 예로서 오직 역할을 한다.
실시예에 따른, 프로세스 윈도우를 최대화하는 방법이 이하에서 설명된다. 제1 단계에서, 프로세스 윈도우에서의 알려진 조건 으로부터 시작하면, 여기서, 은 명목 포커스이고 은 명목 도우즈이고, 근처에서 이하의 일 예의 목적 함수들 중의 하나의 최소화가 수행된다:
또는
명목 포커스 및 명목 도우즈 가 시프팅하도록 허용될 경우에, 이들은 설계 변수들 과 합동으로 최적화될 수 있다. 다음 단계에서는, 의 값들의 세트가 목적 함수가 사전설정된 제한 내에 있도록 구해질 수 있을 경우에, 은 프로세스 윈도우의 일부로서 수용된다.
대안적으로, 포커스 및 도우즈가 시프팅하도록 허용되지 않을 경우에, 설계 변수들 은 명목 포커스 및 명목 도우즈 에서 고정된 포커스 및 도우즈로 최적화된다. 대안적인 실시예에서, 의 값들의 세트가 목적 함수가 사전설정된 제한 내에 있도록 구해질 수 있을 경우에, 은 프로세스 윈도우의 일부로서 수용된다.
이 개시내용에서 더 이전에 설명된 방법들은 수학식 (18) 또는 (19)의 개개의 목적 함수들을 최소화하기 위하여 이용될 수 있다. 설계 변수들이 제르니케 계수들과 같은, 투영 광학기기들의 특성들일 경우에, 수학식 (18) 또는 (19)의 목적 함수들을 최소화하는 것은 투영 광학기기들 최적화, 즉, LO에 기초한 프로세스 윈도우 최대화로 이어진다. 설계 변수들이 투영 광학기기들의 특성들에 추가적으로, 조명 모드 및 패턴화 디바이스 패턴의 특성들일 경우에, 수학식 (18) 또는 (19)의 목적 함수를 최소화하는 것은 SMLO에 기초한 프로세스 윈도우 최대화로 이어진다. 설계 변수들이 조명 모드 및 패턴화 디바이스 패턴의 특성들일 경우에, 수학식 (18) 또는 (19)의 목적 함수를 최소화하는 것은 SMO에 기초한 프로세스 윈도우 최대화로 이어진다.
위에서 설명된 최적화는 의 값들의 세트를 구하여 리소그래픽 프로세스에 불리할 수 있는 많은 물리적 효과들을 감소시키기 위하여 이용될 수 있다. 다수의 최적화들은 상이한 물리적 효과들에 대하여 직렬로, 리소그래픽 장치의 상이한 컴포넌트들 상에서, 그리고 상이한 조건들 하에서 행해질 수 있다.
위에서 논의된 소스/마스크/소스-마스크 최적화에 관련된 방법들은 프로세스 윈도우의 전반에 걸쳐 인쇄된 웨이퍼 윤곽을 최적화하도록 선택된 선형화된 함수들의 이용을 포함한다. 포토리소그래피 시스템들에서의 광학기기들은 프로세스 제약들의 대부분을 결정하는 경향이 있으므로, 메리트 함수는 전형적으로, 순수한 광학적 모델에 기초한다. 하나의 예에서, 메리트 함수는 프로세스 윈도우의 전반에 걸쳐 설계 레이아웃의 최악의 에지 배치 오차를 감소시키도록 선택될 수 있다.
본 개시내용은 기판 상에서 인쇄되어야 할 하나 이상의 특징부들에 관련된, 결함 메트릭으로서 표현된 결함 기준들에 기초하여 프로세스 윈도우를 획득하기 위한 방법을 설명한다. 예를 들어, 프로세스 윈도우는 예를 들어, 타겟 설계 내의 어떤 특징부들 및/또는 패턴화 디바이스 레이아웃(예컨대, 곡선적(curvilinear) 패턴들 또는 맨해튼(Manhattan) 패턴들을 가지는 마스크 레이아웃)의 실패들에 민감한 도우즈 및/또는 포커스 값들(또한, 도우즈/포커스 설정들로서 지칭됨)의 세트일 수 있다. 실시예에서, 결함들은 특징부 크기, 기판 상의 특정한 위치에서의 특징부들의 상대적으로 높은 밀도, 또는 그 조합으로 인해 발생할 수 있다. 실시예에서, 패턴화 프로세스의 모델은 이러한 결함 출현들을 최적화하도록 구성될 수 있다. 실시예에서, 결함들의 최적화는 패턴화 프로세스가 최소 수의 하나 이상의 결함들 또는 총 수의 결함들을 생성하도록, 소스 특성들, 패턴화 디바이스 레이아웃 특성들, 또는 그 조합과 같은, 개시내용에서 더 이전에 논의된 바와 같은 패턴화 특성들을 수정하는 것을 수반할 수 있다. 이에 따라, 실시예에 따르면, 이러한 결함 기반 최적화의 결과는 소스 및/또는 마스크 특성들, 및/또는 패턴화 프로세스의 더 적은 결함들 및/또는 높은 수율로 귀착되는 프로세스 윈도우일 것이다. 본 방법은 소스/마스크/소스-마스크 최적화로 제한되지 않고, 패턴화 프로세스의 다른 모델들(예컨대, 투영 광학기기들 모델, 레지스트 모델 등)이 또한, 결함 기반 최적화를 포함하도록 구성될 수 있다는 것이 당해 분야의 당업자에 의해 이해될 수 있다.
실시예에서, 결함들은 희망된 범위를 넘어서는(예컨대, 3 시그마 레벨을 넘어서는) 패턴화 디바이스에서의 변동들로 인해 발생할 수 있다. 예를 들어, 국소적 CD 변동들은 패턴화 프로세스에 의해 인쇄된 특징부들이 인쇄된 기판 상에서 결함을 야기시킬 가능성이 있다는 것을 표시하는 더 높은 시그마 레벨(예컨대, 3 초과의 시그마 레벨)에서 (예컨대, CD 값들의 분포에 있어서) 매우 비대칭적인 꼬리(tail)들을 야기시키는 광자(photon) 및 산성 샷(acid shot) 잡음으로 인해 관찰될 수 있다. 그러나, 프로세스 모델들(예컨대, SMO)의 시뮬레이션 동안에는, 전형적으로, 3 시그마 변동들을 갖는 대칭적인 꼬리들(또는 대칭적인 분포)을 가지는 파라미터 변동이 가정된다. 이와 같이, 프로세스 모델들은 결함들을 예측하거나, 프로세스 변동들로 인한 이러한 경함들을 최소화할 패턴화 프로세스 특성들(예컨대, 소스 특성, 마스크 특성, 및/또는 패턴화 윈도우)을 결정하기 위하여 용이하게 이용가능하지 않을 수 있다. 다음의 방법은 패턴화 프로세스 특성들의 이러한 결함 기반 결정을 편입시키기 위한 프로세스를 설명한다. 본원에서 이용된 파라미터를 참조하는 용어 "분포"는 파라미터의 확률 밀도 함수 도는 변동을 지칭한다. 실시예에서, 확률 밀도 함수는 특정한 이벤트(예컨대, 결함)의 출현의 확률을 제공한다.
도 22는 패턴화 프로세스에서의 변동들로 인해 발생할 수 있는 결함들에 기초하여 프로세스 윈도우를 결정하기 위한 방법의 플로우차트이다. 실시예에서, 프로세스 윈도우는 예를 들어, 결함들의 수에 기초한 메리트 함수를 포함하는 패턴화 프로세스의 프로세스 모델(예컨대, SMO)의, 예를 들어, 시뮬레이션을 통해 결정될 수 있다.
방법은 프로세스(P1801)에서, 패턴화 프로세스의 제1 파라미터(예컨대, 도우즈, 포커스 등)의 변동(1801), 제1 파라미터와 제2 파라미터 사이의 관계(예컨대, 더 이전에 논의된 도우즈-CD 관계)에 기초한 제2 파라미터(예컨대, CD, 오버레이 등)의 변동(1802), 및 패턴화 프로세스의 프로세스 모델(1803)(예컨대, SMO 모델)을 획득하는 것을 수반한다.
패턴화 프로세스의 제1 파라미터(예컨대, 도우즈, 포커스 등)의 변동(1801)은 패턴화 프로세스의 국소적 파라미터 균일성 모델의 시뮬레이션에 기초하여 획득될 수 있다. 실시예에서, 국소적 파라미터 균일성 모델은 기판 상의 상이한 위치들에서 크기 및 형상에서의 어떤 균일성을 갖는 상이한 CD 값들을 가지는 특징부들을 인쇄하기 위한 도우즈 값들을 결정하도록 구성된 국소적 CD 균일성 모델이다.
실시예에서, LCDU 모델은 예를 들어, 선형 회귀, 비선형 회귀, 확률 분포 맞춤 등과 같은 통게적 데이터 맞춤 방법들에 기초하여 맞추어지는 도우즈 및 포커스의 함수일 수 있다. 일 예의 모델은 에 의해 주어지고, 여기서, a 및 b는 맞춤 파라미터들이고, 은 예를 들어, 알려진 보썽 맞춤 방법으로부터 연산될 수 있는 도우즈 감도이다.
실시예에서, 상이한 "보썽" 맞춤은 예를 들어, 국소적 CD를 결정하기 위하여 수행될 수 있다:
본 개시내용은 특정한 LCDU 모델, 보썽 맞춤 모델 등으로 제한되지는 않는다. 모델 맞춤을 위한 모델 파라미터들의 수는 반복가능성 및 정확도를 계측하기 위하여, 프로세스 윈도우 및 그 감도를 통한 국소적 CD 종성질의 정확한 설명을 균형화하도록 선택된다. 몇몇 모델들은 LCDU-데이터를 맞추기 위하여 이용가능하다. 예를 들어, NILS/ILS 기반 모델들은 배경들 분포를 포함하거나 제외하고, 예컨대, LCDU = a + b / NILS 또는 LCDU = √ ( a2 + (b/NILS)2 ) 등이다.
실시예에서, 제1 파라미터의 변동은 실험 데이터, 예를 들어, 리소그래픽 장치에 관련된 측정들, 또는 인쇄된 기판에 관련된 측정들에 기초하여 획득될 수 있다. 그러나, 본 개시내용은 패턴화 프로세스의 특정한 파라미터, 또는 특정한 파라미터의 변동을 획득하는 방법으로 제한되지는 않는다. 실시예에서, 패턴화 프로세스의 제1 파라미터는 도우즈, 포커스, 또는 다른 관련된 파라미터들일 수 있다.
실시예에서, 패턴화 프로세스의 제1 파라미터(예컨대, 도우즈, 포커스 등)의 변동(1801)은 제1 파라미터와 제2 파라미터 사이의 관계(예컨대, 더 이전에 논의된 도우즈-CD 관계)에 기초하여 획득될 수 있다. 제1 파라미터(예컨대, 도우즈)와 제2 파라미터(예컨대, CD) 사이의 관계는 물리학 기반 모델들, 경험적 모델, 또는 입력으로서의 제1 파라미터의 값들에 기초하여 제2 파라미터 값들을 예측하도록 구성된 머신 학습 모델들일 수 있다. 실시예에서, 경험적 모델 또는 머신 학습 모델은 패턴화 프로세스를 겪는 인쇄된 기판의 실험적 데이터를 이용하여 확립될 수 있다.
실시예에서, 프로세스 모델(1803)은 패턴화 프로세스의 특성들을 예측하도록 구성된 임의의 모델일 수 있다. 예를 들어, 프로세스 모델(1803)은 소스 모델, 마스크 모델, 및/또는 소스-마스크 최적화 모델일 수 있고, 여기서, 개개의 모델들은 더 이전에 논의된 바와 같이, 최적 소스 특성들, 마스크 특성들, 및/또는 소스-마스크 특성들을 결정하도록 구성된다.
방법은 프로세스(P1802)에서, 제1 파라미터의 변동을 수정하기 위하여 제1 파라미터와 제2 파라미터 사이의 관계에서 가우시안 분포(Gaussian distribution)를 삽입하는 것을 수반한다. 실시예에서, 가우시안 분포는 가우시안 도우즈 분포일 수 있다. 실시예에서, 가우시안 분포는 예를 들어, 7 시그마 레벨로의 제1 파라미터의 변동(1801)의 수정을 허용하기 위하여 3 시그마 이상의 변동을 가진다. 실시예에서, 가우시안 분포의 삽입은 제1 파라미터와 제2 파라미터 사이의 관계와의 가우시안 분포의 가산(addition) 또는 콘볼루션(convolution)을 수반할 수 있다.
도 25a 및 도 25b는 CD의 PDFCD를 결정하기 위하여, 가우시안 도우즈 분포(2501)를, 도우즈 및 CD와 같은, 패턴화 프로세스의 2 개의 파라미터들 사이의 관계(2503)에 적용하는 예를 예시한다. PDFCD는 실패 확률들 또는 결함 확률들을 결정하기 위하여 추가로 이용될 수 있다. 결함 확률들에 기초하여, 프로세싱 윈도우(예컨대, 도우즈 및 포커스의 값들)가 결정된다. 이에 의하여, 결함-기반 프로세스 윈도우는 높은 또는 희망된 수율이 달성되도록 생성된다. 본 개시내용은 도우즈 및 CD로 제한되지는 않고, 유사한 접근법은 도우즈, 포커스, CD, EPE 등과 같은 프로세스 파라미터들의 다른 조합에 대하여 적용될 수 있다.
도 25a에서, 관계(2503)는 하나 초과의 파라미터 사이의 시뮬레이션 또는 측정 데이터에 기초한 임의의 함수 관계일 수 있다. 실시예에서, 관계(2503)는 도우즈와 CD 상의 비선형 관계이다. 비선형 관계는 패턴화 프로세스를 표현하도록 구성된 프로세스 모델들의 시뮬레이션에 기초하여, 또는 예를 들어, 계측 도구들로부터 획득된 도우즈 및 CD에 관련된 측정 데이터에 기초하여 획득될 수 있다.
본 개시내용의 방법들에 따르면, 가우시안 도우즈 분포(2501)(또한, PDFdose로서 지칭됨)는 CD의 확률 분포(PDFCD)를 결정하기 위하여 관계(2503)와 콘볼루션될 수 있다. 도 25a는 이러한 PDFCD가 기준 PDF(예컨대, 평균 CD 값 주위의 CD의 정상적인 PDF)와 상이하다는 것을 명확하게 도시한다.
실시예에서, PDFCD는 수학식들의 세트에 기초하여 결정된다. 먼저, PDFdose 및 도우즈의 차분 성분(즉, Δdose)은 (결정되어야 할) PDFCD 및 CD의 차분 성분(즉, ΔCD)과 동일시되고, 이것은 에 의해 표현될 수 있다. 그 다음으로, 특정한 CD 값에서의 PDFCD는 특정한 CD 값에 대한 PDFdose 및 도우즈 감도(즉, Δdose/ΔCD)의 곱셈을 취함으로써 결정될 수 있고, 이것은 PDFCD = PDFdose@CD Δdose/ΔCD에 의해 표현된다. 도우즈 감도는 CD에서의 변경에 대한 도우즈에서의 변경의 효과를 수량화한다.
실시예에서, LCDU 모델은 더 이전에 논의된 바와 같이, 다음의 수학식 을 이용하여 포커스(F)-노출(E) 매트릭스 상에서 도우즈 변동() 또는 도우즈-분포를 추정하는 것을 허용하고, 여기서, CD에서의 위치 변동은 LCDU 데이터 또는 모델로부터 결정될 수 있고, 항(dCD / ddose)은 도우즈에 대하여 LCDU 모델을 미분함으로써 결정될 수 있다. 실시예에서, 실패율 예측들의 정확도를 추가로 개선시키기 위하여, 국소적 도우즈로 인한 LCDU는 다음과 같이, 추가적인 측정들을 통해 측정된 LCDU를 정정하는 것, 및 계측 잡음(즉, 계측 데이터에서의 잡음)에 관련된 변동 및 마스크와 연관된 변동들을 감산하는 것으로부터 획득될 수 있다:
그 다음으로, 관련된 PDFCD는 다음과 같이, 도우즈, 계측 잡음, 마스크, 및 (정상적인 분포일 수 있는) 배경 BG와 같은 관련된 기여분들의 PDF들을 콘볼루션함으로써 구해질 수 있다:
도 26은 PDF를 결정하기 위하여 적용된 (위에서 논의된 바와 같은) 상이한 방법들에 기초하여 서로 상이한 CD의 상이한 PDF들을 예시한다. 예를 들어: i) PDF1은 도우즈-분포(예컨대, 가우시안 도우즈 분포)를 고려하지 않거나 간단하게 평균 CD 값들에 기초한 CD의 비정정된 PDF이고; (ii) PDF2는 PDF1에 적용될 수 있는 (예컨대, 계측 잡음, 마스크, 배경 등과 연관된) 상이한 정정들의 PDF들이고; (iii) PDF3은 (예컨대, 도 25a 및 도 25b로 논의된 바와 같은) 도우즈-CD 관계에 기초한 콘볼루션된 PDF이고, (iv) PDF4는 상이한 소스들(예컨대, 계측 잡음, 마스크, BG 등)로부터의 변동을 참작하는 정정된 PDF이다. CD의 상이한 PDF는 CD 분포가 결함 확률들을 변경시키는 적용된 도우즈-분포 또는 정정에 따라 변경되어, 패턴화 프로세스의 프로세스 윈도우의 결정에 효과적으로 영향을 준다는 것을 명확하게 보여준다. 일 예의 결함 기반 프로세스 윈도우는 도 27에서 예시되고, 결함-기반 프로세스 윈도우를 결정하기 위하여 이용된 일 예의 실패율들은 도 28a 내지 도 28d에서 예시된다.
또 다른 예에서, 도 23a 내지 도 23c는 제1 파라미터(예컨대, 도우즈)와 제2 파라미터(예컨대, CD 또는 듀티 사이클, 즉, CD/피치) 사이의 관계에서 가우시안 분포를 삽입하는 효과를 예시한다. 도 23a는 에어리얼 이미지에서의 (예컨대, 핫 스폿과 같은 특정한 위치에서의) 국소적 변동을 표현하는 일 예의 가우시안 분포이다.
도 23b는 제1 파라미터 Pa1(예컨대, 도우즈)과 제2 파라미터 Pa2(예컨대, CD 또는 듀티 사이클, 즉, CD/피치) 사이의 일 예의 관계(1902)를 예시한다. 관계(1902)는 시각화 목적들을 위한 그래프(1902)로서 표현된다. 그래프(1902)는 대략적 선형 부분 및 비선형 부분을 포함한다. 선형 부분 또는 선형 관계는 제1 임계치 값(예컨대, 3)과 제2 임계치 값(예컨대, 9) 사이에서 존재하고, 비선형 관계는 제1 및 제2 임계치 값들 외부에 존재한다. 대부분의 경우들에 대하여, 제1 파라미터(예컨대, 도우즈)의 값들은 정상적인 동작 범위 주위(예컨대, 5 주위)에 있고, 여기서, 제1 파라미터와 제2 파라미터 사이의 선형 관계가 관찰된다. 그러나, 제1 파라미터(예컨대, 도우즈)의 값이 임계치 값들 외부, 예를 들어, 제1 임계치(예컨대, 3) 미만에 속할 때, 제1 파라미터(예컨대, 도우즈)와 제2 파라미터(예컨대, CD) 사이의 비선형 관계는 제1 파라미터(예컨대, 도우즈)의 값들에서의 작은 변경에 대한 제2 파라미터(예컨대, CD)의 값들에서의 급속한 변경을 표시한다. 제1 파라미터(예컨대, 도우즈)의 값들에서의 이러한 작은 변경은 선형 관계 내에의 실패율들과 비교하여 더 높은 자릿수만큼 특징부의 실패율을 증가시킬 수 있다.
전형적인 도우즈 변동이 ArFi에 대한 것보다 3 배 초과하여 더 클 수 있으므로, 전술한 쟁점은 EUV 노출들에 대하여 증폭된다. 예를 들어, ArFi는 각각 라인/공간 및 컨택 홀 특징부 유형들에 대하여 대략 12 % 및 대략 8 %의 6 시그마 도우즈 변동을 가진다. 이 전형적인 변동은 시뮬레이팅된 도우즈-감도 및 타겟 도우즈로부터 추정된 CD±10 %에 기초하여 고전적인 리소그래픽 프로세스-윈도우들을 최적화하는 것을 정당화한다. 다른 한편으로, EUV의 경우에, 6 시그마 변동은 각각 동일한 특징부 유형들, 즉, 라인/공간 및 컨택 홀들에 대하여, 예를 들어, 대략 44 % 및 대략 22 % 더 높다. 이러한 도우즈 변동은 실패 확률들의 결정 및 프로세스 윈도우 결정에 효과적으로 영향을 주는, CD와 도우즈 사이의 고도로 비선형 거동으로 귀착된다. EUV의 경우에, 상이한 EUV 특정 파라미터들 사이의 (예컨대, 도우즈-CD와 유사한) 관계는 레티클 상의 특징부들의 국소적 CD 분포에 대한 레티클로부터의 기여분을 추정하기 위하여 이용될 수 있다. 예를 들어, 마스크 오차 증대 인자(MEEF)는 레티클과 CD 사이의 관계를 개발하기 위하여 이용될 수 있다. 이에 따라, 패턴화 프로세스의 상이한 파라미터들로부터의 가변성 기여분들은 실패/결함 확률 결정에서 이용될 수 있음으로써, 결함-기반 프로세스 윈도우를 정확하게 결정할 수 있다.
도 23c는 제1 파라미터와 제2 파라미터 사이의 관계(1902)에서 가우시안 분포(1905)를 삽입하는 것으로부터 기인하는 일 예의 실패율 분포(1910)(또한, 곡선(1910)으로서 지칭됨)를 예시한다. 도 23c에서, 곡선(1910)의 좌측 측부는, 제1 파라미터의 값들이 낮을(예컨대, 제1 임계치 미만) 경우에, 상대적으로 높은 실패율이 관찰될 수 있다는 것을 표시하는 뚱뚱한 꼬리를 가진다. 본 개시내용의 방법에 따르면, 실패율 분포(1910)는 제1 파라미터(예컨대, 도우즈)의 값들에서의 작은 변경에 대한 특정한 특징부의 실패의 확률의 결정을 가능하게 한다. 실패의 확률은 제1 파라미터(예컨대, 도우즈)의 최적 값들을 결정하기 위하여 그리고 일반적으로 실패 확률 또는 결함들에 기초하여 프로세스 윈도우를 정의하기 위하여 추가로 이용될 수 있다.
도 22를 다시 참조하면, 방법은 프로세스(P1803)에서, 프로세스 모델(예컨대, SMO)의 시뮬레이션을 통해, 메리트 함수가 최적화되도록, 메리트 함수에 기초하여 프로세스 윈도우를 식별하는 것을 수반한다. 실시예에서, 메리트 함수는 예를 들어, 결함들의 수, 하나 이상의 유형들의 결함들의 실패율, 또는 다른 적절한 결함 관련된 메트릭의 측면에서, 결함 메트릭으로서 정의된다. 실시예에서, 패턴화 프로세스를 겪는 기판 상의 결함 출현들은 더 이전에 논의된 바와 같이, 제2 파라미터의 실패율 분포(예컨대, 도 23c의 1910)로 귀착되는, 제1 파라미터와 제2 파라미터 상의 관계에서의 가우시안 분포의 삽입의 결과이다. 실시예에서, 프로세스 윈도우의 식별은 제2 파라미터 또는 제2 파라미터에 관련된 인자들의 바이어싱을 수반할 수 있다. 실시예에서, 바이어싱은 예를 들어, 제1 파라미터의 값들 또는 패턴화 디바이스의 특성을 조절함으로써 상이한 방식들로 달성될 수 있다.
실시예에서, 프로세스 윈도우의 식별은 제2 파라미터의 바이어싱(예컨대, 포지티브 CD 바이어스), 제2 파라미터에 영향을 주는 패턴화 디바이스(예컨대, 마스크)의 바이어싱, 제2 파라미터에 영향을 주는 소스의 바이어싱 등을 수반할 수 있다. 실시예에서, 포지티브 바이어스 및/또는 네거티브 바이어스는 기판 상의 다른 위치들에 대하여 상대적으로 더 높은 실패율을 가지는 영역들에서의 특징부에 적용된다. 그러나, 기판 또는 패턴화 디바이스의 이러한 바이어싱은 패턴화 프로세스의 다른 파라미터들, 예를 들어, 오버레이 사이의 절충을 수반한다. 예를 들어, 마스크의 네거티브 바이어싱은 바람직하지 않을 수 있는 증가된 오버레이로 귀착될 수 있다. 이 때문에, 패턴의 증가된 CD가 결함들의 수를 감소시키도록 희망될 수 있지만, 결함들을 감소시키면서, 제2 파라미터에 대한 이러한 조절들에 의해 영향받을 수 있는 추가적인 프로세스 조건들 또는 프로세스 파라미터들이 있을 수 있다. 이와 같이, 최적화된 프로세스 윈도우는 다른 제한하는 프로세스 조건들과 연관된 상이한 프로세스 윈도우들의 교차부일 수 있다.
도 27은 본 개시내용의 방법들에 따라 연산된 결함 또는 실패 확률들에 기초하여 결정된 일 예의 프로세스 윈도우(2701)를 예시한다. 실시예에서, 상한은 예를 들어, 측정된 웨이퍼 데이터로부터 획득될 수 있는 실패율들에 기초하여 계산될 수 있다. 이러한 측정 데이터는 특징부들이 예상된 바와 같이 인쇄하는 것을 실패한 상이한 도우즈/포커스 값들을 수반한다. 예를 들어, 키싱 홀(kissing hole)들 또는 폐쇄된 홀들이 발견된 도우즈/포커스 값들.
프로세스 윈도우(2701)는 위에서 논의된 바와 같이, CD, 도우즈, 및 포커스 사이의 관계로부터 유도될 수 있는 누적 PDFCD 상에서 10-8의 실패율의 임계치 값을 설정함으로써 결정될 수 있다. 프로세스 윈도우(2701)의 중심은 +20 % 도우즈 및 -20 nm 포커스만큼 고전적으로 정의된 프로세스-윈도우와 비교하여 시프팅되고, 프로세스 윈도우 크기가 조절되었다.
도 28a 내지 도 28d는 도 27의 프로세스 윈도우를 연산하기 위하여 이용되는 상이한 도우즈/포커스 값들에서의 일 예의 실패 분포를 예시한다. 도 28a 내지 도 28d에서, 평균 CD는 30 nm 근처이고, CD의 상한은 대략 32 nm로서 선택된다. 또한, 상이한 실패율 PDF들은 예를 들어, 도 28a에서 도표화되고, PDF(2801)는 데이터로부터 획득되고, PDF(2802)는 (예컨대, 위에서 논의된 바와 같이, LCDU 모델 및 도우즈-분포를 이용하여) 비선형 맞춤으로부터 획득되고, PDF(2803)는 표준적인 정상적 CD 분포이다.
도 28a 내지 도 28d는 상이한 도우즈/포커스(D/F) 값들에 대한 상이한 확률 분포를 예시한다. 이에 따라, 상이한 도우즈/포커스 값들에 대하여, 실패 확률들이 연산될 수 있다. 그 다음으로, 실패 확률이 실패율 임계치 값(예컨대, 10-8) 미만인 도우즈/포커스 값들이 선택된다. 실시예에서, 실패율들은 CD-필터(예컨대, 8 nm 미만이고 40 nm 초과인 CD를 제외함)를 설정함으로써 그리고 실패 확률들의 비선형 누적 분포 함수 CDF(E,F)를 이용하여 CD-값들을 결정함으로써 추정될 수 있고, 여기서, CDF(E,F)는 더 이전에 논의된 바와 같이, 예를 들어, 도우즈, 포커스, 마스크 등에서의 변동들을 참작하는 CD의 누적 PDF를 지칭한다.
실시예에서, 방법은 프로세스(P1803)에서, 메리트 함수에 기초하여 프로세스 윈도우를 최적화하기 위한 반복 프로세스인 최적화 프로세스를 수반한다. 최적화 프로세스는 메리트 함수가 (최소화된 실시예에서) 최적화되도록, 패턴화 프로세스의 파라미터들을 반복적으로 수정하는 것을 수반한다. 메리트 함수의 이러한 최적화는 제1 임계치 미만인 제1 파라미터의 값들 및/또는 제2 임계치 초과인 제1 파라미터의 값들로 인한 결함 출현들의 균형화를 지칭한다. 실시예에서, 제1 임계치는 제2 임계치보다 더 낮다. 실시예에서, 메리트 함수의 최적화는 하나 이상의 결함들, 또는 하나 이상의 결함들과 연관된 실패율들의 최소화를 포함한다. 에 대한 수학식에 의해 표현된 일 예의 메리트 함수는 개시내용에서 더 이전에 논의된다.
실시예에서, 결함은 메리트 함수를 정의하기 위하여 추가로 이용될 수 있는 오차율(error rate) 또는 실패율로서 표현될 수 있다. 최적화 프로세스 동안에, 메리트 함수는 (최소화된 실시예에서) 최적화된다. 최적화 프로세스는 결함들(또는 실패율)이 최소화되도록 하는 방식으로 임계 치수를 바이어싱하기 위하여, 패턴화 프로세스의 임계 치수를 조절하는 것을 수반할 수 있다. 조절은 예를 들어, 기판 상에서 인쇄되어야 할 특징부들의 크기를 증가시키거나 감소시키는 것을 수반할 수 있다. 이러한 조절은 예를 들어, 도우즈를 증가시키거나 감소시킴으로써 또는 마스크를 바이어싱함으로써 달성될 수 있다. 본원에서 이용된 바와 같은 용어 '바이어스' 또는 '바이어싱'은 패턴화 프로세스의 파라미터의 값을 증가시키거나 감소시키는 것을 지칭한다.
실시예에서, 웨이퍼의 포지티브 바이어싱은 기판의 특징부의 임계 치수의 크기를 증가시키는 것을 지칭한다. 마스크의 네거티브 바이어싱은 마스크의 특징부의 임계 치수의 크기를 감소시키는 것을 지칭한다. 실시예에서, 임계 치수의 포지티브 바이어싱은 도우즈 값을 증가시킴으로써 달성될 수 있다. 도우즈 값에서의 증가의 양은 도우즈와 CD 사이의 관계에 기초하여 결정될 수 있다. 유사하게, 포지티브 바이어싱은 또한, 특징부 마스크 패턴의 크기를 조절함으로써 달성될 수 있다. 실시예에서, 마스크 패턴에 대한 조절의 양은 마스크의 특정한 위치 내의 특징부들의 밀도, 또는 기판 상에서 인쇄되어야 할 특징부들의 밀도에 종속될 수 있다. 다른 한편으로, CD 값들이 기판 상의 다른 위치들과 비교하여 상대적으로 크거나 덜 밀집된 기판 상의 위치들에 대하여, 방법은 그 특정한 위치들에서의 (예컨대, 오버레이 또는 EPE에서의) 불필요한 절충들을 회피하기 위하여 임의의 바이어싱을 적용하지 않을 수 있다.
실시예에서, 도 22의 방법은 하나 이상의 특정한 결함들의 (실시예 최소화에서의) 최적화에 기초하여 프로세스 윈도우를 결정하도록 구성될 수 있다. 예를 들어, 홀 폐쇄 유형의 결함, 또는 도 11d 및 도 11e에 대하여 논의된 다른 결함들의 최소화. 실시예에서, 어떤 결함들은 레지스트 또는 에치 프로세스와 같은 패턴화후(post-patterning) 프로세스에서 고정될 수 있다. 이러한 결함들은 예를 들어, 도 17에 대하여 논의된 바와 같이, 메리트 함수의 최적화 동안에 더 낮은 가중치를 배정받을 수 있다.
실시예에서, 메리트 함수는 포커스, 오버레이, 및/또는 msdz에 관련된 것과 같이, 다른 프로세스 조건들 중의 하나 이상에 관련된 (메트릭의 형태로 표현된) 제약들을 더 포함할 수 있다. 따라서, 최적화 프로세스는 결함 기반 메트릭, 포커스 기반 메트릭, 오버레이 기반 메트릭, 및/또는 msdz 기반 메트릭 중의 하나 이상을 동시에 최적화하는 것을 수반할 수 있다. 실시예에서, msdz은 이동하는 표준 편차, 즉, 노출 동안에 기판 포지션 제어 루프에 의해 행해진 높이 오차를 지칭한다.
실시예에서는, 결함-기반 프로세스 윈도우가 윈도우, 예컨대, (결함-기반) 최상의 에너지(best energy; BE), 최상의 포커스(best focus; BF), 노출 관용도(exposure latitude; EL), 및 초점 심도(depth of focus; DoF)에 의해 설명된 메리트 함수를 최적화하기 위하여 이용될 수 있는 다양한 설정들(예컨대, msd, 수차들, 또는 레지스트-프로세스 자체, 예컨대, 레지스트-높이)에 대하여 설계될 수 있는 타겟화된 실험. 수차들 및 포커스는 전형적으로, 레지스트 프로파일들에 영향을 주고, 이에 따라, 실패 및 관련된 결함 기반 프로세스 윈도우들에 대한 영향을 가지는 것으로 예상된다. 다수의 특징부들이 라인들 및 선단-선단(tip-tip) 구조물들의 동시 최적화에서 존재할 경우에, 이 실험적으로 획득된 결함 기반 프로세스 윈도우는 또한, 비-자명한 최적화들을 구하기 위하여 이용될 수 있다(예컨대, Z9 수차 또는 추가적인 MSD는 선단들에 대한 더 많은 프로세스 윈도우를 가능하게 하는 더 양호한 프로파일들로 이어지는 것이 가능할 수 있음).
실시예에서, 프로세스 윈도우의 식별은 제1 패턴에 관련된 제1 프로세스 윈도우 및 제2 패턴에 관련된 제2 프로세스 윈도우의 중첩을 수반한다. 제1 패턴 및 제2 패턴은 기판 상의 다른 위치들과 비교하여 특징부들의 상대적으로 더 큰 밀도를 가지는 기판 상의 각각 제1 위치 및 제2 위치에서의 패턴을 지칭할 수 있다. 실시예에서, 제1 위치 및 제2 위치는 상이한 핫 스폿 위치들, 즉, 프로세스 윈도우 제한 패턴들의 위치들일 수 있다.
얼마나 자주 이 핫 스폿 패턴들이 전형적인 다이에서 나타나는지에 따라, 개별적인 패턴들에 대한 상이한 실패율 요건들은 균형화될 수 있거나 공동-평가될 수 있다. 예를 들어, 개별적인 결함-기반 프로세스 윈도우들을 가지는 2 개의 특징부들을 포함하는 다이를 고려한다. 그 다음으로, 2 개의 함수들에 의한 개별적인 특징부의 성공률(즉, 1-실패율)은 CDF1(Dose, Focus) 및 CDF2(Dose,Focus)와 같은 누적 분포 함수에 의해 표현될 수 있다. 제1 특징부는 다이에서 103 회 나타나는 반면, 제2 특징부는 다이에서 108 회 나타난다는 것을 가정한다. 특정한 프로세스 설정에서의 다이의 예상된 실패율을 계산하기 위하여, 조합된 성공률은 CDFdie (Dose, Focus) = CDF1(Dose,Focus)1000 x CDF2(Dose,Focus)100000000에 의해 결정될 수 있다. 조합된 성공률의 이러한 결정은 희망된 바와 같은 많은 특징부들 및 결함-모드들에 대하여 행해질 수 있다.
실시예에서, 위의 방법에서 이용된 프로세스 모델은 SMO 프로세스의 모델일 수 있고, 위에서 논의된 방법은 결함 기반 프로세스 윈도우, 결함들을 최적화(예컨대, 최소화)하는 소스 특성들, 결함들을 최적화(예컨대, 최소화)하는 마스크 특성들을 결정하기 위하여 SMO 프로세스와 통합될 수 있다. 다시 말해서, SMO 프로세스는 결함들을 추가로 고려하기 위하여 수정되거나 세분화될 수 있어서, 패턴화 프로세스의 수율을 효과적으로 개선시킬 수 있다.
실시예에서, SMO 모델(또는 프로세스)을 이용한 결함 기반 프로세스 윈도우의 결정은 결함 메트릭이 감소되도록, 결함 메트릭에 기초하여 소스 및/또는 마스크의 하나 이상의 특성을 조절하는 것을 수반한다. 조절은 마스크를 이용하여 인쇄된 기판 상에서 포지티브 바이어스를 생성하기 위하여 마스크를 바이어싱하는 것을 포함한다. 바이어싱은 패턴 제한 프로세스 윈도우들 내에서의 패턴화에 적용된다.
실시예에서, 가우시안 도우즈 변동이 CD 도우즈 관계에서 삽입될 때, SMO 프로세스는 CD 분포의 낮은 CD 측부 상에서의 "뚱뚱한 꼬리"로 귀착될 것이다. 이 뚱뚱한 꼬리는 작은 CD 값들이 예를 들어, 더 높은 브리징 결함 발생을 희생하면서 회피되도록, 웨이퍼 상의 포지티브 바이어스를 허용함으로써 억제될 수 있다. 대안적으로, 마스크 상의 네거티브 바이어스는 CD 도우즈 관계의 더 높은 도우즈 부분이 홀 붕괴로부터 더 멀어지게 샘플링되도록 적용될 수 있다. SMO 프로세스가 가우시안 국소적 도우즈 변동의 효과로 인한 결함 출현을 참작하는 메리트 함수로 행해질 경우에, 한편으로 낮은 도우즈 다른 한편으로 높은 도우즈로 인한 결함 발생을 균형화하는 최적치가 구해질 수 있다.
이와 같이, 실시예에서, SMO 프로세스는 패턴화 프로세스의 수율을 직접적으로 최적화하고 있다. 프로세스는 패턴 성능(스캐너, 프로세스, 에치)을 나타내는 도우즈, 포커스, msdz, 및/또는 프로세스 조건들 하에서 확률론적 CD 분포를 연산하는 것을 수반한다. 이것은 낮은 CD 편위(excursion)들 및 높은 CD 편위들에 대한 요건들이 매우 상이한 비대칭적인 결함 PW들의 최적화를 허용한다. 예는 홀 폐쇄 발생의 감소이다.
실시예에서, SMO 프로세스에 기초하여 프로세스 윈도우의 최적화를 수행한 후에, 방법은 결함 메트릭을 감소시키기 위하여 마스크 상에서 광학적 근접성 정정(OPC)을 수행하는 것을 더 포함할 수 있다. 예를 들어, OPC는 이하의 도 24에 대하여 추가로 논의된 바와 같이, 특징부가 이웃하는 특징부에 상대적으로 근접하거나 이웃하는 특징부를 터치하도록, 특징부의 임계 치수를 증가시키는 것을 수반할 수 있다.
도 24는 OPC 프로세스 동안의 마스크의 바이어싱의 예를 예시한다. 타겟 패턴은 거리 G1만큼 제2 바아(2002)로부터 이격된 제1 바아(2001)와 같은 특징부들을 포함할 수 있다. 또한, 제1 바아(2001)는 제1 바아(2001)의 길이를 따라 제1 홀(2003) 및 제2 홀(2005)을 포함할 수 있다. 실시예에서, 제2 홀(2005)의 임계 치수는 거리 G1에 대하여 조절될 수 있다. 조절은 그것이 제2 바아(2002)와 교차하도록 되어 있을 수 있다. 전형적으로, 특징부들의 이러한 교차는 바람직하지 않을 수 있다. 이와 같이, 전형적인 OPC 프로세스 동안에, 제2 홀(2005)의 임계 치수는 제2 바아(2002)와의 제2 홀(2005)의 교차를 방지하기 위하여 감소될 수 있다. 그러나, 본 개시내용의 실시예에 따르면, 제2 홀(2005)의 임계 치수에서의 확률론적 변동이 높을 경우에, 그것은 증가된 실패 확률로 이어질 수 있다. 이 때문에, 본 개시내용에 따르면, 제2 바아(2002)에 매우 근접해져서(거의 교차함) 기판 상에서 인쇄되어야 할 패턴에서의 잠재적인 실패 또는 결함을 방지하기 위하여 제2 홀(2005)을 증가시키는 것이 바람직할 수 있다.
실시예에서, 마찬가지로 OPC 동안에도, 상이한 결함이 분석될 수 있다. 예를 들어, 결함들은 도 11d 및 도 11e에서 더 이전에 예시된 바와 같이, 홀 폐쇄, 네킹, 및/또는 브리징일 수 있다. 실시예에서, 결함 기반 프로세스 윈도우 결정은 하나 이상의 결함들을 최소화하는 것에 기초할 수 있다. 예를 들어, 최적화 프로세스 동안에, 특징부들의 CD 값들은 홀 폐쇄 유형의 결함이 최소화되도록, 크기에 있어서 증가하거나 감소하도록 수정될 수 있다.
더 이전에 언급된 바와 같이, 최적화 프로세스는 다른 프로세스 제한 파라미터들(예컨대, 도우즈, 포커스, 오버레이 등)을 수반할 수 있다. 이 때문에, 실시예에서는, 최적화 동안에, CD 분포가 가우시안 도우즈 분포 뿐만 아니라, 기판 상의 위치에서의 전형적인 조건들을 이용하여 계산될 수 있다. 이러한 프로세스 조건들은 포커스, 오버레이, msdz, 및 또한 프로세스(효과적으로는, 도우즈)를 포함하지만, 이것으로 제한되지는 않는다. 실시예에서, 도우즈 대 크기 제약들은 패턴화 프로세스의 생산성에서 가중화하도록 부과될 수 있다. 원칙적으로, 핫 필드/웨이퍼 스폿들의 세트에서의 핫 설계 스폿들의 세트의 중첩하는 결함 PW가 계산될 수 있다. 실시예에서는, 제2 반복에서, 계측 도구들로부터 획득된 웨이퍼 데이터(예컨대, CD, 도우즈, 포커스, 오버레이 등)는 메리트 함수 및/또는 최적화 프로세스를 검증하고 개선시키기 위하여 이용될 수 있다.
실시예들은 다음의 조항(clause)들을 이용하여 추가로 설명될 수 있다:
1. 기판 상의 특징부의 파라미터 제한을 결정하기 위한 방법으로서,
(i) 특징부의 파라미터의 측정들, (ii) 특징부를 생성하기 위하여 이용된 패턴화 프로세스의 프로세스 변수에 관련된 데이터, (iii) 파라미터의 측정들 및 프로세스 변수에 관련된 데이터에 기초하여 프로세스 변수의 함수로서 정의된 파라미터의 함수 거동, (iv) 특징부의 실패율(failure rate)의 측정들, 및 (v) 프로세스 변수의 설정에 대한 프로세스 변수의 확률 밀도 함수를 획득하는 단계;
하드웨어 컴퓨터 시스템에 의해, 변환 함수에 기초하여, 설정에 대한 프로세스 변수의 확률 밀도 함수를 설정에 대한 파라미터의 확률 밀도 함수로 변환하는 단계 - 변환 함수는 프로세스 변수의 함수에 기초하여 결정됨 -; 및
하드웨어 컴퓨터 시스템에 의해, 설정에 대한 파라미터의 확률 밀도 함수 및 특징부의 실패율의 측정들에 기초하여 파라미터의 파라미터 제한(parameter limit)을 결정하는 단계를 포함하는, 방법.
2. 조항 1의 방법에 있어서, 설정에 대한 프로세스 변수의 확률 밀도 함수는 프로세스 변수의 설정에 대한 파라미터의 측정된 분산으로부터 연산되는 프로세스 변수의 분산(variance), 및 프로세스 변수의 설정에 대하여 결정된 프로세스 변수에 대한 프로세스 변수의 함수의 국소 미분(local derivative)에 기초하여 결정되는, 방법.
3. 조항 1 내지 2 중 어느 한 조항의 방법에 있어서, 변환 함수는 변환 인자(conversion factor)이고, 변환 인자는 프로세스 변수의 설정에 대하여 결정된 프로세스 변수의 함수의 역(inverse)의 국소 미분의 절대값인, 방법.
4. 조항 1 내지 3 중 어느 한 조항의 방법에 있어서,
하드웨어 컴퓨터 시스템에 의해, 파라미터 제한 및 파라미터의 확률 밀도 함수에 기초하여 특징부의 추정된 실패율을 결정하는 단계; 및
하드웨어 컴퓨터 시스템에 의해, 특징부의 추정된 실패율이 미리 결정된 임계치 미만이 되도록, 프로세스 변수에 관련된 프로세스 윈도우를 식별하는 단계를 더 포함하는, 방법.
5. 조항 4의 방법에 있어서, 미리 결정된 임계치는 패턴화 프로세스의 선택된 수율(yield)에 기초하는, 방법.
6. 조항들 1 내지 5 중 어느 한 조항의 방법에 있어서, 실패율은 특징부의 하나 이상의 실패들에 관련되고, 하나 이상의 실패 모드들은 특징부의 물리적 실패(physical failure), 전사 실패(transfer failure), 및/또는 연기된 실패(postponed failure)를 포함하는, 방법.
7. 조항 6의 방법에 있어서, 특징부의 연기된 실패는 현재의 프로세싱 단계에서의 결함으로 인해 패턴화 프로세스의 다음 단계에서 발생하는 실패인, 방법.
8. 조항 7의 방법에 있어서, 특징부의 하나 이상의 실패들은 특징부의 가중화된 실패율을 생성하기 위하여 특정한 실패의 빈도에 기초하여 가중화되는, 방법.
9. 조항들 1 내지 8 중 어느 한 조항의 방법에 있어서,
하나 이상의 실패들과 프로세스 변수 사이의 상관에 기초하여 프로세스 변수의 가중화된 함수를 획득하는 단계;
하드웨어 컴퓨터 시스템에 의해, 프로세스 변수의 가중화된 함수에 기초하여 파라미터의 가중화된 파라미터 제한을 결정하는 단계; 및
하드웨어 컴퓨터 시스템에 의해, 가중화된 파라미터 제한에 기초하여 프로세스 윈도우를 결정하는 단계를 더 포함하는, 방법.
10. 조항들 8 내지 9 중 어느 한 조항의 방법에 있어서,
하드웨어 컴퓨터 시스템에 의해, 레지스트 프로세스와 연관된 연기된 실패들에 기초하여, 시뮬레이션에 의한 레지스트 프로세스의 레지스트 모델을 이용하여 레지스트 두께 및/또는 레지스트 유형을 최적화하는 단계를 더 포함하는, 방법.
11. 조항 10의 방법에 있어서, 레지스트 프로세스와 연관된 실패는 푸팅 실패(footing failure) 및/또는 네킹 실패(necking failure)를 포함하는, 방법.
12. 조항들 1 내지 11 중 어느 한 조항의 방법에 있어서,
대응하는 파라미터 제한에 기초하여, 복수의 특징부 유형들의 각각의 특징부 유형에 대한 파라미터 제한, 및 복수의 특징부 유형들의 각각의 특징부 유형의 추정된 실패율을 획득하는 단계; 및
하드웨어 컴퓨터 시스템에 의해, 복수의 특징부 유형들의 각각의 특징부 유형의 추정된 실패율의 곱셈에 기초하여 중첩하는 프로세스 윈도우를 결정하는 단계를 더 포함하는, 방법.
13. 조항 12의 방법에 있어서,
복수의 특징부 유형들의 각각의 특징부 유형의 추정된 실패율의 최대치에 기초하여, 모델링 및/또는 시뮬레이션에 의해, 광학적 근접성 정정(optical proximity correction)을 반복적으로 결정하는 단계를 더 포함하는, 방법.
14. 조항 13의 방법에 있어서, 추정된 실패율의 최대치는 가장 낮은 수율을 가지는 특징부 유형에 대응하는, 방법.
15. 조항들 1 내지 14 중 어느 한 조항의 방법에 있어서,
하드웨어 컴퓨터 시스템에 의해, 파라미터의 측정된 분산으로부터 파라미터의 세분화된 분산을 결정하는 단계 - 세분화된 분산은 프로세스 변수에 비관련된 인자들로 인한 분산을 참작함 - 를 더 포함하는, 방법.
16. 조항 15의 방법에 있어서, 세분화된 분산은 측정된 분산으로부터 프로세스 변수에 비관련된 인자들로 인한 분산을 제거함으로써 연산되는, 방법.
17. 조항 16의 방법에 있어서, 프로세스 변수에 비관련된 인자들은 계측 잡음(metrology noise), 마스크(mask), 및 배경(background)으로부터의 기여분을 포함하는, 방법.
18. 조항 16의 방법에 있어서, 배경의 기여분은 프로세스 변수의 특정한 설정에서 결정된 패턴화 프로세스의 확률론적 성분(stochastic component)이고, 측정된 분산은 프로세스 변수에 대한 최소 감도를 가지는, 방법.
19. 조항 15의 방법에 있어서, 프로세스 윈도우의 결정은 세분화된 분산에 기초하는, 방법.
20. 조항들 1 내지 19 중 어느 한 조항의 방법에 있어서,
패턴화 프로세스의 패턴전사후 단계의 전달 함수, 및 전달 함수에 기초한 또 다른 프로세스 변수 PDF를 획득하는 단계; 및
하드웨어 컴퓨터 시스템에 의해, 또 다른 프로세스 변수 PDF에 기초하여 프로세스 윈도우를 결정하는 단계를 더 포함하는, 방법.
21. 조항들 1 내지 20 중 어느 한 조항의 방법에 있어서, 패턴화 프로세스의 파라미터는 임계 치수(critical dimension)이고, 프로세스 변수는 도우즈(dose)인, 방법.
22. 조항들 1 내지 21 중 어느 한 조항의 방법에 있어서, 프로세스 변수의 설정은 도우즈 값들의 범위 내의 도우즈 값인, 방법.
23. 조항들 4 내지 22 중 어느 한 조항의 방법에 있어서, 패턴화 프로세스는 프로세스 윈도우에 기초하여 패턴화 프로세스의 하나 이상의 장치들을 조절하도록 구성되는, 방법.
24. 조항 25의 방법에 있어서, 하나 이상의 장치들은 프로세스 윈도우에 기초하여 기판 상에서 패턴화를 수행하도록 구성된 리소그래픽 장치를 포함하는, 방법.
25. 조항들 1 내지 24 중 어느 한 조항의 방법에 있어서, 파라미터 제한은 공통 파라미터 제한이고, 공통 파라미터 제한은 파라미터의 복수의 확률 밀도 함수들에 기초하여 결정되고, 파라미터의 각각의 확률 밀도 함수는 프로세스 변수의 특정한 설정에서 결정되는, 방법.
26. 패턴화 프로세스의 프로세스 윈도우를 결정하기 위한 방법으로서,
(i) 패턴화 프로세스의 실패율 측정들에 기초한 패턴화 프로세스의 파라미터의 파라미터 제한, 및 (ii) 패턴화 프로세스의 프로세스 변수 및 프로세스 변수의 분산의 함수로서 정의된 파라미터의 확률 밀도 함수를 획득하는 단계;
하드웨어 컴퓨터 시스템에 의해, 파라미터 제한 및 파라미터의 확률 밀도 함수에 기초하여 패턴화 프로세스의 추정된 실패율을 결정하는 단계; 및
하드웨어 컴퓨터 시스템에 의해, 파라미터의 추정된 실패율이 선택된 임계치 미만이 되도록, 프로세스 변수의 측면에서 프로세스 윈도우를 식별하는 단계를 포함하는, 방법.
27. 조항 26의 방법에 있어서, 프로세스 윈도우의 식별은 추정된 실패율 및 선택된 임계치의 교차부 사이의 프로세스 변수의 범위를 결정하는 것을 수반하는, 방법.
28. 조항 27의 방법에 있어서, 교차부는 그래프 상에서 추정된 실패율, 프로세스 변수, 및 선택된 임계치를 도표화함으로써 그래픽으로 결정되는, 방법.
29. 조항 28의 방법에 있어서, 선택된 임계치는 패턴화 프로세스의 선택된 수율에 기초하는, 방법.
30. 조항 29의 방법에 있어서, 패턴화 프로세스는 프로세스 윈도우에 기초하여 패턴화 프로세스의 하나 이상의 장치들을 조절하도록 구성되는, 방법.
31. 조항 30의 방법에 있어서, 하나 이상의 장치들은 프로세스 윈도우에 기초하여 기판 상에서 패턴화를 수행하도록 구성된 리소그래픽 장치를 포함하는, 방법.
32. 조항들 26 내지 31 중 어느 한 조항의 방법에 있어서, 패턴화 프로세스의 파라미터는 임계 치수이고, 프로세스 변수는 도우즈인, 방법.
33. 조항들 26 내지 32 중 어느 한 조항의 방법에 있어서, 프로세스 변수의 설정은 도우즈 값들의 범위 내의 도우즈 값인, 방법.
34. 패턴화 프로세스의 프로세스 윈도우를 결정하기 위한 방법으로서,
(i) 패턴화 프로세스의 제1 파라미터의 변동, (ii) 제1 파라미터와 제2 파라미터 사이의 관계에 기초한 제2 파라미터의 변동, 및 (iii) 패턴화 프로세스의 프로세스 모델을 획득하는 단계;
하드웨어 컴퓨팅 시스템에 의해, 제2 파라미터의 실패율 분포로 귀착되는 제1 파라미터의 변동을 수정하기 위하여, 제1 파라미터와 제2 파라미터 사이의 관계에서 가우시안 분포(Gaussian distribution)를 삽입하는 단계; 및
프로세스 모델의 시뮬레이션을 통해, 프로세스 모델의 메리트 함수(merit function)가 최적화되도록 프로세스 윈도우를 식별하는 단계 - 메리트 함수는 제2 파라미터의 실패율 분포에 기초한 결함 메트릭(defect metric)을 포함함 - 를 포함하는, 방법.
*35. 조항 34의 방법에 있어서,
프로세스 윈도우를 식별하는 단계는 반복 프로세스이고, 반복은:
제2 파라미터를 바이어싱하는 것; 및
바이어싱으로 인한 실패율 분포에 기초하여 실패 확률을 결정하는 것을 포함하는, 방법.
36. 조항들 34 내지 35 중 어느 한 조항의 방법에 있어서, 바이어싱은 제1 파라미터의 값들 또는 패턴화 디바이스의 특성을 조절함으로써 달성되는, 방법.
37. 조항들 34 내지 36 중 어느 한 조항의 방법에 있어서, 제1 파라미터는 도우즈이고, 제2 파라미터는 임계 치수인, 방법.
38. 조항 37의 방법에 있어서, 임계 치수의 바이어싱은 패턴화 디바이스의 특징부의 도우즈 및/또는 치수를 조절하는 것을 포함하는, 방법.
39. 조항들 36 내지 38 중 어느 한 조항의 방법에 있어서, 바이어싱은 기판 상에서 인쇄되어야 할 임계 치수를 증가시키거나 감소시키는 것을 포함하는, 방법.
40. 조항 39의 방법에 있어서, 임계 치수의 조절은 패턴화 프로세스의 도우즈를 증가시키거나 감소시킴으로써 달성되는, 방법.
41. 조항들 34 내지 40 중 어느 한 조항의 방법에 있어서,
프로세스 윈도우의 식별은:
제2 패턴에 관련된 제2 프로세스 윈도우와의 제1 패턴에 관련된 제1 프로세스 윈도우의 중첩에 기초하여 중첩하는 프로세스 윈도우를 결정하는 것을 더 포함하는, 방법.
42. 조항 41의 방법에 있어서, 제1 패턴 및 제2 패턴은 프로세스 윈도우 제한 패턴들인, 방법.
43. 조항들 34 내지 42 중 어느 한 조항의 방법에 있어서, 메리트 함수의 최적화는 하나 이상의 결함들과 연관된 실패율의 최소화를 포함하는, 방법.
44. 조항 43의 방법에 있어서, 하나 이상의 결함은 홀 폐쇄(hole closure)를 포함하는, 방법.
45. 조항들 34 내지 44 중 어느 한 조항의 방법에 있어서, 메리트 함수의 최적화는 제1 임계치 미만인 제1 파라미터의 값들로 인한 제1 결함 출현 및/또는 제2 임계치 초과인 제1 파라미터의 값들로 인한 제2 결함 출현 사이의 균형을 확립하는 것을 수반하는, 방법.
46. 조항 45의 방법에 있어서, 제1 임계치는 제2 임계치보다 더 낮은, 방법.
47. 조항들 34 내지 46 중 어느 한 조항의 방법에 있어서, 메리트 함수는 포커스, 오버레이, msdz, 및 도우즈 중의 하나 이상에 관련된 제약들을 더 포함하는, 방법.
48. 조항들 34 내지 47 중 어느 한 조항의 방법에 있어서, 제1 파라미터 변동은 패턴화 프로세스의 국소적 파라미터 균일성 모델(local parameter uniformity model)의 시뮬레이션에 기초하여 추정되는, 방법.
49. 조항 48의 방법에 있어서, 국소적 파라미터 균일성 모델은 국소적 임계 치수 균일성 모델(local critical dimension uniformity model)인, 방법.
50. 조항들 34 내지 49 중 어느 한 조항의 방법에 있어서, 프로세스 모델은 소스 최적화(source optimization), 마스크 최적화(mask optimization), 및/또는 소스-마스크 최적화(source-mask optimization) 모델인, 방법.
51. 조항들 34 내지 50 중 어느 한 조항의 방법에 있어서, 가우시안 분포는 3 시그마(three sigma) 이상의 변동을 가지는, 방법.
52. 조항들 43 내지 51 중 어느 한 조항의 방법에 있어서, 하나 이상의 결함들은 홀 폐쇄, 네킹, 및 브리징 중의 적어도 하나를 포함하는, 방법.
53. 조항들 34 내지 52 중 어느 한 조항의 방법에 있어서, 실패율 분포는 제2 파라미터에서의 변경에 대한 결함 출현의 확률을 연산하기 위하여 이용된 확률 밀도 함수인, 방법.
54. 조항들 34 내지 53 중 어느 한 조항의 방법에 있어서, 결함 메트릭은 결함들의 총 수이고, 실패율은 하나 이상의 결함들과 연관되는, 방법.
55. 결함-기반 프로세스 윈도우에 기초하여 소스-마스크 치적화를 수행하기 위한 방법으로서,
소스-마스크-최적화 모델로부터의 제1 결과, 및 제1 결과 내의 프로세스 윈도우 제한 패턴들을 획득하는 단계; 및
하드웨어 컴퓨터 시스템을 통해, 결함 메트릭이 감소되도록, 결함 메트릭에 기초하여 소스 및/또는 마스크의 특성을 조절하는 단계를 포함하는, 방법.
56. 조항 55의 방법에 있어서, 조절은 마스크를 이용하여 인쇄된 기판 상에서 포지티브 바이어스를 생성하기 위하여 마스크를 바이어싱하는 것을 포함하는, 방법.
57. 조항 56의 방법에 있어서, 바이어싱은 패턴 제한 프로세스 윈도우들 내에서의 패턴화에 적용되는, 방법.
58. 조항들 55 내지 57 중 어느 한 조항의 방법에 있어서, 결함 메트릭을 감소시키기 위하여 마스크 상에서 광학적 근접성 정정을 수행하는 단계를 더 포함하는, 방법.
59. 조항 58의 방법에 있어서, 특징부가 이웃하는 특징부에 상대적으로 근접하거나 이웃하는 특징부를 터치하도록, 특징부의 임계 치수를 증가시키는 단계를 더 포함하는, 방법.
도 29는 본원에서 개시된 방법들 및 흐름들을 구현하는 것을 보조할 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 메커니즘, 및 정보를 프로세싱하기 위하여 버스(102)와 결합된 프로세서(104)(또는 다수의 프로세서들(104 및 105))를 포함한다. 컴퓨터 시스템(100)은 또한, 프로세서(104)에 의해 실행되어야 할 정보 및 명령들을 저장하기 위하여 버스(102)에 결합된 랜덤 액세스 메모리(random access memory; RAM) 또는 다른 동적 저장 디바이스와 같은 주 메모리(106)를 포함한다. 주 메모리(106)는 또한, 프로세서(104)에 의해 실행되어야 할 명령들의 실행 동안에 일시적인 변수들 또는 다른 중간 정보를 저장하기 위하여 이용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)를 위한 정적 정보 및 명령들을 저장하기 위하여 버스(102)에 결합된 판독 전용 메모리(read only memory; ROM)(108) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)는 정보 및 명령들을 저장하기 위하여 버스(102)에 제공되고 결합된다.
컴퓨터 시스템(100)은 정보를 컴퓨터 사용자에게 디스플레이하기 위하여, 버스(102)를 통해, 음극선관(cathode ray tube; CRT) 또는 평판 패널 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 결합될 수 있다. 영숫자 및 다른 키들을 포함하는 입력 디바이스(114)는 정보 및 커맨드 선택들을 프로세서(104)에 통신하기 위하여 버스(102)에 결합된다. 또 다른 유형의 사용자 입력 디바이스는 방향 정보 및 커맨드 선택들을 프로세서(104)에 통신하고, 디스플레이(112) 상에서 커서 이동을 제어하기 위한, 마우스, 트랙볼, 또는 커서 방향 키들과 같은 커서 제어부(116)이다. 이 입력 디바이스는 전형적으로, 디바이스가 평면에서의 포지션들을 특정하는 것을 허용하는, 2 개의 축들, 제1 축(예컨대, x) 및 제2 축(예컨대, y)에서의 2 개의 자유도들을 가진다. 터치 패널(스크린) 디스플레이는 또한, 입력 디바이스로서 이용될 수 있다.
하나의 실시예에 따르면, 프로세스의 부분들은 프로세서(104)가 주 메모리(106) 내에 포함된 하나 이상의 명령들의 하나 이상의 시퀀스(sequence)들을 실행하는 것에 응답하여 컴퓨터 시스템(100)에 의해 수행될 수 있다. 이러한 명령들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능 매체로부터 주 메모리(106)로 판독될 수 있다. 주 메모리(106) 내에 포함된 명령들의 시퀀스들의 실행은 프로세서(104)가 본원에서 설명된 프로세스 단계들을 수행하게 한다. 멀티-프로세싱 배열에서의 하나 이상의 프로세서들은 또한, 주 메모리(106) 내에 포함된 명령들의 시퀀스들을 실행하기 위하여 채용될 수 있다. 대안적인 실시예에서, 하드-와이어링된 회로부는 소프트웨어 명령들 대신에, 또는 소프트웨어 명령들과 조합하여 이용될 수 있다. 이에 따라, 본원에서 설명은 하드웨어 회로부 및 소프트웨어의 임의의 특정 조합으로 제한되지는 않는다.
본원에서 이용된 바와 같은 용어 "컴퓨터-판독가능 매체"는 실행을 위하여 명령들을 프로세서(104)에 제공하는 것에 참여하는 임의의 매체를 지칭한다. 이러한 매체는 비-휘발성 매체들, 휘발성 매체들, 및 송신 매체들을 포함하지만, 이것으로 제한되지는 않는 다수의 형태들을 취할 수 있다. 비-휘발성 매체들은 예를 들어, 저장 디바이스(110)와 같은 광학 또는 자기 디스크들을 포함한다. 휘발성 매체들은 주 메모리(106)와 같은 동적 메모리를 포함한다. 송신 매체들은 버스(102)를 포함하는 배선들을 포함하는, 동축 케이블, 구리 배선, 및 광 섬유들을 포함한다. 송신 매체들은 또한, 라디오 주파수(radio frequency; RF) 및 적외선(IR) 데이터 통신들 동안에 생성된 것들과 같은 음향 또는 광 파들의 형태를 취할 수 있다. 컴퓨터-판독가능 매체들의 보편적인 형태들은 예를 들어, 플로피 디스크, 플렉시블 디스크, 하드 디스크, 자기 테이프, 임의의 다른 자기 매체, CD-ROM, DVD, 임의의 다른 광학적 매체, 펀치 카드(punch card)들, 종이 테이프, 홀(hole)들의 패턴들을 갖는 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 임의의 다른 메모리 칩 또는 카트리지, 이하에서 설명된 바와 같은 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
컴퓨터 판독가능 매체들의 다양한 형태들은 실행을 위하여 하나 이상의 명령들의 하나 이상의 시퀀스들을 프로세서(104)로 반송하는 것에 관여될 수 있다. 예를 들어, 명령들은 초기에 원격 컴퓨터의 자기 디스크 상에서 유지될 수 있다. 원격 컴퓨터는 명령들을 그 동적 메모리로 로딩할 수 있고, 모뎀을 이용하는 전화 라인 상에서 명령들을 전송할 수 있다. 컴퓨터 시스템(100)에 대해 로컬인 모뎀은 전화 라인 상에서 데이터를 수신할 수 있고, 데이터를 적외선 신호로 변환하기 위하여 적외선 송신기를 이용할 수 있다. 버스(102)에 결합된 적외선 검출기는 적외선 신호에서 반송된 데이터를 수신할 수 있고, 버스(102) 상에서 데이터를 배치할 수 있다. 버스(102)는 데이터를 주 메모리(106)로 반송하고, 주 메모리(106)로부터, 프로세서(104)는 명령들을 취출(retrieve)하고 명령들을 실행한다. 주 메모리(106)에 의해 수신된 명령들은 임의적으로, 프로세서(104)에 의해 실행 전 또는 후의 어느 하나에서, 저장 디바이스(110) 상에서 저장될 수 있다.
컴퓨터 시스템(100)은 또한 바람직하게는, 버스(102)에 결합된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 로컬 네트워크(122)에 접속되는 네크워크 링크(120)에 결합하는 양방향 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 데이터 통신 접속을 대응하는 유형의 전화 라인에 제공하기 위한 통합된 서비스 디지털 네트워크(integrated services digital network; ISDN) 카드 또는 모뎀일 수 있다. 또 다른 예로서, 통신 인터페이스(118)는 호환가능한 LAN으로의 데이터 통신 접속을 제공하기 위한 로컬 영역 네트워크(local area network; LAN) 카드일 수 있다. 무선 링크들이 또한 구현될 수 있다. 임의의 이러한 구현예에서, 통신 인터페이스(118)는 다양한 유형들의 정보를 표현하는 디지털 데이터 스트림들을 반송하는 전기적, 전자기적, 또는 광학적 신호들을 전송하고 수신한다.
네트워크 링크(120)는 전형적으로, 데이터 통신을 하나 이상의 네트워크들을 통해 다른 데이터 디바이스들로 제공한다. 예를 들어, 네트워크 링크(120)는 접속을 로컬 네트워크(122)를 통해 호스트 컴퓨터(124)로, 또는 인터넷 서비스 제공자(Internet Service Provider; ISP)(126)에 의해 운영된 데이터 장비로 제공할 수 있다. ISP(126)는 궁극적으로, "인터넷"(128)으로서 지금 보편적으로 지칭된 전세계 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스들을 제공한다. 로컬 네트워크(122) 및 인터넷(128) 양자는 디지털 데이터 스트림들을 반송하는 전기적, 전자기적, 또는 광학적 신호들을 이용한다. 디지털 데이터를 컴퓨터 시스템(100)으로 그리고 컴퓨터 시스템(100)으로부터 반송하는, 다양한 네트워크들을 통한 신호들 및 네트워크 링크(120) 상의 그리고 통신 인터페이스(118)를 통한 신호들은 정보를 수송하는 반송파들의 일 예의 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통해, 메시지들을 전송할 수 있고, 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷의 예에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122), 및 통신 인터페이스(118)를 통해 애플리케이션 프로그램을 위한 요청된 코드를 송신할 수 있다. 하나의 이러한 다운로딩된 애플리케이션은 예를 들어, 실시예의 조명 최적화를 제공할 수 있다. 수신된 코드는 이 코드가 수신되고, 및/또는 더 이후의 실행을 위하여 저장 디바이스(110) 또는 다른 비-휘발성 스토리지에서 저장될 때, 프로세서(104)에 의해 실행될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 애플리케이션 코드를 획득할 수 있다.
도 30은 다음을 포함하는 또 다른 예시적인 리소그래픽 투영 장치(LA)를 개략적으로 예시한다:
- 방사를 제공하기 위한 소스 수집기 모듈(SO).
- 소스 수집기 모듈(SO)로부터의 방사 빔(B)(예컨대, EUV 방사)을 조절하도록 구성된 조명 시스템(조명기)(IL).
- 패턴화 디바이스(예컨대, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패턴화 디바이스를 정확하게 위치결정하도록 구성된 제1 위치결정기(PM)에 연결된 지지 구조체(예컨대, 마스크 테이블)(MT);
- 기판(예컨대, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확하게 위치결정하도록 구성된 제2 위치결정기(PW)에 연결된 기판 테이블(예컨대, 웨이퍼 테이블)(WT); 및
- 패턴화 디바이스(MA)에 의해 방사 빔(B)에 부여된 패턴을 기판(W)의 (예컨대, 하나 이상의 다이들을 포함하는) 타겟 부분(C) 상으로 투영하도록 구성된 투영 시스템(예컨대, 굴절 투영 렌즈 시스템)(PS).
여기에서 도시된 바와 같이, 장치(LA)는 (예컨대, 반사 마스크를 채용하는) 반사형이다. 대부분의 재료들은 EUV 파장 범위 내에서 흡수성이므로, 패턴화 디바이스는 예를 들어, 몰리브덴 및 실리콘의 다층 적층체를 포함하는 다층 반사기들을 가질 수 있다는 것이 주목되어야 한다. 하나의 예에서, 멀티-적층체 반사기는 몰리브덴 및 실리콘의 40 개의 층 쌍들을 가지고, 여기서, 각각의 층의 두께는 1/4 파장이다. 심지어 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장들에서 흡수성이므로, 패턴화 디바이스 토폴로지 상의 패턴화된 흡수 재료의 얇은 조각(예컨대, 다층 반사기의 상단 상의 TaN 흡수기)은 특징부들이 어디에서 인쇄할 것인지(포지티브 레지스트) 또는 인쇄하지 않을 것인지(네거티브 레지스트)를 정의한다.
도 26을 참조하면, 조명기(IL)는 소스 수집기 모듈(SO)로부터 극초자외선(extreme ultra violet) 방사 빔을 수신한다. EUV 방사를 생성하기 위한 방법들은 EUV 범위에서의 하나 이상의 방출 라인들로, 적어도 하나의 원소, 예컨대, 제논, 리튬, 또는 주석을 가지는 재료를 플라즈마 상태로 변환하는 것을 포함하지만, 반드시 이것으로 제한되지는 않는다. 레이저 생성된 플라즈마(laser produced plasma)("LPP)로 종종 칭해진 하나의 이러한 방법에서, 플라즈마는 레이저 빔으로, 라인-방출 원소를 가지는 재료의 액적, 스트림, 또는 클러스터와 같은 연료를 조사함으로써 생성될 수 있다. 소스 수집기 모듈(SO)은 연료를 여기시키는 레지어 빔을 생성하기 위하여, 도 26에서 도시되지 않은 레이저를 포함하는 EUV 방사 시스템의 일부일 수 있다. 결과적인 플라즈마는 소스 수집기 모듈에서 배치된 방사 수집기를 이용하여 수집되는 출력 방사, 예컨대, EUV 방사를 방출한다. 레이저 및 소스 수집기 모듈은 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 생성하기 위하여 이용될 때, 별도의 엔티티들일 수 있다.
이러한 경우들에는, 레이저가 리소그래픽 장치의 일부를 형성하는 것으로 고려되지는 않고, 방사 빔은 예를 들어, 적당한 지향 미러(directing mirror)들 및/또는 빔 확대기(beam expander)를 포함하는 빔 전달 시스템의 도움으로 레이저로부터 소스 수집기 모듈로 전달된다. 다른 경우들에는, 예를 들어, 방사 소스가 DPP 방사 소스로서 종종 칭해진 방전 생성된 플라즈마 EUV 생성기일 때, 방사 소스는 소스 수집기 모듈의 일체부일 수 있다.
조명기(IL)는 방사 빔의 각도 세기 분포(angular intensity distribution)를 조절하기 위한 조절기를 포함할 수 있다. 일반적으로, 조명기의 동공 평면에서의 세기 분포의 (각각 -외부 및 -내부로서 보편적으로 지칭된) 적어도 외부 및/또는 내부 방사상 규모가 조절될 수 있다. 추가적으로, 조명기(IL)는 산란된 필드 및 동공 미러 디바이스들과 같은 다양한 다른 컴포넌트들을 포함할 수 있다. 조명기는 그 단면에서 희망된 균일성 및 세기 분포를 가지기 위하여, 방사 빔을 조절하기 위하여 이용될 수 있다.
방사 빔(B)은, 지지 구조체(예컨대, 마스크 테이블(MT)) 상에서 유지되는 패턴화 디바이스(예컨대, 마스크)(MA) 상에 입사하고, 패턴화 디바이스에 의해 패턴화된다. 패턴화 디바이스(예컨대, 마스크)(MA)로부터 반사된 후에, 방사 빔(B)은 빔을 기판(W)의 타겟 부분(C) 상으로 포커싱하는 투영 시스템(PS)을 통과한다. 제2 위치결정기(PW) 및 포지션 센서(PS2)(예컨대, 간섭계측 디바이스, 선형 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예컨대, 방사 빔(B)의 경로에서 상이한 타겟 부분들(C)을 위치결정하기 위하여 정확하게 이동될 수 있다. 유사하게, 제1 위치결정기(PM) 및 또 다른 포지션 센서(PS1)는 방사 빔(B)의 경로에 대하여 패턴화 디바이스(예컨대, 마스크)(MA)를 정확하게 위치결정하기 위하여 이용될 수 있다. 패턴화 디바이스(예컨대, 마스크)(MA) 및 기판(W)은 패턴화 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(LA)는 다음의 모드들 중의 적어도 하나에서 이용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예컨대, 마스크 테이블)(MT) 및 기판 테이블(WT)은 필수적으로 정지된 채로 유지되는 반면, 방사 빔에 부여된 전체 패턴은 한 번에 타겟 부분(C) 상으로 투영된다(즉, 단일 정적 노출). 기판 테이블(WT)은 그 다음으로, 상이한 타겟 부분(C)이 노출될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예컨대, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안에 동시에 스캐닝된다(즉, 단일 동적 노출). 지지 구조체(예컨대, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성들에 의해 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예컨대, 마스크 테이블)(MT)는 필수적으로 정지된 채로 유지되어, 프로그래밍가능 패턴화 디바이스를 유지하고, 기판 테이블(WT)은 방사 빔에 부여된 패턴이 타겟 부분(C) 상으로 투영되는 동안에 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사 소스가 채용되고, 프로그래밍가능 패턴화 디바이스는 기판 테이블(WT)의 각각의 이동 후에, 또는 스캔 동안의 연속적인 방사 펄스들 사이에서 요구된 바와 같이 업데이트된다. 이 동작의 모드는 위에서 지칭된 바와 같은 유형의 프로그래밍가능 미러 어레이와 같은 프로그래밍가능 패턴화 디바이스를 사용하는 무마스크 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 31은 소스 수집기 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하는 장치(LA)를 더 상세하게 도시한다. 소스 수집기 모듈(SO)은 진공 환경이 소스 수집기 모듈(SO)의 밀폐 구조체(220)에서 유지될 수 있도록 구성되고 배열된다. EUV 방사 방출 플라즈마(210)는 방전 생성된 플라즈마 방사 소스에 의해 형성될 수 있다. EUV 방사는 매우 고온 플라즈마(210)가 전자기 스펙트럼의 EUV 범위에서 방사를 방출하기 위하여 생성되는 가스 또는 증기, 예를 들어, Xe 가스, Li 증기, 또는 Sn 증기에 의해 생성될 수 있다. 매우 고온 플라즈마(210)는 예를 들어, 적어도 부분적으로 이온화된 플라즈마를 야기시키는 전기적 방전에 의해 생성된다. Xe, Li, Sn 증기 또는 임의의 다른 적당한 가스 또는 증기의, 예를 들어, 10 Pa의 부분 압력들은 방사의 효율적인 생성을 위하여 요구될 수 있다. 실시예에서, 여기된 주석(Sn)의 플라즈마는 EUV 방사를 생성하기 위하여 제공된다.
고온 플라즈마(210)에 의해 방출된 방사는, 소스 챔버(211)에서의 개구부에서 위치결정되거나 개구부의 후방에 있는 임의적인 가스 장벽 또는 오염물 트랩(230)(일부 경우들에는, 또한, 오염물 장벽 또는 포일 트랩(foil trap)으로서 지칭됨)을 통해 소스 챔버(211)로부터 수집기 챔버(212)로 전달된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 오염 트랩(230)은 또한, 가스 장벽, 또는 가스 장벽 및 채널 구조체의 조합을 포함할 수 있다. 본원에서 추가로 표시된 오염물 트랩 또는 오염물 장벽(230)은 당해 분야에서 알려진 바와 같은 채널 구조체를 적어도 포함한다.
수집기 챔버(211)는 소위 그레이징 입사 수집기(grazing incidence collector)일 수 있는 방사 수집기(CO)를 포함할 수 있다. 방사 수집기(CO)는 상류 방사 수집기 측(251) 및 하류 방사 수집기 측(252)을 가진다. 수집기(CO)를 횡단하는 방사는 점선-파선 라인 'O'에 의해 표시된 광학 축을 따라 가상 소스 포인트(IF)에서 포커싱되어야 할 격자 스펙트럼 필터(240)에서 반사될 수 있다. 가상 소스 포인트(IF)는 보편적으로 중간 포커스로서 지칭되고, 소스 수집기 모듈은 중간 포커스(IF)가 밀폐 구조체(220)에서의 개구부(221)에서 또는 그 근처에서 위치되도록 배열된다. 가상 소스 포인트(IF)는 방사 방출 플라즈마(210)의 이미지이다.
추후에, 방사는 조명 시스템(IL)을 횡단하고, 조명 시스템(IL)은 패턴화 디바이스(MA)에서 방사 빔(21)의 희망된 각도 분포 뿐만 아니라, 패턴화 디바이스(MA)에서 방사 세기의 희망된 균일성을 제공하도록 배열된 산란된 필드 미러 디바이스(22) 및 산란된 동공 미러 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지된 패턴화 디바이스(MA)에서의 방사의 빔(21)의 반사 시에, 패턴화된 빔(26)이 형성되고, 패턴화된 빔(26)은 투영 시스템(PS)에 의해 반사 엘리먼트들(28, 30)을 통해, 기판 테이블(WT)에 의해 유지된 기판(W) 상으로 이미징된다.
도시된 것보다 더 많은 엘리먼트들이 일반적으로 조명 광학기기들 유닛(IL) 및 투영 시스템(PS)에서 존재할 수 있다. 격자 스펙트럼 필터(240)는 임의적으로, 리소그래픽 장치의 유형에 따라 존재할 수 있다. 또한, 도면들에서 도시된 것들보다 더 많은 미러들이 존재할 수 있고, 예를 들어, 도 31에서 도시된 것보다 1 내지 6 개의 추가적인 반사 엘리먼트들이 투영 시스템(PS)에서 존재할 수 있다.
도 31에서 예시된 바와 같은 수집기 광학기기(CO)는 단지 수집기(또는 수집기 미러)의 예로서, 그레이징 입사 반사기들(253, 254, 및 255)을 갖는 네스팅된 수집기로서 도시된다. 그레이징 입사 반사기들(253, 254, 및 255)은 광학적 축(O) 주위에서 축 대칭적으로 배치되고, 이 유형의 수집기 광학기기(CO)는 바람직하게는, 방전 생성된 플라즈마 방사 소스와 조합하여 이용된다.
대안적으로, 소스 수집기 모듈(SO)은 도 32에서 도시된 바와 같은 LPP 방사 시스템의 일부일 수 있다. 레이저(LAS)는 레이저 에너지를 제논(Xe), 주석(Sn), 또는 리튬(Li)과 같은 연료로 증착시키도록 배열되어, 수 10 eV의 전자 온도들을 갖는 고도로 이온화된 플라즈마(210)를 생성한다. 이 이온들의 역여기(de-excitation) 및 재결합 동안에 생성된 활성 방사는 수직 근접 입사 수집기 광학기기(CO)에 의해 수집되고 밀폐 구조체(220)에서의 개구부(221) 상으로 포커싱된 플라즈마로부터 방출된다.
본원에서 개시된 개념들은 파장 미만 특징부들을 이미징하기 위한 임의의 일반적인 이미징 시스템을 시뮬레이팅할 수 있거나 수학적으로 모델링할 수 있고, 점점 더 작은 크기의 파장들을 생성할 수 있는 최근 등장한 이미징 기술들에 있어서 특히 유용할 수 있다. 이미 이용 중인 최근 등장한 기술들은 ArF 레이저의 이용을 갖는 193 nm 파장, 및 심지어 불소 레이저의 이용을 갖는 157 nm 파장을 생성할 수 있는 EUV(극초자외선) 리소그래피를 포함한다. 또한, EUV 리소그래피는 이 범위 내에서 광자들을 생성하기 위하여, 싱크로트론(synchrotron)을 이용함으로써, 또는 재료(고체 또는 플라즈마 중의 어느 하나)를 고에너지 전자들로 타격함으로써, 20-5 nm의 범위 내의 파장들을 생성할 수 있다.
본원에서 개시된 개념들은 실리콘 웨이퍼와 같은 기판을 이미징하기 위하여 이용될 수 있지만, 개시된 개념들은 임의의 유형의 리소그래픽 이미징 시스템들, 예컨대, 실리콘 웨이퍼들 이외의 기판들 상에서 이미징하기 위하여 이용된 것들과 함께 이용될 수 있다는 것이 이해될 것이다.
특정 참조는 이 텍스트에서 IC들의 제조에서의 실시예들의 이용에 대해 행해질 수 있지만, 본원에서의 실시예들은 많은 다른 가능한 애플리케이션들을 가질 수 있다는 것이 이해되어야 한다. 예를 들어, 그것은 통합된 광학적 시스템들, 자기 도메인 메모리들을 위한 안내 및 검출 패턴들, 액정 디스플레이(LCD)들, 박막 자기 헤드들, 마이크로채널 시스템(micromechanical system; MEM)들 등의 제조에서 채용될 수 있다. 당업자는 이러한 대안적인 애플리케이션들의 맥락에서, 본원에서의 용어들 "레티클", "웨이퍼" 또는 "다이"의 임의의 이용이 각각 더 일반적인 용어들 "패턴화 디바이스", "기판" 또는 "타겟 부분"과 동의어 또는 상호 교환가능한 것으로서 고려될 수 있다는 것을 인식할 것이다. 본원에서 지칭된 기판은 예를 들어, 트랙(전형적으로, 레지스트의 층을 기판에 도포하고 노출된 레지스트를 현상하는 도구) 또는 계측 또는 검사 도구에서, 노출 전 또는 후에 프로세싱될 수 있다. 적용가능할 경우에, 본원에서의 개시내용은 이러한 그리고 다른 기판 프로세싱 도구들에 적용될 수 있다. 또한, 기판은 예를 들어, 다층 IC를 생성하기 위하여, 예를 들어, 한 번 이상 프로세싱될 수 있어서, 본원에서 이용된 용어 기판은 또한, 다수의 프로세싱된 층들을 이미 포함하는 기판을 지칭할 수 있다.
본 문서에서, 본원에서 이용된 바와 같은 용어들 "방사" 및 "빔"은 (예컨대, 약 365, 약 248, 약 193, 약 157, 또는 약 126 nm의 파장을 갖는) 자외선 방사 및 (예컨대, 5 내지 20 nm 범위인 파장을 가지는) 극자외선(EUV) 방사를 포함하는 모든 유형들의 전자기 방사 뿐만 아니라, 이온 빔들 또는 전자 빔들과 같은 입자 빔들을 망라한다.
본원에서 이용된 바와 같은 용어들 "최적화하는" 및 "최적화"는 패턴화 장치(예컨대, 리소그래피 장치), 패턴화 프로세스 등을 조절하는 것을 지칭하거나 의미하여, 결과들 및/또는 프로세스들은 기판 상의 설계 패턴의 투영의 더 높은 정확도, 더 큰 프로세스 윈도우 등과 같은 더 바람직한 특성들을 가진다. 이에 따라, 본원에서 이용된 바와 같은 용어 "최적화하는" 및 "최적화"는 그 하나 이상의 파라미터들에 대한 하나 이상의 값들의 초기 세트와 비교하여, 적어도 하나의 관련된 메트릭에서 개선, 예컨대, 국소적 최적을 제공하는 하나 이사의 파라미터들에 대한 하나 이상의 값들을 식별하는 프로세스를 지칭하거나 의미한다. "최적" 및 다른 관련된 용어들은 이에 따라 해석되어야 한다. 실시예에서, 최적화 단계들은 하나 이상의 메트릭들에서 추가의 개선들을 제공하기 위하여 반복적으로 적용될 수 있다.
발명의 양태들은 임의의 편리한 형태로 구현될 수 있다. 예를 들어, 실시예는 유형의(tangible) 캐리어 매체(예컨대, 디스크) 또는 비유형의(intangible) 캐리어 매체(예컨대, 통신 신호)일 수 있는 적절한 캐리어 매체 상에서 반송될 수 있는 하나 이상의 적절한 컴퓨터 프로그램들에 의해 구현될 수 있다. 발명의 실시예들은 본원에서 설명된 바와 같은 방법을 구현하도록 배열된 컴퓨터 프로그램을 작동시키는 프로그래밍가능 컴퓨터의 형태를 구체적으로 취할 수 있는 적당한 장치를 이용하여 구현될 수 있다. 이에 따라, 개시내용의 실시예들은 하드웨어, 펌웨어, 소프트웨어, 또는 그 임의의 조합으로 구현될 수 있다. 개시내용의 실시예들은 또한, 하나 이상의 프로세서들에 의해 판독될 수 있고 실행될 수 있는, 머신-판독가능 매체 상에서 저장된 명령들로서 구현될 수 있다. 머신-판독가능 매체는 머신(예컨대, 컴퓨팅 디바이스)에 의해 판독가능한 형태로 정보를 저장하거나 송신하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 머신-판독가능 매체는 판독 전용 메모리(read only memory; ROM); 랜덤 액세스 메모리(random access memory; RAM); 자기 디스크 저장 매체들; 광학 저장 매체들; 플래시 메모리 디바이스들; 전기적, 광학적, 음향적, 또는 다른 형태들의 전파된 신호들(예컨대, 반송파들, 적외선 신호들, 디지털 신호들 등), 및 그 외의 것들을 포함할 수 있다. 또한, 펌웨어, 소프트웨어, 루틴(routine)들, 명령들은 어떤 액션들을 수행하는 것으로서 본원에서 설명될 수 있다. 그러나, 이러한 설명들은 단지 편의를 위한 것이라는 것과, 이러한 액션들은 실제로 컴퓨팅 디바이스들, 프로세서들, 제어기들, 또는 펌웨어, 소프트웨어, 루틴들, 명령들 등을 실행하는 다른 디바이스들로부터 기인한다는 것이 인식되어야 한다.
블록도들에서, 예시된 컴포넌트들은 개별 기능적 블록들로서 도시되지만, 실시예들은 본원에서 설명된 기능성이 예시된 바와 같이 편성되는 시스템들로 제한되지는 않는다. 컴포넌트들의 각각에 의해 제공된 기능성은 현재 도시되는 것과 상이하게 편성되는 소프트웨어 또는 하드웨어 모듈들에 의해 제공될 수 있고, 예를 들어, 이러한 소프트웨어 또는 하드웨어는 섞일 수 있거나, 결합될 수 있거나, 복제될 수 있거나, 절단될 수 있거나, (예컨대, 데이터 센터 내에서 또는 지리적으로) 분산될 수 있거나, 또는 이와 다르게 상이하게 편성될 수 있다. 본원에서 설명된 기능성은 유형의, 비-일시적인 머신 판독가능 매체 상에서 저장된 코드를 실행하는 하나 이상의 컴퓨터들의 하나 이상의 프로세서들에 의해 제공될 수 있다. 일부 경우들에는, 제3 당사자 컨텐츠 전달 네트워크들은 네트워크들 상에서 운반된 정보의 일부 또는 전부를 호스팅할 수 있고, 이 경우에, 정보(예컨대, 컨텐츠)가 공급되거나 이와 다르게 제공되는 것으로 말해지는 한도까지, 컨텐츠 전달 네트워크로부터 그 정보를 취출하기 위한 명령들을 전송함으로써 정보가 제공될 수 있다.
이와 다르게 구체적으로 기재되지 않으면, 논의로부터 명백한 바와 같이, 이 명세서의 전반에 걸쳐, "프로세싱", "연산", "계산", "결정" 등과 같은 용어들을 사용하는 논의들은 특수 목적 컴퓨터 또는 유사한 특수 목적 전자 프로세싱/컴퓨팅 디바이스와 같은 특정 장치의 액션들 또는 프로세스들을 지칭한다는 것이 인식된다.
독자는 본 출원이 몇몇 발명들을 설명한다는 것을 인식해야 한다. 그 발명들을 다수의 격리된 특허 출원들로 분리시키는 것이 아니라, 그 관련된 발명 요지가 출원 프로세스에서의 경제성들에 적합하기 때문에, 이 발명은 단일 문서로 그룹화되었다. 그러나, 이러한 발명들의 분명한 장점들 및 양태들은 융합되지 않아야 한다. 일부 경우들에는, 실시예들이 본원에서 언급된 결점들의 전부를 해결하지만, 발명들은 독립적으로 유?하고, 일부 실시예들은 이러한 문제들의 오직 서브세트를 해결하거나, 본 개시내용을 검토하는 당해 분야의 당업자들에게 명백할 다른 비언급된 장점들을 제거한다는 것이 이해되어야 한다. 비용들 제약들로 인해, 본원에서 개시된 일부 발명들은 현재 청구되지 않을 수 있고, 계속 출원들과 같은 더 이후의 제출들에서, 또는 본 청구항들을 보정함으로써 청구될 수 있다. 유사하게, 공간 제약들로 인해, 본 문서의 요약서 뿐만 아니라 개요 섹션들도 이러한 모든 발명들 또는 이러한 발명들의 모든 양태들의 포괄적인 리스트를 포함하는 것으로 취해지지 않아야 한다.
설명 및 도면들은 본 개시내용을 개시된 특정한 형태들로 제한하도록 의도된 것이 아니라, 반대로, 발명은 첨부된 청구항들에 의해 정의된 바와 같은 발명들의 사상 및 범위 내에 속하는 모든 수정들, 등가물들, 및 대안들을 포괄하기 위한 것이라는 것이 이해되어야 한다.
발명들의 다양한 양태들의 수정들 및 대안적인 실시예들은 이 설명을 고려하여 당해 분야의 당업자들에게 명백할 것이다. 따라서, 이 설명 및 도면들은 오직 예시적인 것으로서 해석되어야 하고, 발명들을 수행하는 일반적인 방식을 당해 분야의 당업자들에게 교시하는 목적을 위한 것이다. 본원에서 도시되고 설명된 발명들의 형태들은 실시예들의 예들로서 취해져야 한다는 것이 이해되어야 한다. 이 설명의 장점을 가진 후에 당해 분야의 당업자에게 명백한 바와 같이, 엘리먼트들 및 재료들은 본원에서 예시되고 설명된 것들에 대하여 치환될 수 있고, 부분들 및 프로세스들은 반전될 수 있거나 생략될 수 있고, 어떤 특징부들은 독립적으로 사용될 수 있고, 실시예들 또는 실시예들의 특징부들은 조합될 수 있다. 다음의 청구항들에서 설명된 바와 같은 발명의 사상 및 범위로부터 이탈하지 않으면서, 본원에서 설명된 엘리먼트들에서 변경들이 행해질 수 있다. 본원에서 이용된 제목들은 오직 편성적 목적들을 위한 것이고, 설명의 범위를 제한하기 위하여 이용되도록 의도된 것은 아니다.
이 출원의 전반에 걸쳐 이용된 바와 같이, 단어 "may"는 의무적 의미(즉, must를 의미함)가 아니라, 허용적 의미(즉, 가능성을 가진다는 것을 의미함)로 이용된다. 단어들 "포함한다(include)", "포함하는(including)", 및 "포함한다(includes)" 등은 포함하지만, 이것으로 제한되지는 않는다는 것을 의미한다. 이 출원의 전반에 걸쳐 이용된 바와 같이, 단수 형태들 "a", "an", 및 "the"는 내용이 이와 다르게 명시적으로 표시하지 않으면 복수의 지시대상들을 포함한다. 이에 따라, 예를 들어, "an" 엘리먼트 또는 "a" 엘리먼트에 대한 참조는 "하나 이상"과 같은 하나 이상의 엘리먼트들에 대한 다른 용어들 및 어구들의 이용에도 불구하고, 2 개 이상의 엘리먼트들의 조합을 포함한다. 용어 "또는(or)"은 이와 다르게 표시되지 않으면, 비-배타적이고, 즉, "및(and)" 및 "또는(or)"의 양자를 망라하고 있다. 조건적 관계들을 설명하는 용어들, 예컨대, "X, Y에 응답하여", "X, Y 시에", "X, Y일 경우", "X, Y일 때" 등은, 선행사가 필수적인 인과적 조건이거나, 선행사가 충분한 인과적 조건이거나, 선행사가 결론부의 기여하는 인과적 조건이고, 예컨대, "상태 X는 조건 Y 획득 시에 발생함"은 "X는 전적으로 Y 시에 발생함" 및 "X는 Y 및 Z 시에 발생함"에 대해 포괄적인 인과적 관계들을 망라한다. 일부 결론들이 지연될 수 있으므로, 이러한 조건적 관계들은 선행사 획득을 즉시 뒤따르는 결론으로 제한되지는 않고, 조건적 설명들에서, 선행사들은 그 결론부들에 연결되고, 예컨대, 선행사는 결론부 발생의 가능성에 관련된다. 복수의 속성들 또는 기능들이 복수의 객체들(예컨대, 단계들 A, B, C, 및 D를 수행하는 하나 이상의 프로세서들)로 맵핑되는 설명들은 이와 다르게 표시되지 않으면, 모든 이러한 객체들로 맵핑되는 모든 이러한 속성들 또는 기능들, 및 속성들 또는 기능들의 서브세트들로 맵핑되는 속성들 또는 기능들의 서브세트들의 양자 모두(예컨대, 단계들 A 내지 D를 각각 수행하는 모든 프로세서들, 및 프로세서 1이 단계 A를 수행하고, 프로세서 2가 단계 B 및 단계 C의 일부를 수행하고, 프로세서 3이 단계 C의 일부 및 단계 D를 수행하는 경우의 양자 모두)를 망라한다. 또한, 이와 다르게 표시되지 않으면, 하나의 값 또는 액션이 또 다른 조건 또는 값에 "기초한다는" 설명들은 조건 또는 값이 유일한 인자인 사례들, 및 조건 또는 값이 복수의 인자들 중에서 하나의 인자인 사례들의 양자 모두를 망라한다. 이와 다르게 표시되지 않으면, 일부 집합의 "각각의" 사례가 일부 성질을 가진다는 설명들은 더 큰 집합의 일부 이와 다르게 동일하거나 유사한 부재들이 성질을 가지지 않는, 즉, 각각의 반드시 각각 및 모두를 의미하지는 않는 경우들을 제외하도록 판독되지 않아야 한다. 범위로부터의 선택에 대한 참조들은 범위의 종료 포인트들을 포함한다.
위의 설명에서, 플로우차트들에서의 임의의 프로세스들, 설명들, 또는 블록들은 프로세스에서의 특정 논리적 기능들 또는 단계들을 구현하기 위한 하나 이상의 실행가능한 명령들을 포함하는 코드의 모듈들, 세그먼트들, 또는 부분들을 표현하는 것으로서 이해되어야 하고, 대안적인 구현예들은 당해 분야의 당업자들에 의해 이해되는 바와 같이, 관여된 기능성에 따라, 실질적으로 동시 또는 반대 순서를 포함하는, 그 도시되거나 논의된 것으로부터의 순서와 달리 기능들이 실행될 수 있는 현재의 진보들의 예시적인 실시예들의 범위 내에 포함된다.
어떤 미국 특허들, 미국 특허 출원들, 또는 다른 자료들(예컨대, 논문들)이 참조에 편입된 한도까지, 이러한 미국 특허들, 미국 특허 출원들, 및 다른 자료들의 텍스트는 이러한 자료와 본원에서 기재된 설명들 및 도면들 사이에서 충돌이 존재하지 않는 정도까지 참조에 의해 오직 편입된다. 이러한 충돌의 경우에, 참조에 의해 이러한 편입된 미국 특허들, 미국 특허 출원들, 및 다른 자료들에서의 임의의 이러한 충돌하는 텍스트는 본원에서 참조에 의해 구체적으로 편입되지 않는다.
어떤 실시예들이 설명되었지만, 이 실시예들은 오직 예로서 제시되었고, 본 개시내용의 범위를 제한하도록 의도된 것이 아니다. 실제로, 본원에서 설명된 신규한 방법들 및 시스템들은 다양한 다른 형태들로 구체화될 수 있고; 또한, 본원에서 설명된 방법들, 장치들, 및 시스템들의 형태에서의 다양한 생략들, 치환들, 및 변경들은 본 개시내용의 사상으로부터 이탈하지 않으면서 행해질 수 있다. 첨부 청구항들 및 그 등가물들은 본 개시내용의 범위 및 사상 내에 속하는 바와 같은 이러한 형태들 또는 변형들을 포괄하도록 의도된다.

Claims (18)

  1. 패터닝 프로세스의 프로세스 윈도우를 식별하기 위한 방법으로서,
    (i) 패터닝 프로세스의 실패율(failure rate) 측정에 기초한 패터닝 프로세스의 파라미터의 파라미터 제한(parameter limit), (ii) 프로세스 변수의 함수로 정의된 확률 밀도 함수(probability density function)와 패터닝 프로세스의 프로세스 변수의 분산(variance)을 획득하는 단계;
    상기 파라미터 제한 및 파라미터의 상기 확률 밀도 함수에 기초하여 패터닝 프로세스의 추정된 실패율을 결정하는 단계; 및
    하드웨어 컴퓨터 시스템에 의해, 추정된 실패율이 선택된 임계치 미만이 되도록 프로세스 변수의 관점에서 패터닝 프로세스의 프로세스 윈도우(process window)를 식별하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    프로세스 윈도우의 식별은 추정된 실패율 및 선택된 임계치의 교차부와 프로세스 변수의 범위를 결정하는 것을 포함하는, 방법.
  3. 제 1 항에 있어서,
    상기 선택된 임계치는 패터닝 프로세스의 선택된 수율(yield)에 기초하는, 방법.
  4. 제 1 항에 있어서,
    상기 패터닝 프로세스는 상기 프로세스 윈도우에 기초하여 패터닝 프로세스의 하나 이상의 장치를 조정하도록 구성된, 방법.
  5. 제 4 항에 있어서,
    상기 하나 이상의 장치는 상기 프로세스 윈도우에 기초하여 기판 상에 패터닝을 수행하도록 구성된 리소그래피 장치를 포함하는, 방법.
  6. 제 1 항에 있어서,
    상기 패터닝 프로세스의 파라미터는 임계 치수이고, 상기 프로세스 변수는 도즈인, 방법.
  7. 패터닝 프로세스의 프로세스 윈도우를 식별하기 위한 방법으로서,
    (i) 패턴화 프로세스의 제1 파라미터의 변동, (ii) 제1 파라미터와 제2 파라미터 사이의 관계에 기초한 제2 파라미터의 변동, 및 (iii) 패턴화 프로세스의 프로세스 모델을 획득하는 단계;
    제1 파라미터의 변동을 수정하기 위하여, 제1 파라미터와 제2 파라미터 사이의 관계에서 가우시안 분포(Gaussian distribution)를 삽입하는 단계; 및
    프로세스 모델의 시뮬레이션을 통해, 프로세스 모델의 메리트 함수(merit function)가 최적화되도록 프로세스 윈도우를 식별하는 단계 - 메리트 함수는 제2 파라미터의 실패율 분포에 기초한 결함 메트릭(defect metric)을 포함함 - 를 포함하는, 방법.
  8. 제 7 항에 있어서,
    프로세스 윈도우를 식별하는 단계는 반복 프로세스이고, 반복은:
    제2 파라미터를 바이어싱하는 것; 및
    바이어싱으로 인한 실패율 분포에 기초하여 실패 확률을 결정하는 것을 포함하는, 방법.
  9. 제 8 항에 있어서,
    상기 바이어싱은 제1 파라미터의 값들 또는 패턴화 디바이스의 특성을 조절함으로써 달성되는, 방법.
  10. 제 8 항에 있어서,
    상기 바이어싱은 기판 상에서 인쇄되어야 할 임계 치수를 증가시키거나 감소시키는 것을 포함하는, 방법.
  11. 제 7 항에 있어서,
    상기 제1 파라미터는 도우즈이고, 상기 제2 파라미터는 임계 치수인, 방법.
  12. 제 7 항에 있어서,
    상기 메리트 함수의 최적화는 하나 이상의 결함들과 연관된 실패율의 최소화 또는 메리트 함수의 최적화는 제1 임계치 미만인 제1 파라미터의 값들로 인한 제1 결함 출현 또는 제2 임계치 초과인 제1 파라미터의 값들로 인한 제2 결함 출현 사이의 균형을 확립하는 것을 수반하는, 방법.
  13. 패터닝 프로세스의 프로세스 윈도우를 식별하기 위한 방법으로서,
    피쳐를 생성하기 위해 사용되는 패터닝 프로세스의 프로세스 변수의 함수로서 정의된 기판 상의 피처의 파라미터의 기능적 거동을 획득하는 단계;
    하드웨어 컴퓨터 시스템에 의해 프로세스 변수 설정에 대한 프로세스 변수의 확률 밀도 함수에서 프로세스 변수의 함수에 기초한 설정에 대한 파라미터의 확률 밀도 함수로 변환하는 단계;
    하드웨어 컴퓨터 시스템에 의해 설정에 대한 파라미터 확률 밀도 함수 및 피쳐의 실패율의 측정에 기초하여 추정된 실패율 또는 피처의 수율을 결정하는 단계를 포함하는, 방법.
  14. 제 13 항에 있어서,
    하드웨어 컴퓨터 시스템에 의해, 파라미터의 추정된 실패율이 선택된 임계치 미만이 되도록, 프로세스 변수의 측면에서 프로세스 윈도우를 식별하는 단계를 포함하는, 방법.
  15. 제 13 항에 있어서,
    프로세스 변수의 설정에 대한 프로세스 변수의 확률 밀도 함수는 프로세스 변수의 설정에 대한 파라미터의 측정된 변수로부터 계산된 프로세스 변수의 분산과 프로세스 변수의 설정에 대해 결정된 프로세스 변수의 관점에서 프로세스 변수의 함수의 국소 미분(local derivative)에 기초하여 결정되는, 방법.
  16. 제 13 항에 있어서,
    상기 변환하는 단계는 상기 프로세스 변수의 설정에 대해 결정된 프로세스 변수의 함수의 역(inverse)의 국소 미분의 절대값인 변환 인자를 이용하여 수행되는, 방법.
  17. 제 13 항에 있어서,
    복수의 피쳐 유형들 중 각각의 피쳐 유형의 추정된 실패율 또는 수율을 획득하는 단계; 및;
    복수의 피쳐 유형들 중 각각의 피쳐 유형의 추정된 실패율 또는 수율에 기초하여 중첩 프로세스 윈도우를 결정하는 단계를 더 포함하는, 방법.
  18. 명령어들을 갖는 비-일시적 컴퓨터 판독가능 기록매체에 저장된 컴퓨터 프로그램에 있어서, 상기 명령어들은 컴퓨터에 의해 실행될 때,
    제 1 항 내지 제 17 항 중 어느 한 항의 방법을 실행하도록 구성된, 컴퓨터 프로그램.
KR1020227030237A 2017-12-22 2018-12-17 결함 확률에 기초한 프로세스 윈도우 KR102585064B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762609755P 2017-12-22 2017-12-22
US62/609,755 2017-12-22
US201862773259P 2018-11-30 2018-11-30
US62/773,259 2018-11-30
PCT/EP2018/085159 WO2019121486A1 (en) 2017-12-22 2018-12-17 Process window based on defect probability
KR1020207017870A KR102440337B1 (ko) 2017-12-22 2018-12-17 결함 확률에 기초한 프로세스 윈도우

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207017870A Division KR102440337B1 (ko) 2017-12-22 2018-12-17 결함 확률에 기초한 프로세스 윈도우

Publications (2)

Publication Number Publication Date
KR20220125373A KR20220125373A (ko) 2022-09-14
KR102585064B1 true KR102585064B1 (ko) 2023-10-05

Family

ID=64959304

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227030237A KR102585064B1 (ko) 2017-12-22 2018-12-17 결함 확률에 기초한 프로세스 윈도우
KR1020207017870A KR102440337B1 (ko) 2017-12-22 2018-12-17 결함 확률에 기초한 프로세스 윈도우

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020207017870A KR102440337B1 (ko) 2017-12-22 2018-12-17 결함 확률에 기초한 프로세스 윈도우

Country Status (5)

Country Link
US (3) US11079687B2 (ko)
KR (2) KR102585064B1 (ko)
CN (2) CN115877673A (ko)
TW (3) TWI754263B (ko)
WO (1) WO2019121486A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11079687B2 (en) 2017-12-22 2021-08-03 Asml Netherlands B.V. Process window based on defect probability
WO2020094389A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
US11699017B2 (en) * 2019-02-08 2023-07-11 Siemens Industry Software Inc. Die yield assessment based on pattern-failure rate simulation
KR102641682B1 (ko) 2019-02-20 2024-02-27 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스의 제조 프로세스를 특성화하기 위한 방법
US11410292B2 (en) * 2019-09-27 2022-08-09 Kla Corporation Equi-probability defect detection
EP3851915A1 (en) * 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
KR20220132624A (ko) * 2020-02-12 2022-09-30 에이에스엠엘 네델란즈 비.브이. 제조 프로세스를 제어하기 위한 방법 및 연관된 장치
CN115104068A (zh) * 2020-02-21 2022-09-23 Asml荷兰有限公司 用于以基于缺陷的过程窗口为基础的校准模拟过程的方法
US11475201B2 (en) 2020-02-24 2022-10-18 Synopsys, Inc. Inclusion of stochastic behavior in source mask optimization
US11468222B2 (en) 2020-02-24 2022-10-11 Synopsys, Inc. Stochastic signal prediction in compact modeling
US11640490B2 (en) * 2020-02-25 2023-05-02 Synopsys, Inc. Source mask optimization by process defects prediction
US11874597B2 (en) 2020-02-25 2024-01-16 Synopsys, Inc. Stochastic optical proximity corrections
US20220005668A1 (en) * 2020-07-01 2022-01-06 Kla Corporation Target and algorithm to measure overlay by modeling back scattering electrons on overlapping structures
WO2022002599A1 (en) 2020-07-03 2022-01-06 Asml Netherlands B.V. Process window based on failure rate
KR20240056509A (ko) * 2021-09-07 2024-04-30 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정을 모니터링하는 방법 및 관련된 장치
EP4191337A1 (en) * 2021-12-01 2023-06-07 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
CN114152637B (zh) * 2022-02-07 2022-04-26 东莞市志橙半导体材料有限公司 一种硬质碳化硅材料打孔检测装置与方法
CN116748352B (zh) * 2023-08-14 2023-11-07 江苏新恒基特种装备股份有限公司 一种金属弯管机加工参数监测控制方法、系统及存储介质
CN117371387B (zh) * 2023-12-08 2024-02-13 浙江集迈科微电子有限公司 集成电路器件版图参数化构建方法装置、存储介质和终端
CN117710270B (zh) * 2024-02-04 2024-05-03 全智芯(上海)技术有限公司 用于自由尺度光学邻近校正的方法、电子设备及存储介质

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010152356A (ja) * 2008-12-18 2010-07-08 Brion Technologies Inc リソグラフィプロセスウィンドウ最大化光近接効果補正のための方法及びシステム

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
WO2004008244A2 (en) * 2002-07-15 2004-01-22 Kla-Tencor Technologies Corp. Defect inspection methods that include acquiring aerial images of a reticle for different lithographic process variables
WO2004059394A2 (en) * 2002-12-30 2004-07-15 Koninklijke Philips Electronics N.V. Determining lithographic parameters to optimise a process window
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR100958714B1 (ko) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
WO2007030704A2 (en) 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
JP2008258361A (ja) * 2007-04-04 2008-10-23 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
US8195427B2 (en) * 2009-12-23 2012-06-05 Cadence Design Systems, Inc. Methods and systems for high sigma yield estimation using reduced dimensionality
KR20110079110A (ko) * 2009-12-31 2011-07-07 주식회사 동부하이텍 광 근접 효과 보정 방법
NL2007577A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
NL2008966A (en) 2011-07-01 2013-01-07 Asml Netherlands Bv Method and apparatus for cost function based simultaneous opc and sbar optimization.
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
US8806388B2 (en) 2012-03-23 2014-08-12 Texas Instruments Incorporated Extraction of imaging parameters for computational lithography using a data weighting algorithm
NL2010647A (en) * 2012-05-04 2013-11-06 Asml Netherlands Bv Design rule and lithographic process co-optimization.
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US8856698B1 (en) 2013-03-15 2014-10-07 Globalfoundries Inc. Method and apparatus for providing metric relating two or more process parameters to yield
NL2013745A (en) * 2013-12-05 2015-06-08 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods & apparatus.
KR101924487B1 (ko) 2013-12-17 2018-12-03 에이에스엠엘 네델란즈 비.브이. 수율 추산 및 제어
CN105992975B (zh) 2014-02-11 2018-06-01 Asml荷兰有限公司 用于计算任意图案的随机变化的模型
KR102359050B1 (ko) 2014-02-12 2022-02-08 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
JP6491677B2 (ja) * 2014-06-10 2019-03-27 エーエスエムエル ネザーランズ ビー.ブイ. 計算的ウェーハ検査
WO2016045901A1 (en) * 2014-09-22 2016-03-31 Asml Netherlands B.V. Process window identifier
WO2016096668A1 (en) 2014-12-17 2016-06-23 Asml Netherlands B.V. Hotspot aware dose correction
WO2016128189A1 (en) 2015-02-13 2016-08-18 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
CN105469106B (zh) 2015-11-13 2018-06-05 广东欧珀移动通信有限公司 指纹识别方法、装置及终端设备
WO2017080729A1 (en) * 2015-11-13 2017-05-18 Asml Netherlands B.V. Methods for identifying a process window boundary
WO2017114662A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Selection of measurement locations for patterning processes
WO2018050432A1 (en) 2016-09-13 2018-03-22 Asml Netherlands B.V. Optimization of a lithography apparatus or patterning process based on selected aberration
KR102224672B1 (ko) 2016-10-26 2021-03-08 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법
US11079687B2 (en) 2017-12-22 2021-08-03 Asml Netherlands B.V. Process window based on defect probability

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010152356A (ja) * 2008-12-18 2010-07-08 Brion Technologies Inc リソグラフィプロセスウィンドウ最大化光近接効果補正のための方法及びシステム

Also Published As

Publication number Publication date
TWI694316B (zh) 2020-05-21
WO2019121486A1 (en) 2019-06-27
TW202232248A (zh) 2022-08-16
KR20200087851A (ko) 2020-07-21
US11822255B2 (en) 2023-11-21
TWI754263B (zh) 2022-02-01
CN115877673A (zh) 2023-03-31
CN111512237A (zh) 2020-08-07
TW202107211A (zh) 2021-02-16
US20210018850A1 (en) 2021-01-21
KR102440337B1 (ko) 2022-09-05
CN111512237B (zh) 2023-01-24
TWI808593B (zh) 2023-07-11
KR20220125373A (ko) 2022-09-14
US20240126181A1 (en) 2024-04-18
US11079687B2 (en) 2021-08-03
US20210356874A1 (en) 2021-11-18
TW201937305A (zh) 2019-09-16

Similar Documents

Publication Publication Date Title
KR102585064B1 (ko) 결함 확률에 기초한 프로세스 윈도우
KR102529085B1 (ko) 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
TWI785504B (zh) 用於調整製程窗之方法及其相關非暫時性電腦可讀媒體
TWI824334B (zh) 非暫時性電腦可讀媒體
TWI803834B (zh) 圖案化製程之最佳化流程
CN111512236B (zh) 涉及光学像差的图案化过程改进
TWI779674B (zh) 基於失效率之製程窗
US20220334493A1 (en) Method for determining aberration sensitivity of patterns
TW202414116A (zh) 用於校準模擬製程之方法及其相關非暫時性電腦可讀媒體

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant