KR20240056509A - 리소그래피 공정을 모니터링하는 방법 및 관련된 장치 - Google Patents

리소그래피 공정을 모니터링하는 방법 및 관련된 장치 Download PDF

Info

Publication number
KR20240056509A
KR20240056509A KR1020247007805A KR20247007805A KR20240056509A KR 20240056509 A KR20240056509 A KR 20240056509A KR 1020247007805 A KR1020247007805 A KR 1020247007805A KR 20247007805 A KR20247007805 A KR 20247007805A KR 20240056509 A KR20240056509 A KR 20240056509A
Authority
KR
South Korea
Prior art keywords
metric
placement
computer program
features
batch
Prior art date
Application number
KR1020247007805A
Other languages
English (en)
Inventor
바히드 바스타니
위첸 장
아타이드 코스타 에 실바 마르실 드
헤르마누스 아드리아누스 딜렌
베이크 로버르트 얀 판
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP21211785.7A external-priority patent/EP4191337A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20240056509A publication Critical patent/KR20240056509A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Executing Machine-Instructions (AREA)

Abstract

리소그래피 공정에서 기판 상에서의 하나 이상의 피처의 배치와 관련된 배치 메트릭을 결정하는 컴퓨터 실행 방법이 개시된다. 본 방법은 복수의 배치 오차 기여자 매개변수와 관련된 배치 오차 기여자 데이터 및 수율을 나타내는 수율 데이터를 포함하는 설정 데이터를 획득하는 것; 및 수율 메트릭을 예측하기 위한 통계적 모델 -상기 통계적 모델은 배치 메트릭을 기반으로 하며, 상기 배치 메트릭은 상기 배치 오차 기여자 매개변수 및 관련된 모델 계수의 함수임-을 규정하는 것을 포함한다. 모델 계수는 상기 설정 데이터를 기반으로 피팅되며; 배치 메트릭은 상기 피팅된 모델 계수로부터 결정된다.

Description

리소그래피 공정을 모니터링하는 방법 및 관련된 장치
관련 출원에 대한 상호 참조
본 출원은 2021년 9월 7일에 출원된 EP 출원 제21195360.9호 및 2021년 12월 1일에 출원된 EP 출원 제21211785.7호의 우선권을 주장하며, 이들은 원용에 의해 전체적으로 본 명세서에 포함된다.
본 발명은, 예를 들어 리소그래피 기술에 의한 디바이스의 제조시 계측을 수행하기 위해 사용 가능한 계측 장치 및 방법에 관한 것이다. 본 발명은 또한 리소그래피 공정에서 에지 배치 오차 또는 관련된 메트릭을 모니터링하는 이러한 방법에 관한 것이다.
리소그래피 장치는 원하는 패턴을 기판 상으로, 일반적으로 기판의 타겟 부분 상으로 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클로 지칭되는 패터닝 디바이스가 IC의 개별 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판 (예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 하나의 또는 다수의 다이의 일부를 포함하는) 타겟 부분 상으로 전사될 수 있다. 패턴의 전사는 전형적으로 기판 상에 제공된 방사선-감응 재료(레지스트)의 층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접 타겟 부분들의 네크워크를 포함할 것이다.
리소그래피 공정에서, 생성된 구조체를, 예를 들어 공정 제어 및 검증을 위하여 자주 측정하는 것이 바람직하다. 임계 치수(CD)를 측정하기 위하여 흔히 사용되는 스캐닝 전자 현미경, 및 디바이스에 있는 2개의 층의 정렬 정확도인 오버레이를 측정하는 전문적인 툴을 포함하는, 이러한 측정을 하기 위한 다양한 툴이 알려져 있다. 최근, 다양한 형태의 스캐터로미터가 리소그래피 분야에서의 사용을 위하여 개발되고 왔다. 이 디바이스들은 방사선의 빔을 타겟 상으로 지향시키고, 산란 방사선의 하나 이상의 특성 -예를 들어, 파장의 함수로서의 단일 반사 각도에서의 세기; 반사 각도의 함수로서의 하나 이상의 파장에서의 세기; 또는 반사 각도의 함수로서의 편광-을 측정하여 회절 "스펙트럼"을 획득하며, 타겟의 관심 대상 특성은 이 회절 스펙트럼으로부터 결정될 수 있다.
공지된 스캐터로미터의 예는 US2006033921A1 및 US2010201963A1에 설명된 유형의 각도-분해 스캐터로미터를 포함한다. 이러한 스캐터로미터에 의하여 사용되는 타겟은 상대적으로 큰, 예를 들어 40㎛×40㎛ 격자이며, 측정 빔은 격자보다 작은 스폿을 생성한다 (즉, 격자는 언더필된다). 암시야 이미징 계측의 예가 국제 특허출원 US20100328655A1 및 US2011069292A1에서 찾아질 수 있으며, 이 문헌들은 원용에 의해 전체적으로 본 명세서에 포함된다. 이 기술의 추가적인 개발 예는 특허 공개 번호 US20110027704A, US20110043791A, US2011102753A1, US20120044470A, US20120123581A, US20130258310A, US20130271740A 및 WO2013178422A1에 설명되었다. 이 타겟은 조명 스폿보다 더 작을 수 있으며, 웨이퍼 상의 제품 구조체로 둘러싸일 수 있다. 복합 격자 타겟을 사용하여 하나의 이미지 내에서 다수의 격자가 측정될 수 있다. 이 출원들 모두의 내용 또한 원용에 의해 본 명세서에 포함된다.
패터닝 성능의 모니터링은 특히 오버레이 및 CD와 같은 공정 메트릭의 별개의 모니터링을 기반으로 하였다. 그러나 최근 들어서 바람직한 패터닝 성능 메트릭은 에지 배치 오차(edge placement error)(EPE)이다. 피처의 에지의 위치는 피처의 측방향 위치(오버레이)와 피처의 크기(CD)에 의해 결정된다. 이것 중 일부는 본질적으로 매우 국부적이고 확률적이며; 예를 들어 국부 오버레이(LOVL) 및 국부 CD 균일성(LCDU)에 의존적이다. 또한 라인 에지 거칠기(line edge roughness)(LER) 및 라인 폭 거칠기(line width roughness)(LWR)는 매우 국부적인 CD 변화를 야기할 수 있다. 이들 모두는 EPE 성능에 중요한 기여자일 수 있다.
EPE는 주사 전자 현미경(SEM) 검사를 통해 직접 측정될 수 있으며, 그의 예는 e-빔 계측 또는 e-빔 검사를 포함한다. 그러나 이는 다이가 완성된 후에만 이루어질 수 있다. 부가적으로 SEM 측정 전에 디캡핑(decapping)이 필요할 수 있으며, 이는 파괴적이고 낭비적이며 따라서 많은 비용이 든다. 이 때문에, EPE는 다른 메트릭들로부터 재구성될 수 있다.
EPE를 재구성하기 위한 개선된 방법을 제공하는 것이 바람직할 것이다.
리소그래피 공정에서 기판 상에서의 하나 이상의 피처의 배치와 관련된 배치 메트릭을 결정하기 위한 컴퓨터 프로그램을 제공하며, 컴퓨터 프로그램은, 복수의 배치 오차 기여자 매개변수와 관련된 배치 오차 기여자 데이터 및 수율을 나타내는 수율 데이터를 포함하는 설정 데이터를 획득하도록; 수율 메트릭을 예측하기 위한 통계적 모델 -상기 통계적 모델은 배치 메트릭을 기반으로 하며, 상기 배치 메트릭은 상기 배치 오차 기여자 매개변수 및 관련된 모델 계수의 함수임-을 규정하도록; 상기 설정 데이터를 기반으로 상기 모델 계수를 피팅하도록; 그리고 상기 피팅된 모델 계수로부터 상기 배치 메트릭을 결정하도록 구성된 컴퓨터 판독 가능한 명령어를 포함한다.
본 발명의 추가 특징과 이점은 물론, 본 발명의 다양한 실시예의 구조 및 작동이 첨부 도면을 참조하여 아래에서 상세히 설명된다. 본 발명은 본 명세서에 설명된 특정 실시예에 제한되지 않는다는 점이 주목된다. 이러한 실시예는 단지 설명의 목적으로만 본 명세서에 제시된다. 부가적인 실시예는 본 명세서에 포함된 교시를 기반으로 관련 기술(들)의 숙련자에게 명백할 것이다.
이제 본 발명의 실시예가, 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략도를 참조하여 단지 예로서 설명될 것이며, 도면에서:
도 1은 리소그래피 장치를 도시하고 있다.
도 2는 본 발명에 따른 검사 장치가 사용될 수 있는 리소그래피 셀 또는 클러스터를 도시하고 있다.
도 3은 각도-분해 스캐터로메트리 및 암시야 이미징 검사 방법을 수행하도록 조정된 검사 장치를 개략적으로 예시하고 있다.
도 4는 본 발명의 실시예에 따른 EPE 재구성 방법을 설명하는 흐름도이다.
도 5는 (a) 종래 기술의 기하학적 구조 기반 EPE 재구성 방법; 및 (b) 본 발명의 실시예에 따른 데이터 중심의 EPE 재구성 방법에 대한 예측 또는 재구성된 EPE에 대한 VC 또는 수율 데이터의 플롯이다.
본 발명의 실시예를 상세히 설명하기 전에, 본 발명의 실시예가 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)를 개략적으로 도시하고 있다. 본 장치는 방사선 빔(B) (예를 들어, UV 방사선 또는 DUV 방사선)을 조절하도록 구성된 조명 시스템 (일루미네이터)(IL); 패터닝 디바이스 (예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 매개변수에 따라서 패터닝 디바이스를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결된 패터닝 디바이스 지지체 또는 지지 구조체 (예를 들어, 마스크 테이블)(T); 기판 (예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지시키도록 각각 구성되고 특정 매개변수에 따라 기판을 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 각각 연결된 2개의 기판 테이블 (예를 들어, 웨이퍼 테이블)(WTa 및 WTb); 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상으로 투영시키도록 구성된 투영 시스템 (예를 들어, 굴절형 투영 렌즈 시스템)(PS)을 포함한다. 기준 프레임(RF)은 다양한 구성 요소를 연결하며, 패터닝 디바이스와 기판의 그리고 그들 위의 피처의 위치를 설정하고 측정하기 위한 기준의 역할을 한다.
조명 시스템은 방사선을 지향시키고, 성형(shaping)하며 또는 제어하기 위하여, 굴절식, 반사식, 자기식, 전자기식, 정전식 또는 다른 유형의 광학 구성 요소, 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 구성 요소를 포함할 수 있다.
패터닝 디바이스 지지체는 패터닝 디바이스의 배향, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 기타 조건에 의존하는 방식으로 패터닝 디바이스를 유지시킨다. 패터닝 디바이스 지지체는 많은 형태를 취할 수 있다; 패터닝 디바이스 지지체는 패터닝 디바이스가, 예를 들어 투영 시스템에 대해 원하는 위치에 있는 것을 보장할 수 있다.
본 명세서에 사용된 용어 "패터닝 디바이스"는 기판의 타겟 부분에 패턴을 생성하기 위해 방사선 빔의 횡단면에 패턴을 부여하는 데 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 넓게 해석되어야 한다. 예를 들어, 패턴이 위상 시프트 피처 또는 소위 어시스트 피처를 포함하는 경우, 방사선 빔에 부여된 패턴은 기판의 타겟 부분의 원하는 패턴과 정확하게 대응하지 않을 수 있다는 점이 주목되어야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같은 타겟 부분에 생성되는 디바이스의 특정 기능 층에 대응할 것이다.
여기에서 도시된 바와 같이, 본 장치는 (예를 들어, 투과형 패터닝 디바이스를 사용하는) 투과 유형이다. 대안적으로, 본 장치는 (예를 들어, 위에서 언급된 바와 같은 유형의 프로그램 가능한 미러 어레이를 사용하는, 또는 반사 마스크를 사용하는) 반사 유형일 수 있다. 패터닝 디바이스의 예는 마스크, 프로그램 가능한 미러 어레이 및 프로그램 가능한 LCD 패널을 포함한다. 본 명세서에서 용어 "레티클" 또는 "마스크"의 임의의 사용은 보다 일반적인 용어 "패터닝 디바이스"와 동의어로 간주될 수 있다. 용어 "패터닝 디바이스"는 이러한 프로그램 가능한 패터닝 디바이스를 제어하는 데 사용하기 위해 패턴 정보를 디지털 형식으로 저장하는 디바이스를 지칭하는 것으로 해석될 수도 있다.
본 명세서에서 사용되는 용어 "투영 시스템"은 이용되고 있는 노광 방사선에 대하여 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 요인에 대하여 적합한, 굴절형, 반사형, 반사 굴절형 (catadioptric), 자기형, 전자기형, 및 정전형 광학 시스템, 또는 이들의 임의의 조합을 포함하는 임의의 유형의 투영 시스템을 포함하는 것으로서 넓게 해석되어야 한다. 본 명세서에서 사용되는 용어 "투영 렌즈"의 임의의 사용은 더욱 일반적인 용어 "투영 시스템"과 동의어로 간주할 수 있다.
리소그래피 장치는 또한 투영 시스템과 기판 사이의 공간을 채우기 위해 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 덮여질 수 있는 유형일 수 있다. 침지 액체는 또한 리소그래피 장치의 다른 공간, 예를 들어 마스크와 투영 시스템 사이에 적용될 수 있다. 침지 기술은 투영 시스템의 개구수를 증가시키기 위한 기술 분야에서 잘 알려져 있다.
작동 중에, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 받아들인다. 예를 들어, 방사선 소스가 엑시머 레이저인 경우, 소스와 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적합한 지향 미러 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로 소스(SO)로부터 일루미네이터(IL)로 나아간다. 다른 경우에, 예를 들어 소스가 수은 램프일 때, 소스는 리소그래피 장치의 필수적인 부분일 수 있다. 소스(SO) 및 일루미네이터(IL)는 필요에 따라 빔 전달 시스템(BD)과 함께 방사 시스템으로 지칭될 수 있다.
일루미네이터(IL)는, 예를 들어 방사선 빔의 각도 세기 분포를 조정하기 위한 조정기(AD), 집속기(IN), 및 집광기(CO)를 포함할 수 있다. 일루미네이터는 방사선 빔의 횡단면에 원하는 균일성 및 세기 분포를 갖도록 방사선 빔을 조절하기 위해 사용될 수 있다.
방사선 빔(B)은 패터닝 디바이스 지지체(MT) 상에 유지되어 있는 패터닝 디바이스(MA)에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스 (예를 들어, 마스크)(MA)를 가로지른 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 투영 시스템은 빔을 기판(W)의 타겟 부분(C) 상으로 집속한다. 제2 위치 포지셔너(PW) 및 위치 센서(IF) (예를 들어, 간섭계 디바이스, 선형 인코더, 2-D 인코더 또는 용량형 센서)의 도움으로, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟 부분(C)들을 위치시키기 위하여 기판 테이블(WTa 또는 WTb)은 정확하게 이동될 수 있다. 마찬가지로, 제1 포지셔너(PM) 및 (도 1에 명확하게 도시되지 않은) 또 다른 위치 센서가 이용되어, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적 인출 후에 또는 스캔 동안에, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스 (예를 들어, 레티클/마스크)(MA)를 정확히 위치시킬 수 있다.
패터닝 디바이스 (예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크들이 전용 타겟 부분을 점유하고 있지만, 이들은 타겟 부분들 사이의 공간 내에 위치될 수 있다 (이들은 스크라이브-레인(scribe-lane) 정렬 마크로 알려져 있다). 마찬가지로, 패터닝 디바이스 (예를 들어, 마스크)(MA) 상에 하나보다 많은 다이가 제공되는 상황에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다. 소형 정렬 마크가 또한 다이 내에서 디바이스 피처들 사이에 포함될 수도 있으며, 그 경우 마커는 가능한 한 작게 되고, 인접한 피처와 다른 임의의 이미징 또는 공정 조건을 필요로 하지 않는 것이 바람직하다. 정렬 마커를 검출하는 정렬 시스템은 아래에서 더 설명된다.
도시된 장치는 다양한 모드로 사용될 수 있다. 스캔 모드에서, 패터닝 디바이스 지지체 (예를 들어, 마스크 테이블)(MT)와 기판 테이블(WT)은 방사선 빔에 부여한 패턴이 타겟 부분(C) 상으로 투영되는 동안 동시에 스캔된다 (즉, 단일 동적 노광). 패터닝 디바이스 지지체 (예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광에서의 타겟 부분의 (비-스캐닝 방향으로의) 폭을 제한하는 반면에, 스캐닝 움직임의 길이는 타겟 부분의 (스캐닝 방향으로의) 높이를 결정한다. 본 기술 분야에서 잘 알려진 바와 같이, 다른 유형의 리소그래피 장치 및 작동 모드가 가능하다. 예를 들어, 스텝 모드가 알려져 있다. 소위 "마스크리스(maskless)" 리소그래피에서, 프로그램 가능한 패터닝 디바이스는 정지 상태로 유지되지만 변화하는 패턴을 가지며, 기판 테이블(WT)은 이동되거나 스캔된다.
위에서 설명된 사용 모드들의 조합 및/또는 변형, 또는 전적으로 상이한 사용 모드가 또한 채용될 수 있다.
리소그래피 장치(LA)는 2개의 기판 테이블(WTa, WTb) 및 2개의 스테이션-노광 스테이션(EXP) 및 측정 스테이션(MEA)-을 갖는 소위 듀얼 스테이지 유형이며, 기판 테이블을 양 스테이션 사이에서 교환될 수 있다. 하나의 기판 테이블 상의 하나의 기판이 노광 스테이션에서 노광되고 있는 반면에, 또 다른 기판은 측정 스테이션에 있는 다른 기판 테이블 상으로 로딩될 수 있으며 다양한 준비 단계가 수행될 수 있다. 이는 장치의 처리량의 상당한 증가를 가능하게 한다. 준비 단계는 레벨 센서(LS)를 이용하여 기판의 표면 높이 윤곽을 매핑하는 것 및 정렬 센서(AS)를 이용하여 기판 상의 정렬 마커의 위치를 측정하는 것을 포함할 수 있다. 기판 테이블이 노광 스테이션뿐만 아니라 측정 스테이션에 있는 동안 위치 센서(IF)가 기판 테이블의 위치를 측정할 수 없다면, 제2 위치 센서가 제공되어 기준 프레임(RF)에 대한 기판 테이블의 위치가 양 스테이션에서 추적되는 것을 가능하게 한다. 다른 배열체가 알려져 있으며, 보여지는 듀얼-스테이지 배열체 대신에 사용 가능하다. 예를 들어, 기판 테이블과 측정 테이블이 제공되는 다른 리소그래피 장치가 알려져 있다. 이들은 준비 측정을 수행할 때에 함께 도킹되며, 기판 테이블이 노광을 겪는 동안에 언도킹된다.
도 2에서 보여지는 바와 같이, 리소그래피 장치(LA)는 종종 리소셀(lithocell) 또는 클러스터로도 지칭되는 리소그래픽 셀(LC)의 일부를 형성하며, 리소그래피 셀은 또한 기판에 대해 노광 전 공정 및 노광 후 공정을 수행하기 위한 장치를 포함한다. 통상적으로, 이들은 레지스트 층을 증착하기 위한 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 냉각 플레이트(CH), 및 베이크 플레이트(BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판을 픽업하여, 이를 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(LB)에 전달한다. 통칭적으로 트랙으로서도 지칭되는 이 디바이스들은 자체가 감독 제어 시스템(SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있으며, 이 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 따라서, 처리량과 처리 효율을 최대화하기 위하여 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일관적으로 노광되도록 하기 위하여, 후속 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하기 위해 노광 기판을 검사하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치되는 제조 설비는 또한 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수용하는 계측 시스템(MET)을 포함한다. 계측 결과는 감독 제어 시스템(SCS)으로 직접적으로 또는 간접적으로 제공된다. 오차가 검출되면, 후속 기판의 노광에 대한 조정이 이루어질 수 있으며, 동일한 배치(batch)의 다른 기판이 여전히 노광될 만큼 검사가 곧바로 그리고 신속하게 수행될 수 있다면 더욱 그렇다. 또한 이미 노광된 기판은 분해되고(stripped) 재작업되어 수율을 개선할 수 있거나 폐기될 수 있으며, 그에 의하여 결함이 있는 것으로 알려진 기판에 대한 추가 처리를 수행하는 것을 방지한다. 기판의 일부 타겟 부분에만 결함이 있는 경우, 양호한 타겟 부분에만 추가 노광이 수행될 수 있다.
계측 시스템(MET) 내에서, 검사 장치는 기판의 특성을 결정하기 위해, 그리고 특히 상이한 기판들 또는 동일한 기판의 상이한 층들의 특성이 층마다 어떻게 달라지는지를 결정하기 위해 이용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수 있으며 또는 독립형 디바이스일 수 있다. 가장 신속한 측정을 가능하게 하기 위해, 검사 장치가 노광 직후에 노광 레지스트 층에서의 특성을 측정하는 것이 바람직하다. 그러나 레지스트의 잠상은 매우 낮은 콘트라스트를 가지며 -방사선에 노출된 레지스트 부분과 그렇지 않은 부분 사이에는 매우 작은 굴절률 차이만이 있다- 또한 모든 검사 장치가 잠상의 유용한 측정을 행하기에 충분한 감도를 갖는 것은 아니다. 따라서, 노광 후 베이크 단계(PEB) 후에 측정이 이루어질 수도 있고, 이 PEB는 통상적으로 노광된 기판 상에 수행되는 제1 단계이며 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시킨다. 이 단계에서, 레지스트 내의 이미지는 반잠상(semi-latent)으로 지칭될 수 있다. 현상된 레지스트 이미지 -이 지점에서 레지스트의 노광된 부분 또는 노광되지 않은 부분이 제거되었다-를 측정하거나 에칭과 같은 패턴 전사 단계 후에 측정하는 것 또한 가능하다. 후자의 가능성은 결함이 있는 기판의 재작업 가능성을 제한하지만 여전히 유용한 정보를 제공할 수 있다.
본 발명의 실시예에서 이용하기에 적합한 계측 장치가 도 3a에서 보여지고 있다. 이는 적합한 계측 장치의 한 예일 뿐이라는 점을 주목한다. 대안적인 적합한 계측 장치는, 예를 들어 WO2017/186483A1에 개시된 것과 같은 EUV 방사선을 이용할 수 있다. 타겟 구조체(T) 및 타겟 구조체를 조명하기 위해 이용되는 측정 방사선의 회절 광선이 도 3b에 더 상세하게 도시되어 있다. 도시된 계측 장치는 암시야 계측 장치로 알려진 유형이다. 계측 장치는 독립형 디바이스일 수 있거나, 예를 들어, 측정 스테이션에서 리소그래피 장치(LA)에 또는 리소그래피 셀(LC)에 포함될 수 있다. 장치 전체에 여러 분기부(branches)를 갖는 광학 축은 점선(O)으로 나타내어진다. 이 장치에서, 소스(11) (예를 들어, 크세논 램프)에 의해 방출된 광은, 렌즈(12, 14)와 대물렌즈(16)를 포함하는 광학 시스템에 의하여 빔 스플리터(beam splitter)(15)를 통해 기판(W) 상으로 지향된다. 이 렌즈들은 4F 배열체의 이중 시퀀스로 배열된다. 검출기 상으로 기판 이미지를 여전히 제공하고 동시에 공간-주파수 필터링을 위하여 중간 퓨필-평면(pupil-plane)의 접근을 허용한다면, 상이한 렌즈 배열체가 이용될 수 있다. 따라서, 방사선이 기판 상에 입사하는 각도 범위는, 본 명세서에서 (공액(conjugate)) 퓨필 평면으로 지칭되는 기판 평면의 공간 스펙트럼을 나타내는 평면에서의 공간 세기 분포를 규정함으로써 선택될 수 있다. 특히, 이는 대물렌즈 퓨필 평면의 후방-투영 이미지(back-projected image)인 평면에서 렌즈(12 및 14)들 사이에 적절한 형태의 애퍼처 플레이트(aperture plate)(13)를 삽입함으로써 이루어질 수 있다. 도시된 예에서, 애퍼처 플레이트(13)는 13N 및 13S로 표기된 상이한 형태들을 가져 상이한 조명 모드가 선택되는 것을 허용한다. 본 예에서의 조명 시스템은 축외(off-axis) 조명 모드를 형성한다. 제1 조명 모드에서, 애퍼처 플레이트(13N)는 단지 설명의 목적을 위하여 "북(north)"으로 지정된 방향으로부터의 축외 조명을 제공한다. 제2 조명 모드에서, 애퍼처 플레이트(13S)는 유사한 조명, 그러나 "남(south)"으로 표기된 반대 방향으로부터의 조명을 제공하기 위해 이용된다. 상이한 애퍼처들을 이용함으로써 다른 조명 모드가 가능하다. 원하는 조명 모드 밖의 임의의 불필요한 광이 원하는 측정 신호를 간섭할 것이기 때문에 퓨필 평면의 나머지는 바람직하게는 어둡다(dark).
도 3b에서 보여지는 바와 같이, 타겟 구조체(T)가 대물렌즈(16)의 광학 축(O)에 수직인 기판(W)과 함께 배치된다. 기판(W)은 지지체 (보이지 않음)에 의하여 지지될 수 있다. 축(O)을 벗어난 각도로부터 타겟 구조체(T)에 충돌하는 측정 방사선의 광선(I)은 0차 광선 (실선 0)과 2개의 1차 광선 (일점 쇄선(+1) 및 이점 쇄선(-1))을 발생시키며, 이들은 이하 한 쌍의 상보적인 회절 차수로 지칭된다. 상보적인 회절 차수의 쌍은 임의의 더 높은 차수의 쌍; 예를 들어 +2, -2 쌍 등일 수 있으며 제1 차수 상보 쌍에 제한되지 않는다는 점이 주목되어야 한다. 오버필된(overfilled) 작은 타겟 구조체의 경우, 이 광선들은 계측 타겟 구조체(T)와 다른 피처를 포함하는 기판의 영역을 덮는 많은 평행 광선 중 하나일 뿐이라는 점이 기억되어야 한다. 플레이트(13)의 애퍼처가 (유효한 양의 광을 받아들이기 위해 필요한) 유한 폭을 갖고 있기 때문에, 입사 광선(I)은 사실상 각도 범위를 차지할 것이며, 회절 광선(0 및 +1/-1)은 어느 정도 확산될 것이다. 작은 타겟의 점 확산 함수(point spread function)에 따라, 각 차수(+1 및 -1)는 보여지는 바와 같이 단일의 이상적인 광선이 아니라, 각도 범위에 걸쳐 더 확산될 것이다. 타겟 구조체의 격자 피치 및 조명 각도는 대물렌즈로 들어가는 1차 광선이 중앙 광학 축과 밀접하게 정렬되도록 디자인 또는 조정될 수 있다는 점을 주목한다. 도 3a 및 도 3b에서 도시된 광선들은 이들이 순전히 도면에서 더 쉽게 구별되는 것을 가능하게 하도록 축을 약간 벗어난 것으로 보여지고 있다.
기판(W) 상의 타겟 구조체(T)에 의해 회절된 적어도 0 및 +1 차수는 대물렌즈(16)에 의해 집광되고 빔 스플리터(15)를 통해 뒤로 지향된다. 도 3a로 돌아가서, 북(N) 및 남(S)으로 표시된 정반대의 애퍼처들을 지정함으로써 제1 및 제2 조명 모드 모두가 도시되어 있다. 측정 방사선의 입사 광선(I)이 광학 축의 북측으로부터 온 경우, 즉 제1 조명 모드가 애퍼처 플레이트(13N)를 이용하여 적용되는 경우, +1(N)으로 표시되는 +1 회절 광선은 대물렌즈(16)로 들어간다. 그에 반하여, 제2 조명 모드가 애퍼처 플레이트(13S)를 이용하여 적용되는 경우, (1(S)로 표시된) -1 회절 광선은 렌즈(16)로 들어가는 광선이다.
제2 빔 스플리터(17)는 회절 빔을 2개의 측정 분기부(branches)로 나눈다. 제1 측정 분기부에서, 광학 시스템(18)은 0차 및 1차 회절 빔을 이용하여 제1 센서(19) (예를 들어, CCD 또는 CMOS 센서) 상에 타겟 구조체의 회절 스펙트럼 (퓨필 평면 이미지)을 형성한다. 각 회절 차수는 센서 상의 상이한 포인트에 도달(hit)하며, 따라서 이미지 처리는 차수들을 비교하고 대조할 수 있다. 센서(19)에 의해 캡처된 퓨필 평면 이미지는 계측 장치를 집속하기 위하여 및/또는 1차 빔의 세기 측정을 정규화하기 위하여 이용될 수 있다. 퓨필 평면 이미지는 또한 재구성과 같은 많은 측정 목적을 위해 이용될 수 있다.
제2 측정 분기부에서, 광학 시스템(20, 22)은 센서(23) (예를 들어, CCD 또는 CMOS 센서) 상에 타겟 구조체(T)의 이미지를 형성한다. 제2 측정 분기부에서, 퓨필-평면에 공액인 평면에 구경 조리개(aperture stop)(21)가 제공된다. 구경 조리개(21)는, 센서(23) 상에 형성된 타겟의 이미지가 -1차 또는 +1차 빔으로부터만 형성되도록 0차 회절 빔을 차단하는 기능을 한다. 센서(19 및 23)에 의해 캡처된 이미지는 이미지를 처리하는 프로세서(PU)로 출력되며, 프로세서의 기능은 수행되고 있는 측정의 특정 유형에 좌우될 것이다. 용어 "이미지"는 본 명세서에서 넓은 의미로 이용된다는 점을 주목한다. -1차 및 +1차 중 하나만이 존재하는 경우에, 이와 같이 격자 라인의 이미지는 형성되지 않을 것이다.
(흔히 "오버레이(overlay)"로 지칭되는) 오버레이 오차로 인하여 위치 오차가 발생할 수 있다. 오버레이는 제2 노광 동안의 제2 피처에 대하여 제1 노광 동안 제1 피처를 배치하는데 있어서의 오차이다. 리소그래피 장치는 패터닝 전에 각 기판을 기준에 정확하게 정렬시킴으로써 오버레이 오차를 최소화한다. 이는 정렬 센서를 사용하여 기판 상의 정렬 마크의 위치를 측정함으로써 이루어진다. 정렬 절차에 관한 더 많은 정보는 미국 특허 출원 공개 번호 US2010-0214550에서 찾아질 수 있으며, 이는 원용에 의해 전체적으로 본 명세서에 포함된다. 패턴 치수화 (예를 들어, CD) 오차는, 예를 들어 기판이 리소그래피 장치의 초점 평면에 대하여 정확하게 위치되지 않을 때 발생할 수 있다. 이 초점 위치 오차는 기판 표면의 편평하지 않음과 연관될 수 있다. 리소그래피 장치는 레벨 센서를 이용하여 패터닝 전에 기판 표면 토포그래피를 측정함으로써 이 초점 위치 오차를 최소화하는 것을 목표로 한다. 후속 패터닝 중에 기판 높이 보정이 적용되어 기판 상으로의 패터닝 디바이스의 정확한 이미징(집속)을 보장하는 데 도움이 된다. 레벨 센서 시스템에 관한 더 많은 정보는 미국 특허 출원 공개 번호 US2007-0085991에서 찾아질 수 있으며, 이는 원용에 의해 전체적으로 본 명세서에 포함된다.
리소그래피 장치(LA)와 계측 장치(MT) 외에, 하나 이상의 다른 처리 장치가 또한 디바이스 생산 중에 이용될 수 있다. 에칭 스테이션 (보이지 않음)은 레지스트로의 패턴의 노광 후 기판을 처리한다. 에칭 스테이션은 패턴을 레지스트로부터 레지스트 층 하부에 있는 하나 이상의 층으로 전사한다. 전형적으로 에칭은 플라즈마 매질의 적용을 기반으로 한다. 예를 들어, 하나 이상의 국부적 에칭 특성은 기판의 온도 제어를 이용하여, 또는 전압 제어 링을 이용하여 플라즈마 매체를 지향시켜 제어될 수 있다. 에칭 제어에 관한 더 많은 정보는 PCT 특허 출원 공개 WO2011/081645 및 미국 특허 출원 공개 US2006/016561에서 찾아질 수 있으며, 이들은 원용에 의해 전체적으로 본 명세서에 포함된다.
디바이스의 제조 동안, 피처의 특성이 특정 제어 한계 내에서 유지되도록 리소그래피 장치 또는 에칭 스테이션과 같은 하나 이상의 처리 장치를 이용하여 기판을 처리하기 위한 공정 조건이 안정적으로 유지되는 것이 바람직하다. 공정의 안정성은 제품 피처로도 지칭되는, IC와 같은 전기 디바이스의 기능 부품의 피처에 있어서 특히 중요하다. 안정적인 처리를 보장하는 것을 돕기 위하여, 공정 제어 능력이 준비되어 있어야 한다. 공정 제어는 처리 데이터의 모니터링과 공정 보정을 위한 수단의 구현을 포함하며, 예를 들어 처리 데이터의 하나 이상의 특성을 기반으로 처리 장치를 제어한다. 공정 제어는, 흔히 "고급 공정 제어(Advanced Process Control)"로 지칭되는, (또한 APC로도 지칭됨) 계측 장치(MT)에 의한 주기적인 측정을 기반으로 할 수 있다. APC에 관한 더 많은 정보는 미국 특허 출원 공개 US2012/008127에서 찾아질 수 있으며, 이는 원용에 의해 전체적으로 본 명세서에 포함된다. 전형적인 APC 구현 형태는 기판 상의 계측 피처에 대한 주기적인 측정을 포함하여 하나 이상의 처리 장치와 관련된 드리프트(drift)를 모니터링하고 보정한다. 계측 피처는 제품 피처의 공정 변화에 대한 응답을 반영한다. 공정 변화에 대한 계측 피처의 감도는 제품 피처에 대한 감도와 비교하여 다를 수 있다. 이 경우, 소위 "디바이스에 대한 계측(Metrology To Device)" 오프셋 (MTD로도 지칭됨)이 결정될 수 있다. 이 MTD 오프셋에 대한 한 가지 이유는 실제 제품 구조체가 흔히 스캐터로메트리 또는 이미징 측정을 위하여 요구되는 타겟 구조체의 크기보다 훨씬 (수백 배) 작다는 것이며, 이 크기의 차이는 상이한 매개변수 거동을 초래할 수 있다 (예를 들어, 계측 타겟에 대한 패턴 배치 및 결과적인 오버레이는 실제 구조체의 패턴 배치 및 결과적인 오버레이와 다를 수 있다). 제품 피처의 거동을 모방하기 위하여, 계측 타겟 내의 피처는 더 작게 (예를 들어, 분해능상 오버레이(at-resolution overlay)(ARO)로 지칭될 수 있는, 제품 구조체와 비슷한 크기로) 만들어질 수 있으며, 세그먼트화된 피처, 어시스트 피처 또는 특정 기하학적 구조 및/또는 치수를 갖는 피처를 포함할 수 있다. 면밀하게 디자인된 계측 타겟은 이상적으로 제품 피처와 마찬가지로 공정 변동에 유사한 방식으로 응답해야 한다. 계측 타겟 디자인에 관한 더 많은 정보는 PCT 특허 출원 공개 WO2015/101458에서 찾아질 수 있으며, 이는 원용에 의해 전체적으로 본 명세서에 포함된다.
또 다른 접근 방식에서, 계측이 제품 구조체에서 직접 수행될 수 있다. 예를 들어 이는 주사 전자 현미경(SEM) 또는 e-빔 계측 장치를 이용하여 수행될 수 있다. 그러나 이 디바이스는 전형적으로 상용 (대량 제조(HVM)) 환경에서의 공정 제어를 위하여 너무 느리다. 디바이스 내 계측(in-device metrology)(IDM)으로 지칭되는 또 다른 대안은 (예를 들어, 충분한 정칙화(regularization)를 갖는) 제품 구조체를 직접 측정하기 위해 스캐터로미터 기반 계측 장치를 이용하는 것을 포함할 수 있다. 이러한 제품 구조체는 효과적인 회절 격자로서의 역할을 할 수 있도록 충분한 정칙화를 가질 수 있다. 도 3에서 도시된 바와 같은 최신 스캐터로메트리 툴은 이러한 작은 구조체 상의 (적어도) 비대칭 기반 메트릭 (예를 들어, 오버레이)을 측정하는 능력을 갖고 있다.
에지 배치 오차(EPE)는 양 에지의 상대적 배치 허용 오차로서 규정될 수 있다. 예를 들어 2개의 층 및/또는 피처 사이에 정확한 전기적 연결 또는 절연을 제공하지 않음으로써, 디자인된 EPE 마진(margin)을 초과하거나 미만인 EPE는 국부적인 디바이스 피처를 고장나게 할 수 있으며; 디자인된 EPE 범위로부터의 임의의 편차의 크기가 클수록 고장 확률도 커진다. (예를 들어, 단일 기계/척/레티클 시나리오에서의) EPE 변화는, 예를 들어: 오버레이, 2개 층의 CD-균일성(CDU) 및 2개 층의 라인-폭 거칠기(LWR)를 포함할 수 있는 다수의 기여 공정 매개변수의 변화에 의하여 야기될 수 있다.
전역적 매개변수와 국부적 매개변수 모두 EPE 버짓(budget)에 기여한다. 전역적 매개변수는, 예를 들어 전역적 오버레이, 전역적 임계 치수(CD), 전역적 틸트 및 전역적 콘택트 영역(CA)/연속적 층 내의 구조체들 간의 전역적 EPE, 임계 치수 균일성(CDU), 라인 폭 거칠기(LWR) 또는 라인 에지 거칠기(LER) 중 하나 이상을 포함할 수 있다. 국부적 매개변수에는 국부적 CD, 국부적 오버레이(LOVL), 국부적 CA/국부적 EPE, 국부적 틸트, 국부적 측벽 각도(SWA), 국부적 라인 배치 중 하나 이상을 포함할 수 있다. 국부적 매개변수들은 특히 스캐터로미터와 같은 일부 상대적으로 빠른 계측 툴을 사용하여 측정하기에는 너무 작은 공간 스케일로 나타난다; 예를 들어, 이들은 전형적으로 상기 공간적 변동 스케일보다 큰 영역 (스폿 크기)에 걸쳐 신호를 통합하고 따라서 현재 SEM (예를 들어, e-빔 툴) 또는 유사한 툴을 이용하여 모니터링된다.
중요한 패터닝 층에 대하여, 과거에 전형적으로 그랬던 것과 같이, 별도의 오버레이 및 CD 메트릭을 이용하는 것과 대조적으로, EPE 메트릭과 같은 배치 메트릭을 기반으로 리소그래피 공정을 모니터링, 제어 및 설정(setup)하는 것이 바람직하다. 이러한 조합된 메트릭 접근법은 향상된 정확도를 제공한다.
EPE는, 예를 들어 SEM (e-빔) 계측 툴을 이용하여 직접적으로 측정될 수 있다. 그러나; 이러한 직접 측정은 모든 관련 층의 모든 패터닝 단계가 완료된 후에만 수행할 수 있다. 부가적으로, 이러한 계측은 파괴적일 수 있어 (디바이스의 디캡핑(decapping)을 필요로 함) 측정된 장치를 스크랩(scrap)처럼 만든다. EPE 메트릭은 전압 콘트라스트(VC) 등과 같은 국부적 디바이스 전기 테스트 측정과 높은 연관성이 있을 것이며, 따라서 수율을 나타낼 것이라는 점이 예상된다.
이 때문에 EPE 메트릭은 모니터링 및 제어 적용에서의 오버레이 및 CD (예를 들어, CD 확률적 계측)와 같은 측정된 기여자 매개변수 값으로부터 재구성될 수 있다. 기존의 EPE 재구성 방법은 기하학적 구조 기반이며; 예를 들어, 이 방법은 관련있는 2개의 층의 패턴의 기하학적 구조를 이용하여 e-빔 또는 다른 SEM 계측 디바이스의 관측 시야(field-of-view)(FOV)에서의 기여자의 국부적 통계를 기반으로 재구성 EPE에 대한 공식을 규정한다. 이러한 기하학 기반 재구성 방법은 다음 단계로 요약될 수 있다:
1. 하나 이상의 설정 웨이퍼로부터의 오버레이, CD 및 VC 획득.
a. VC 데이터는 (예를 들어, e-빔 이미지로부터의) FOV의 총 비트 수에 대한 양호한 비트 수(예를 들어, 작동성을 나타내는 기준을 충족하는)의 비율을 포함할 수 있다. 사용된 계측 기술에 관계없이 임의의 수율 프록시 또는 양호/작동 가능 (또는 반대로 실패된/작동 불가능한 피처)의 측정이 사용될 수 있다; 전압 콘트라스트는 단지 예시적인 기술이다.
b. CD 데이터는 각각의 층 (예를 들어, 제1 층 및 제2 층)에 대한 FOV에서의 국부적 CD 분포 데이터를 포함할 수 있다; 예를 들어, e-빔 이미지 또는 다른 적합한 CD 계측 방법으로부터.
c. 오버레이 데이터는 FOV에서의 관심 대상 층들 간의 전역적 오버레이를 포함할 수 있다. 이는 e-빔 이미지로부터 또는 임의의 다른 오버레이 계측 방법 (예를 들어, 회절 기반 오버레이, 미세-회절 기반 오버레이, 디바이스 내 계측 오버레이와 같은 광학 계측)으로부터 측정될 수 있다.
2. 분포 분위수 값(Q%)을 선택하고 하기 식에 따라 Q% EPE@Q%에서 재구성된 EPE를 계산한다:
여기서 EPEDES MAR은 디자인된 EPE 마진이며, OVL@50%는 중간 분위수에서의 오버레이 (중간 값 오버레이)이고, CDL1은 층 1에 대한 CD 메트릭이며, CDL2는 층 2에 대한 CD 메트릭이다.
3. EPE@Q%의 상관관계를 VC 데이터, 예를 들어 VC 성공 비트 백분율(success bit percentage)과 비교한다.
4. Q에 대한 상이한 값으로 단계 2 및 3을 반복한다.
5. 단계 3에서 가장 우수한 상관관계를 보여주는 Q 값을 선택한다.
이러한 기하학적 접근 방식에는 몇 가지 중요한 제한 사항이 있다. 하나의 이러한 제한은 기하학적 공식에 다수의 분위수 값 통계를 포함시키는 것이 불가능하다는 것이다. 특정 분위수 값 통계의 사용으로 인하여 중요한 정보의 손실이 있을 수 있다. 기하학적 모델의 기본 가정은 기여자가 독립적이라는 것이다. 그러나 실제로는 계측 공정의 불완전성과 일반적인 변동 근본 원인으로 인해 기여자들 간에 교차상관관계가 있을 수 있다. 부가적으로, 포함된 EPE 기여자에는 유연성이 없다. 이미 언급된 바와 같이, EPE는 오버레이 및 CD (예를 들어, LWR) 이외의 매개변수에 의존적일 수 있으며 따라서 모델에 다른 EPE 기여자를 포함시킴으로써 향상된 성능이 획득될 수 있다. 이러한 관련 기여자의 부족은 바이어스를 도입할 수 있으며, 따라서 이상적으로는 포함된 기여자와 상관관계가 있는 누락된 기여자에 의해 도입된 임의의 바이어스에 대해 모델이 보정되어야 한다.
기하학적 구조 중심의 접근 방식만을 이용하는 것의 단점을 해결하기 위해 데이터 중심의 배치 메트릭 또는 EPE 재구성 방법이 이제 설명될 것이다. 도 4는 이러한 데이터 중심 EPE 재구성 방법을 설명하는 흐름도이다.
단계 400에서, 설정 데이터가 획득된다. 설정 데이터는 위에서 논의된 기하학적 구조 기반 접근법에 대해 설명된 것과 동일한 오버레이, CD 및 VC 데이터를 포함할 수 있다 (따라서 이 방법의 단계 1이 이 단계에 적용될 수 있다). 보다 일반적으로, VC 데이터는 특정 피처 또는 피처들의 조합이 기능할지 여부 (전압 콘트라스트 계측 방법 또는 기타 방법으로부터 획득되는지 여부)를 나타내는 임의의 수율 데이터 또는 고장 분석 데이터일 수 있다. 각 층에 대한 CD 분포 데이터는, 임의의 적합한 CD 평균, CD의 표준 편차 또는 CD의 99 백분위수와 같은 임의의 적합한 분포 척도를 포함할 수 있다. 또한, 설명될 바와 같이, 이 실시예에서 사용되는 모델은 유연하며 오버레이 및 CD에 더하여 하나 이상의 EPE 기여자 변수를 포함할 수 있다.
단계 410에서, 수율 또는 수율 프록시 메트릭을 나타내는 예측을 제공하는 통계적 모델이 규정된다. 이러한 수율 프록시는, 예를 들어 FOV와 관련된 다수의 EPE 기여자의 측정을 기반으로 하는 (예를 들어, 계측 툴의 FOV 내의) 샘플의 성공적인 VC 비트(successful VC bits)의 수일 수 있다. 모델은 일반화 선형 모델(GLM) 기술을 기반으로 할 수 있으며 다음의 구조적 특성을 지원할 수 있다:
a) 수율 메트릭 또는 수율 프록시 메트릭 (예를 들어, 샘플 영역 또는 FOV 당 성공적인 또는 양호한 VC 비트의 수)은 양호할 확률(p)을 갖는 이항 분포를 포함할 수 있다. 수율 메트릭이 이항 분포에 적합하지 않은 경우, 이 분포는 사용된 메트릭에 따라 조정될 수 있다.
b) 확률(p)은, 예를 들어 통계적 매개변수 면에서 규정된 표준 정규 누적 분포 함수()를 사용하여, 교정된 배치 메트릭 또는 EPE 메트릭(m)을 [0, 1] 도메인에 매핑함으로써 획득될 수 있으며, 여기서 σ는 EPE 메트릭(m)의 스케일을 교정하는 매개변수이고, μ는 EPE 메트릭(m)의 오프셋을 교정하는 매개변수이며, Φ는 표준 정규 분포의 CDF이다. 실제로 데이터에 가장 적합한 것을 기반으로 임의의 CDF 함수가 선택될 수 있다. 그러나 정상적인 것(normal)의 선택은 국부적인 공차 효과의 가우스 분포의 일반적인 가정을 기반으로 한다.
c) EPE 메트릭은 FOV에서의 측정된 EPE 기여자의 함수일 수 있다: , 여기서, 는 측정된 양을 나타낸다. 이 함수는 학습 가능한 계수를 갖는 1차 선형 모델을 사용하여 실현될 수 있다. 더욱 일반적으로, 이 함수는 선형 또는 비선형 함수일 수 있다; 예를 들어, 이는 데이터에 가장 적합한 것을 기반으로 선택된, 기계 학습 모델에 의해 실현된 비선형 함수일 수 있다. 이 EPE 메트릭은 임의의 측정된 또는 알려진 배치 오차 기여자 또는 EPE 기여자의 함수일 수 있으며, 예를 들어 LWR, 에칭 전 CD, 에칭 후 CD, EPE에 영향을 미칠 수 있는 임의의 다른 측정 가능한 매개변수, 또는 EPE에 영향을 미치는 (예를 들어, 스캐너 설정, 에칭 설정 또는 기타 기계 설정) 임의의 하나 이상의 기계 설정 (예를 들어, 선량 설정) 중 하나 이상의 함수일 수 있다. EPE 메트릭이 기계 설정의 함수인 경우 선형 모델은 적합하지 않을 것이며 (선형 모델은 직접 측정과 함께 작동한다) 고차 모델이 사용되어야 한다는 것을 주목한다.
d) 하나 이상의 선택적 제약 조건이 부과될 수 있다. 예를 들어, 메트릭 스케일 제약 조건이 부과될 수 있다. 하나의 이러한 메트릭 스케일 제약 조건은 메트릭(m)의 변화율을 기준 오버레이 측정의 변화율과 동일하도록 제한할 수 있다:
대안적인 메트릭 스케일 제약 조건은 메트릭(m)의 변화율 크기를 어느 하나(또는 임의의) 층의 기준 CD 측정 변화율 크기의 2배가 되도록 제한하는 것을 포함할 수 있다.
이 메트릭 스케일 제약 조건은 EPE의 규정을 기반으로 한다; 예를 들어 EPE는 규정정 오버레이와 동일한 스케일이다. 추가 제약 조건은 성공 확률이 절반 으로 제한되는 메트릭 바이어스 제약 조건을 포함할 수 있다. 여기서 메트릭(m)은 디자인된 EPE 마진과 동일하다. 다시, 이는 EPE의 정의를 기반으로 한다.
단계 420에서, 함수(f) (예를 들어, EPE 메트릭(m))의 계수, 그리고 매개변수 ()가 피팅된다. 이 피팅 단계는, 예를 들어 이항 확률 밀도 함수(PDF)를 우도 함수로서 사용하는 최대 우도 추정을 기반으로 하는 설정 데이터를 사용할 수 있다. 선형 매핑이 설명되지만 고차 모델이 사용될 수 있다. Φ 및 함수(f)가 정규 선형이 아니고 및/또는 수율 매개변수가 이항 분포에 의해 유도되지 않는 경우, 교차 검증을 기반으로 하는 일반적인 모델 선택 전략이 사용되어 Φ와 함수(f)와 수율 매개변수의 우도 함수의 최상의 조합을 찾을 수 있다.
단계 430에서, 재구성된 EPE 메트릭(m)은 리소그래피 공정의 모니터링 및/또는 제어를 위해 사용된다. 예를 들어, EPE 메트릭은 공정을 모니터링하기 위해 사용될 수 있는 수율 확률 값으로 변환될 수 있다. 예를 들어 이러한 수율 확률 값은 재작업 또는 유도된 검사를 결정하기 위해 사용될 수 있다. 제어 예의 경우, 수율을 트레이닝된 계수/모델로부터의 범위로 가져오기 위해 어떤 매개변수 (예를 들어, CDLI, CDL2, 오버레이)가 개선되어야 하는지가 피팅된 값에서 명확할 것이기 때문에 어떤 매개변수가 불량 수율을 초래하는지 관찰하는 것이 가능하다. 그 후 적용 가능한 공정에 대한 보정이 결정되고 적용되어 수율을 개선할 수 있고 범위를 확대할 수 있다.
위의 설명에서, EPE 메트릭은 2개의 층에 대한 EPE 측면에서 설명된다. 그러나 이는 반드시 그럴 필요는 없다. EPE 메트릭은 2개보다 많은 층에 대한 EPE를 설명할 수 있으며, 따라서 모든 관련 층에 대한 2개 이상의 오버레이 매개변수 (예를 들어, 층(1 및 2)과 층(1 및 3, 등) 사이) 및 CD (및/또는 LWR, 스캐너 설정 등과 같은 다른 매개변수)의 함수일 수 있다. EPE 메트릭은 대안적으로, 예를 들어, (예를 들어, 이중 패터닝 공정에서) 단일 층의 2개의 공정 단계와 관련되는지 또는 예상 위치 값 (즉, 예상 위치에 대한 배치 오차)와 비교하여 (“오버레이”와 같은) 일부 측정을 갖는 단일 처리 단계와 관련되는지 여부와 상관없이 단일 층과 관련될 수 있다. 물론, (예를 들어, 단계 400에서 획득된) 설정 데이터는 숙련된 자게 명백할 바와 같이, 단계 410에서의 모델의 매개변수에 좌우될 것이다.
도 5a는 종래 기술의 기하학적 구조 기반 EPE 재구성 방법을 위한 예측된 또는 재구성된 EPE에 대한 VC 데이터 또는 다른 수율 프록시 데이터의 플롯이다. 도 5b는 본 명세서 개시된 바와 같은 데이터 중심의 EPE 재구성 방법에 대한 등가적인 플롯이다. 점선은 양 축에 대한 예시적인 80% 수율 임계값을 나타내며, 양 축은 플롯을 4개의 영역; 진양성(TP), 진음성(TN), 위양성(FP)(손실된 다이) 및 위음성(FN)(잘못된 스크랩)의 4개 영역으로 나눈다. 제안된 방법 (도 5b)에 대한 예측된 EPE 데이터는 선행 기술 방법 (도 5a)보다는 수율과 훨씬 더 우수한 상관관계가 있다는 점을 분명히 볼 수 있다.
본 발명의 추가 실시예는 다음의 번호가 부여된 조항의 목록에 개시된다:
1. 리소그래피 공정에서 기판 상에서의 하나 이상의 피처의 배치와 관련된 배치 메트릭을 결정하는 방법은,
복수의 배치 오차 기여자 매개변수와 관련된 배치 오차 기여자 데이터 및 수율을 나타내는 수율 데이터를 포함하는 설정 데이터를 획득하는 것;
수율 메트릭을 예측하기 위한 통계적 모델 -상기 통계적 모델은 배치 메트릭을 기반으로 하며, 상기 배치 메트릭은 상기 배치 오차 기여자 매개변수 및 관련된 모델 계수의 함수임-을 규정하는 것;
상기 설정 데이터를 기반으로 적어도 상기 모델 계수를 피팅하는 것; 및
상기 피팅된 모델 계수로부터 상기 배치 메트릭을 결정하는 것을 포함한다.
2. 조항 1에 따른 방법에서, 상기 배치 매트릭은 2개 이상의 피처의 상대적인 배치와 관련된다.
3. 조항 2에 따른 방법에서, 상기 2개 이상의 피처는 2개 이상의 층에 걸쳐 분포된다.
4. 조항 3에 따른 방법에서, 상기 배치 오차 기여자 매개변수는 적어도 하나의 오버레이 메트릭과 적어도 하나의 임계 치수 메트릭을 포함한다.
5. 조항 4에 따른 방법에서, 상기 적어도 하나의 오버레이 메트릭은 하나 이상의 쌍의 관련 층들 간의 전역적 오버레이와 관련되며, 상기 임계 치수 메트릭은 상기 관련 층들의 각각의 국부적 임계 치수의 통계적 척도와 관련된다.
6. 조항 4 또는 5에 따른 방법에서, 상기 적어도 하나의 임계 치수 메트릭은 에칭 전 임계 치수 메트릭과 에칭 후 임계 치수 메트릭 중 하나 또는 둘 모두를 포함한다.
7. 조항 2에 따른 방법에서, 상기 2개 이상의 피처는 적어도 제1 패터닝 단계 및 제2 패터닝 단계에서 형성된 단일 층에 있다.
8. 조항 7에 따른 방법에서, 상기 배치 오차 기여자 매개변수는 상기 제1 패터닝 단계에서 형성된 상기 2개 이상의 피처 중 제1 피처와 상기 제2 패터닝 단계에서 형성된 상기 2개 이상의 피처 중 제2 피처 사이의 적어도 하나의 전역적 상대 위치 메트릭을 포함하며; 상기 임계 치수 메트릭은 상기 제1 피처와 제2 피처의 각각에 대한 국부적 임계 치수의 통계적 척도와 관련된다.
9. 조항 1에 따른 방법에서, 상기 배치 메트릭은 예상 위치에 대한 하나 이상의 피처의 배치와 관련되며; 그리고 상기 배치 오차 기여자 매개변수는 피처의 예상 위치에 대한 상기 하나 이상의 피처의 각각의 위치를 기술하는 위치 메트릭과 하나 이상의 피처의 각각의 국부적 임계 치수의 통계적 척도를 적어도 포함한다.
10. 조항 1 내지 9 중 어느 한 조항에 따른 방법에서, 상기 배치 오차 기여자 매개변수는 상기 하나 이상의 피처를 형성하기 위해 사용되는 리소그래피 장치 또는 에칭 장치의 하나 이상의 설정을 포함한다.
11. 조항 1 내지 10 중 어느 한 조항에 따른 방법에서, 상기 배치 오차 기여자 매개변수는 라인 폭 거칠기 또는 라인 에지 거칠기 메트릭 중 하나 이상을 포함한다.
12. 조항 1 내지 11 중 어느 한 조항에 따른 방법에서, 상기 수율 데이터는 전압 콘트라스트 데이터를 포함하거나 그로부터 유도된 것이다.
13. 조항 12에 따른 방법에서, 상기 수율 데이터는 샘플 영역당 양호한 비트의 수를 포함한다.
14. 조항 1 내지 13 중 어느 한 조항에 따른 방법에서,
상기 피팅 단계는 상기 통계적 모델의 모델 통계적 매개변수를 상기 모델 계수와 피팅하는 것을 더 포함하며; 그리고
상기 배치 메트릭을 결정하는 단계는 상기 피팅된 모델 계수 및 모델 통계적 매개변수로부터 상기 배치 메트릭을 결정하는 것을 포함한다.
15. 조항 14에 따른 방법에서, 상기 수율 메트릭은 상기 통계적 매개변수 면에서 양호하게 규정될 관련 확률을 갖는 이항 분포를 포함한다.
16. 조항 15에 따른 방법에서, 상기 확률은 누적 분포 함수를 이용하여 상기 배치 메트릭을 [0, 1] 도메인에 매핑함으로써 획득된다.
17. 조항 16에 따른 방법에서, 상기 누적 분포 함수는 정규 누적 분포 함수를 포함한다.
18. 조항 14 내지 17 중 어느 한 조항에 따른 방법에서, 상기 배치 메트릭은 배치 메트릭이 디자인된 배치 메트릭 마진과 동일할 때 상기 확률을 0.5로 제한하는 메트릭 바이어스 제약 조건에 의해 제한된다.
19. 조항 14 내지 18 중 어느 한 조항에 따른 방법에서, 상기 통계적 매개변수는 배치 메트릭의 스케일을 교정하는 매개변수 및 배치 메트릭의 오프셋을 교정하는 매개변수를 포함한다.
20. 조항 1 내지 19 중 어느 한 조항에 따른 방법에서, 상기 배치 메트릭은 메트릭 스케일 제약 조건에 의해 제약되며, 상기 메트릭 스케일 제약 조건은 배치 메트릭의 변화율을 기준 오버레이 측정의 변화율과 동일하도록 제한하며; 또는 배치 메트릭의 변화율 크기를 임의의 층의 기준 임계 치수 측정의 변화율 크기의 2배가 되도록 제한한다.
21. 조항 1 내지 20 중 어느 한 조항에 따른 방법에서, 배치 메트릭은 에지 배치 오차 메트릭으로 포함한다.
22. 조항 1 내지 21 중 어느 한 조항에 따른 방법에서, 상기 피팅 단계는 최대 우도 추정을 기반으로 설정 데이터를 이용한다.
23. 조항 22에 따른 방법에서, 상기 최대 우도 추정은 이항 확률 밀도 함수를 우도 함수로서 이용한다.
24. 조항 1 내지 23 중 어느 한 조항에 따른 방법에서, 상기 배치 오차 기여자 데이터는 배치 오차 기여자 매개변수에 대해 측정된 및/또는 알려진 값을 포함한다.
25. 조항 1 내지 24 중 어느 한 조항에 따른 방법은 리소그래피 공정의 모니터링 및/또는 제어를 위해 상기 결정된 배치 메트릭을 사용하는 것을 포함한다.
26. 조항 25에 따른 방법은 상기 배치 메트릭을 수율 확률 값으로 변환시키는 것을 포함한다.
27. 조항 25 또는 26에 따른 방법은,
상기 배치 메트릭의 오차 또는 편차에 대한 가장 큰 책임을 갖는 상기 배치 오차 기여자 매개변수들 중 하나 이상을 피팅된 모델 계수로부터 결정하는 것; 및
가장 큰 책임을 갖는 것으로 결정된 상기 하나 이상의 배치 오차 기여자 매개변수에 대한 보정을 결정하는 것을 포함한다.
28. 컴퓨터 프로그램은, 적합한 프로세서 제어 장치에서 실행될 때, 프로세서 제어 장치가 조항 1 내지 27 중 어느 한 방법을 수행하게 하는 프로세서 판독 가능한 명령어를 포함한다.
29. 컴퓨터 프로그램 캐리어는 조항 28의 컴퓨터 프로그램을 포함한다.
30. 처리 장치는:
프로세서; 및
조항 28의 컴퓨터 프로그램을 포함하는 컴퓨터 프로그램 캐리어를 포함한다.
31. 계측 장치는 조항 30의 처리 장치를 포함한다.
32. 리소그래피 노광 장치는 조항 30의 처리 장치를 포함한다.
본 명세서에서 사용된 용어 "방사선" 및 "빔"은 (예를 들어, 약 365, 355, 248, 193, 157 또는 126㎚의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20㎚ 범위 내의 파장을 갖는) 극자외(EUV) 방사선은 물론 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 유형의 전자기 방사선을 포함한다.
문맥이 허용하는 경우 용어 "렌즈"는 굴절형, 반사형, 자기형, 전자기형 및 정전형 광학 구성 요소를 포함하는, 다양한 유형의 광학 구성 요소 중 어느 하나 또는 그들의 조합을 지칭할 수 있다.
용어 "타겟"은 계측의 특정 목적을 위해 형성된 전용 타겟만을 의미하는 것으로 해석되어서는 안된다. 용어 "타겟"은 계측 적용 분야에 적합한 특성을 갖는, 제품 구조체를 포함한 다른 구조체를 포함하는 것으로 이해되어야 한다.
다른 이들이 본 기술 분야의 기술 내의 지식을 적용함으로써 과도한 실험 없이 그리고 본 발명의 전반적인 개념으로부터 벗어남이 없이 다양한 적용을 위하여 이러한 특정 실시예를 용이하게 수정 및/또는 조정할 수 있도록 특정 실시예의 전술한 설명은 본 발명의 전반적인 특성을 완전히 드러낼 것이다. 따라서 이러한 조정 및 수정은 본 명세서에서 제시된 교시 및 안내를 기반으로, 개시된 실시예의 의미와 균등 범위 내에 있는 것으로 의도된다. 본 명세서 내의 어구 또는 용어가 본 교시 및 지침을 고려하여 숙련된 자에 의하여 해석되도록 본 명세서의 어구 또는 용어는 예에 의한 설명의 목적을 위한 것이지, 제한의 목적을 위한 것이 아니라는 점이 이해되어야 한다.
본 발명의 폭과 범위는 위에서 설명된 예시적인 실시예들 중 임의의 것에 의하여 제한되어서는 안되며, 다음의 청구범위 및 그 등가물에 따라서만 규정되어야 한다.

Claims (15)

  1. 적합한 프로세서 제어 장치에서 실행될 때, 프로세서 제어 장치가 리소그래피 공정에서 기판 상에서의 하나 이상의 피처의 배치와 관련된 배치 메트릭을 결정하게 하는 프로세서 판독 가능한 명령어를 포함하는 컴퓨터 프로그램으로서, 상기 프로세서 판독 가능한 명령어는:
    복수의 배치 오차 기여자 매개변수와 관련된 배치 오차 기여자 데이터 및 수율을 나타내는 수율 데이터를 포함하는 설정 데이터를 획득하도록;
    수율 메트릭을 예측하기 위한 통계적 모델을 규정하도록 - 상기 통계적 모델은 배치 메트릭을 기반으로 하며, 상기 배치 메트릭은 상기 배치 오차 기여자 매개변수 및 관련된 모델 계수의 함수임 -;
    상기 설정 데이터를 기반으로 적어도 상기 모델 계수를 피팅하도록; 그리고
    상기 피팅된 모델 계수로부터 상기 배치 메트릭을 결정하도록 구성된 컴퓨터 프로그램.
  2. 제1항에 있어서, 상기 배치 매트릭은 2개 이상의 피처의 상대적인 배치와 관련된 것인 컴퓨터 프로그램.
  3. 제2항에 있어서, 상기 2개 이상의 피처는 2개 이상의 층에 걸쳐 분포된 컴퓨터 프로그램.
  4. 제3항에 있어서, 상기 배치 오차 기여자 매개변수는 적어도 하나의 오버레이 메트릭과 적어도 하나의 임계 치수 메트릭을 포함하는 컴퓨터 프로그램.
  5. 제4항에 있어서, 상기 적어도 하나의 오버레이 메트릭은 하나 이상의 쌍의 관련 층들 간의 전역적 오버레이와 관련되며, 상기 임계 치수 메트릭은 상기 관련 층들의 각각의 국부적 임계 치수의 통계적 척도와 관련된 컴퓨터 프로그램.
  6. 제4항 또는 제5항에 있어서, 상기 적어도 하나의 임계 치수 메트릭은 에칭 전 임계 치수 메트릭과 에칭 후 임계 치수 메트릭 중 하나 또는 둘 모두를 포함하는 컴퓨터 프로그램.
  7. 제2항에 있어서, 상기 2개 이상의 피처는 적어도 제1 패터닝 단계 및 제2 패터닝 단계에서 형성된 단일 층에 있는 컴퓨터 프로그램.
  8. 제7항에 있어서, 상기 배치 오차 기여자 매개변수는 상기 제1 패터닝 단계에서 형성된 상기 2개 이상의 피처 중 제1 피처와 상기 제2 패터닝 단계에서 형성된 상기 2개 이상의 피처 중 제2 피처 사이의 적어도 하나의 전역적 상대 위치 메트릭을 포함하며; 상기 임계 치수 메트릭은 상기 제1 피처와 제2 피처의 각각에 대한 국부적 임계 치수의 통계적 척도와 관련된 컴퓨터 프로그램.
  9. 제1항에 있어서, 상기 배치 메트릭은 예상 위치에 대한 하나 이상의 피처의 배치와 관련되며; 그리고 상기 배치 오차 기여자 매개변수는 피처의 예상 위치에 대한 상기 하나 이상의 피처의 각각의 위치를 기술하는 위치 메트릭과 하나 이상의 피처의 각각의 국부적 임계 치수의 통계적 척도를 적어도 포함하는 컴퓨터 프로그램.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 배치 오차 기여자 매개변수는 상기 하나 이상의 피처를 형성하기 위해 사용되는 리소그래피 장치 또는 에칭 장치의 하나 이상의 설정을 포함하는 컴퓨터 프로그램.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 상기 배치 오차 기여자 매개변수는 라인 폭 거칠기 또는 라인 에지 거칠기 메트릭 중 하나 이상을 포함하는 컴퓨터 프로그램.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 상기 수율 데이터는 전압 콘트라스트 데이터를 포함하거나 그로부터 유도된 것인 컴퓨터 프로그램.
  13. 제12항에 있어서, 상기 수율 데이터는 샘플 영역당 양호한 비트의 수를 포함하는 컴퓨터 프로그램.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서,
    상기 피팅 단계는 상기 통계적 모델의 모델 통계적 매개변수를 상기 모델 계수와 피팅하는 것을 더 포함하며; 그리고
    상기 배치 메트릭을 결정하는 단계는 상기 피팅된 모델 계수 및 모델 통계적 매개변수로부터 상기 배치 메트릭을 결정하는 것을 포함하는 컴퓨터 프로그램.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서, 상기 피팅 단계는 최대 우도 추정을 기반으로 설정 데이터를 이용하는 컴퓨터 프로그램.
KR1020247007805A 2021-09-07 2022-08-04 리소그래피 공정을 모니터링하는 방법 및 관련된 장치 KR20240056509A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP21195360 2021-09-07
EP21195360.9 2021-09-07
EP21211785.7A EP4191337A1 (en) 2021-12-01 2021-12-01 A method of monitoring a lithographic process and associated apparatuses
EP21211785.7 2021-12-01
PCT/EP2022/071985 WO2023036526A1 (en) 2021-09-07 2022-08-04 A method of monitoring a lithographic process and associated apparatuses

Publications (1)

Publication Number Publication Date
KR20240056509A true KR20240056509A (ko) 2024-04-30

Family

ID=83151761

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020247007805A KR20240056509A (ko) 2021-09-07 2022-08-04 리소그래피 공정을 모니터링하는 방법 및 관련된 장치

Country Status (3)

Country Link
KR (1) KR20240056509A (ko)
TW (1) TWI820885B (ko)
WO (1) WO2023036526A1 (ko)

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100610010B1 (ko) 2004-07-20 2006-08-08 삼성전자주식회사 반도체 식각 장치
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7239371B2 (en) 2005-10-18 2007-07-03 International Business Machines Corporation Density-aware dynamic leveling in scanning exposure systems
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036351A1 (nl) 2007-12-31 2009-07-01 Asml Netherlands Bv Alignment system and alignment marks for use therewith cross-reference to related applications.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
KR101644673B1 (ko) 2009-12-15 2016-08-01 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US9177219B2 (en) 2010-07-09 2015-11-03 Asml Netherlands B.V. Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
NL2007765A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and inspection apparatus, lithographic system and device manufacturing method.
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
JP6077647B2 (ja) 2012-05-29 2017-02-08 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法及び装置、基板、リソグラフィシステム並びにデバイス製造方法
KR101898087B1 (ko) 2013-12-30 2018-09-12 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 장치 및 방법
KR20180128490A (ko) 2016-04-29 2018-12-03 에이에스엠엘 네델란즈 비.브이. 구조체의 특성을 결정하는 방법 및 장치, 디바이스 제조 방법
US11079687B2 (en) * 2017-12-22 2021-08-03 Asml Netherlands B.V. Process window based on defect probability
US11354484B2 (en) * 2018-11-08 2022-06-07 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
US20220113632A1 (en) * 2019-02-27 2022-04-14 Asml Netherlands B.V. Gauge selection for model calibration
EP3764164A1 (en) * 2019-07-11 2021-01-13 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
CN114402262A (zh) * 2019-08-30 2022-04-26 Asml荷兰有限公司 半导体器件几何方法和系统
US11126159B2 (en) * 2020-01-09 2021-09-21 Siemens Industry Software Inc. Multi-objective calibrations of lithography models

Also Published As

Publication number Publication date
TW202318098A (zh) 2023-05-01
TWI820885B (zh) 2023-11-01
WO2023036526A1 (en) 2023-03-16

Similar Documents

Publication Publication Date Title
US10133191B2 (en) Method for determining a process window for a lithographic process, associated apparatuses and a computer program
TWI616716B (zh) 用於調適圖案化器件之設計的方法
US10416577B2 (en) Position measuring method of an alignment target
CN105934717B (zh) 可操作以对衬底执行测量操作的设备、光刻设备以及对衬底执行测量操作的方法
KR20160098436A (ko) 검사 방법 및 장치, 및 리소그래피 장치
US20190214318A1 (en) Method and apparatus to monitor a process apparatus
NL2006078A (en) Calibration of lithographic apparatus.
KR20230075448A (ko) 타겟 구조체, 연관된 방법 및 장치
US20110213584A1 (en) Calibration of Lithographic Apparatus
CN114616523A (zh) 用于推断诸如焦距的处理参数的方法和相关联装置和制造方法
TWI820885B (zh) 包含處理器可讀指令之電腦程式
EP4191337A1 (en) A method of monitoring a lithographic process and associated apparatuses
TWI810749B (zh) 監控微影製程之方法及相關裝置
EP4191338A1 (en) Metrology calibration method
EP4040233A1 (en) A method of determining a measurement recipe and associated metrology methods and appratuses
US11886125B2 (en) Method for inferring a local uniformity metric
TWI811952B (zh) 度量衡方法及設備
EP4030236A1 (en) A method of monitoring a lithographic process and associated apparatuses
EP3879342A1 (en) Method for inferring a local uniformity metric and associated appratuses
US20220146946A1 (en) Method for controlling a lithographic apparatus and associated apparatuses
EP3702840A1 (en) Alignment method and associated metrology device
KR20240028997A (ko) 측정 레시피를 모니터링하는 방법 및 연계된 메트롤로지 방법들 및 장치들